signal stat_data : std_logic_vector(31 downto 0);
signal stat_addr : std_logic_vector(7 downto 0);
+signal unique_id : std_logic_vector(63 downto 0);
+
+attribute syn_preserve : boolean;
+attribute syn_keep : boolean;
+attribute syn_keep of unique_id : signal is true;
+attribute syn_preserve of unique_id : signal is true;
+
begin
+unique_id <= MC_UNIQUE_ID_IN;
+
protocol_selector : trb_net16_gbe_protocol_selector
port map(
CLK => CLK,
--*************
-- GENERATE MAC_ADDRESS
--TODO: take the unique id from regio and generate a mac address
-g_MY_MAC <= x"efbeefbe0000";
+g_MY_MAC <= unique_id(15 downto 0) & x"efbe0000";
--
--*************
TC_FRAME_TYPE_OUT <= x"0008";
TC_DEST_MAC_OUT <= PS_SRC_MAC_ADDRESS_IN;
TC_DEST_IP_OUT <= PS_SRC_IP_ADDRESS_IN;
-TC_DEST_UDP_OUT <= x"a861";
+TC_DEST_UDP_OUT <= PS_SRC_UDP_PORT_IN; --x"a861";
TC_SRC_MAC_OUT <= g_MY_MAC;
TC_SRC_IP_OUT <= g_MY_IP;
TC_SRC_UDP_OUT <= x"a861";