]> jspc29.x-matter.uni-frankfurt.de Git - trbv2.git/commitdiff
one trb top vhdl file, some cleaning and also more generic
authorpalka <palka>
Fri, 29 May 2009 09:39:42 +0000 (09:39 +0000)
committerpalka <palka>
Fri, 29 May 2009 09:39:42 +0000 (09:39 +0000)
14 files changed:
GP_Addon/gp_add_on.vhd
compile2b.pl
etrax_interfacev2.vhd
f_divider.vhd
fifo16kW.ngc
fifo16kW.vhd
system_tb.vhd
tdc_interface.vhd
trb_v2b_fpga.stapl
trb_v2b_fpga.ucf
trb_v2b_fpga.vhd
trb_v2b_fpga_syn.prj
up_down_counter.vhd
vulom_interface.vhd

index 1421424e2aebcb7f5bfe7277f48a5477665e1cfb..538338600749d0f21a5b9657b7f2e0b504bb3daa 100644 (file)
@@ -107,8 +107,10 @@ architecture gp_add_on of gp_add_on is
       SENTENCE : in  std_logic_vector(NUMBER_OF_LETTERS*8-1 downto 0));
   end component;
   --choose which system will be connected
-  constant SYSTEM : integer := 1;       --1 - vulom interface, 2 - old HADES trigger bus,
+  constant SYSTEM : integer := 4;       --1 - vulom interface, 2 - old HADES trigger bus,
                                         --3 - stand alone for RPC scalers
+                                        --4 - stand alone for trigg
+                                        --distribution and scalers
   --start reset
   signal reset : std_logic:='0';
   signal reset_counter : std_logic_vector(3 downto 0):=x"0";
@@ -117,10 +119,14 @@ architecture gp_add_on of gp_add_on is
   shared variable VULOM_DISPLAY_SENTENCE   : string(1 to 24):= " Vulom interface active ";
   shared variable OLD_BUS_DISPLAY_SENTENCE : string(1 to 24):= " Old HADES bus active   ";
   shared variable SCALERS_DISPLAY_SENTENCE : string(1 to 24):= " Scalers for RPC active ";
+  shared variable CTS_ALONE_DISPLAY_SENTENCE : string(1 to 24):= " CTS,trigger redistr.   ";
   signal sentence  : std_logic_vector(NUMBER_OF_LETTERS*8-1 downto 0);
   --vulom activity counter
   signal blink_counter : std_logic_vector(26 downto 0);
-
+  --reference
+  signal long_reference_signal : std_logic;
+  signal reference_signal_counter : std_logic_vector(7 downto 0);
+  signal trigger_counter : std_logic_vector(31 downto 0);
 begin  
   
   MAKE_START_UP_RESET: process (CPLD_CLK)
@@ -247,4 +253,59 @@ begin
     ADO_TTL(34) <= 'Z';--ADO_TTL(44);       --ACK
     ADO_TTL(35) <= '0';--busy;--ADO_TTL(4);
   end generate ENABLE_VULOM_INTERFACE;
+
+  -----------------------------------------------------------------------------
+  -- cts trigger ditribution
+  -----------------------------------------------------------------------------
+  CTS_STAND_ALONE: if SYSTEM=4 generate
+    CONVERT_STRING_TO_STD_LOGIC_VECTOR_V: for i in 0 to NUMBER_OF_LETTERS-1 generate
+      sentence((NUMBER_OF_LETTERS-i)*8 -1 downto (NUMBER_OF_LETTERS-i-1)*8) <= CONV_STD_LOGIC_VECTOR(character'pos(CTS_ALONE_DISPLAY_SENTENCE(i+1)),8);
+    end generate CONVERT_STRING_TO_STD_LOGIC_VECTOR_V;
+--     MAKE_REF_PULSE: process (CPLD_CLK, reset)
+--     begin  
+--       if rising_edge(CPLD_CLK) then
+--         if reset = '1' then
+--           reference_signal_counter <= x"ff";
+--         elsif ADO_TTL(7) = '0' then
+--           reference_signal_counter <= x"00";
+--         elsif reference_signal_counter < x"10" and ADO_TTL(7) = '1' then
+--           reference_signal_counter <= reference_signal_counter + 1;
+--         else
+--           reference_signal_counter <= reference_signal_counter;
+--         end if;
+--       end if;
+--     end process MAKE_REF_PULSE;
+    LED_CNT_1 <= trigger_counter(8);
+    LED_CNT_2 <= trigger_counter(16);
+    LED_ERROR   <= trigger_counter(20);
+    LED_GOOD    <= ADO_TTL(7);
+    COUNTER_OUT: process (CPLD_CLK, reset)
+    begin 
+      if rising_edge(CPLD_CLK) then
+        if reset = '1' then
+          trigger_counter <= (others => '0');
+        elsif ADO_TTL(7) = '1' then
+          trigger_counter <=trigger_counter +1;
+        else
+          trigger_counter <=trigger_counter;
+        end if;
+      end if;
+    end process COUNTER_OUT;
+    
+
+--    ADO_TTL(12) <= 'Z';
+     ADO_TTL(12 downto 0) <= (others => 'Z');
+--    long_reference_signal <= '1' when (reference_signal_counter < x"0e" and reference_signal_counter > x"00") else '0';
+    ADO_TTL(32) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(33) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(34) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(35) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(36) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(37) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(38) <= ADO_TTL(7);--long_reference_signal;
+    ADO_TTL(39) <= ADO_TTL(7);--long_reference_signal;
+    
+  end generate CTS_STAND_ALONE;
+  
+  
 end gp_add_on;
index 7740295becfb8a8c86e2666a5989890fdb147c4b..6459168113071bc060b93b1fe1e310d79f2f145a 100755 (executable)
@@ -42,7 +42,7 @@ die "could not open file" if (! defined $fh);
 
 print $fh <<EOF;
 
--- attention, automatically generated. Don't change by hand.
+--## attention, automatically generated. Don't change by hand.
 library ieee;
 USE IEEE.std_logic_1164.ALL;
 USE IEEE.std_logic_ARITH.ALL;
@@ -67,7 +67,7 @@ $fh->close;
 #$c="/opt/Synplicity/fpga_861/bin/synplify_pro -batch $TOPNAME"."_syn.prj";
 #$c="/opt/Synplicity/fpga_8804/bin/synplify_pro -batch $TOPNAME"."_syn.prj";
 #$c="/opt/Synplicity/fpga_89/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj";
-$c="/opt/Synplicity/fpga_901/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj";
+#$c="/opt/Synplicity/fpga_901/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj";
 #$c=("( netcat  -w2 -l -u -p 6001 < data_for_synbatch_6001.raw >/dev/null 2>&1)&  /opt/Synplicity/fpga_89/bin/synplify_pro -batch $TOPNAME"."_syn.prj");
 my $r=execute($c, "do_not_exit" );
 
@@ -97,16 +97,20 @@ foreach (@a)
 # Command line to synthesize
 #
 
+
+
+
 #chdir "..";
-#$c="xst -intstyle xflow -ifn $TOPNAME.xst -ofn $TOPNAME.syr";
-#execute($c);
+$c="xst -intstyle xflow -ifn $TOPNAME.xst -ofn $TOPNAME.syr";
+execute($c);
 #chdir "workdir";
 
 #
 # Command line for ngdbuild
 #
 #$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf ../$TOPNAME.ngc $TOPNAME.ngd";
-$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf -sd ../ $TOPNAME.edf $TOPNAME.ngd";
+#$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf -sd ../ $TOPNAME.edf $TOPNAME.ngd";
+$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf -sd ../ $TOPNAME.ngc $TOPNAME.ngd";
 execute($c);
 #
 # Command line for fpgafit
index 725b558f3c028b218ccd2f294a3e6511e38c2568..db187bb8f8e21bd7812fe447925e9e77b9767b92 100644 (file)
@@ -1,14 +1,19 @@
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.STD_LOGIC_ARITH.ALL;
+--use IEEE.STD_LOGIC_ARITH.ALL;
 use IEEE.STD_LOGIC_UNSIGNED.ALL;
 use IEEE.NUMERIC_STD.all;
-library UNISIM;
-use UNISIM.VCOMPONENTS.all;
+use STD.TEXTIO.all;
+use IEEE.STD_LOGIC_TEXTIO.all;
+--library UNISIM;
+--use UNISIM.VCOMPONENTS.all;
 
 entity etrax_interfacev2 is
   generic (
-    RW_SYSTEM : positive
+    ENABLE_DMA : positive;
+    RW_SYSTEM : positive;
+    RW_REGISTERS_NUMBER : positive;
+    R_REGISTERS_NUMBER : positive
     );
   port (
     CLK                     : in    std_logic;
@@ -33,21 +38,8 @@ entity etrax_interfacev2 is
     EXTERNAL_ACK            : out   std_logic;
     EXTERNAL_VALID          : in    std_logic;
     EXTERNAL_MODE           : out   std_logic_vector(15 downto 0);
-    FPGA_REGISTER_00        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_01        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_02        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_06        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_07        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_0E        : out    std_logic_vector(31 downto 0);
+    RW_REGISTER             : out   std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+    R_REGISTER              : in    std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
     LVL2_VALID              : in    std_logic
   --  DEBUG_REGISTER_OO       : out   std_logic_vector(31 downto 0)
     );
@@ -63,67 +55,49 @@ architecture etrax_interfacev2 of etrax_interfacev2 is
       pulse     : out std_logic);
   end component;
   
-  signal etrax_trigger_pulse : std_logic;
   signal rw_operation_finished_pulse : std_logic;
-  signal saved_rw_mode : std_logic_vector(15 downto 0);
-  signal saved_address : std_logic_vector (31 downto 0);
-  signal saved_data : std_logic_vector(31 downto 0);
-  signal saved_data_fpga : std_logic_vector(31 downto 0);
-  
-  signal fpga_register_00_i : std_logic_vector(31 downto 0);
-  signal fpga_register_01_i : std_logic_vector(31 downto 0);
-  signal fpga_register_02_i : std_logic_vector(31 downto 0);
-  signal fpga_register_03_i : std_logic_vector(31 downto 0);
-  signal fpga_register_04_i : std_logic_vector(31 downto 0);
-  signal fpga_register_05_i : std_logic_vector(31 downto 0);
-  signal fpga_register_06_i : std_logic_vector(31 downto 0);
-  signal fpga_register_07_i : std_logic_vector(31 downto 0);
-  signal fpga_register_08_i : std_logic_vector(31 downto 0);
-  signal fpga_register_09_i : std_logic_vector(31 downto 0);
-  signal fpga_register_0A_i : std_logic_vector(31 downto 0);
-  signal fpga_register_0B_i : std_logic_vector(31 downto 0);
-  signal fpga_register_0C_i : std_logic_vector(31 downto 0);
-  signal fpga_register_0D_i : std_logic_vector(31 downto 0);
-  signal fpga_register_0E_i : std_logic_vector(31 downto 0);
+  signal saved_rw_mode : std_logic_vector(15 downto 0):= (others => '0');
+  signal saved_address : std_logic_vector (31 downto 0):= (others => '0');
+  signal saved_data : std_logic_vector(31 downto 0):= (others => '0');
+  signal saved_data_fpga : std_logic_vector(31 downto 0):= (others => '0');
+  signal r_register_i  : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0) := (others => '0');
+  signal rw_register_i  : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0) := (others => '0');
+
+
   signal saved_external_data : std_logic_vector(31 downto 0);
   signal etrax_is_ready_to_read_i : std_logic;
   signal lvl2_not_valid_pulse : std_logic;
   signal counter_for_pulses : std_logic_vector(2 downto 0);
   signal internal_reset_i : std_logic := '0';
 
-  signal data_from_etrax : std_logic_vector(80 downto 0);
+  signal data_from_etrax : std_logic_vector(80 downto 0):= (others => '0');
   signal etrax_std_data_counter : std_logic_vector(7 downto 0):=x"00";
   signal enable_transmition : std_logic :='1';
   signal etrax_strobe : std_logic;
   signal data_to_etrax : std_logic_vector(31 downto 0);
-  signal reset_counter : std_logic_vector(15 downto 0) := x"0000";
-  signal external_reset_counter : std_logic_vector(31 downto 0);
-  signal en_trigg_to_etrax  : std_logic;
-  signal busy_dma_counter : std_logic_vector(3 downto 0);
-  signal busy_dma : std_logic;
-  signal etrax_busy_end_pulse : std_logic;
+
+  
   signal not_etrax_busy : std_logic;
-  signal data_valid_synch : std_logic;
-  signal send_data : std_logic;
+
+
   signal data_bus_reg : std_logic_vector(31 downto 0);
-   constant INTERFACE_FOR_TRANSFER : integer := 1;   --1 DMA, 2 no DMA
-  signal readout_lvl2_fifo_to_long :std_logic;
-  signal readout_lvl2_fifo_to_long_synch :std_logic;
+  
+
   signal readout_lvl2_fifo :std_logic;
-  signal etrax_busy_start : std_logic;
+
   signal data_valid_start_pulse : std_logic;
   signal data_valid_end_pulse : std_logic;
   signal data_valid_not : std_logic;
   signal etrax_busy_end : std_logic;
-  signal write_to_dma : std_logic;
   signal write_to_dma_synch : std_logic;
   signal word16_counter : std_logic_vector(7 downto 0);
   signal write_to_dma_synch_synch : std_logic;
+  signal reg_address : integer range 0 to 256 :=1;
 begin
 
   
 -------------------------------------------------------------------------------
--- transmition for reading, writing fpga registers, dsp, sdram , addon . . . 
+-- serial transmition for reading, writing fpga registers, dsp, sdram , addon . . . 
 -------------------------------------------------------------------------------
 
   TRB_SYSTEM                      : if RW_SYSTEM = 1 generate
@@ -147,7 +121,7 @@ begin
           etrax_std_data_counter              <= x"00";
         elsif etrax_strobe = '1' and etrax_std_data_counter < 81 then  -- and etrax_data_counter < 81 and etrax_data_counter > 0 then
           data_from_etrax(etrax_data_counter) <= ETRAX_DATA_BUS_C(16);
-          etrax_data_counter                := etrax_data_counter + 1;
+          etrax_data_counter                  := etrax_data_counter + 1;
           ETRAX_DATA_BUS_C(16)                <= 'Z';
           enable_transmition                  <= '0';
           etrax_std_data_counter              <= etrax_std_data_counter + 1;
@@ -159,7 +133,7 @@ begin
           enable_transmition                  <= '0';
         elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1' then
           data_from_etrax                     <= data_from_etrax;
-          ETRAX_DATA_BUS_C(16)                <= data_to_etrax((etrax_data_counter-81) mod 32);
+          ETRAX_DATA_BUS_C(16)                <= data_to_etrax((etrax_data_counter-81) mod 32);--+reg_address*32
           etrax_data_counter                := etrax_data_counter + 1;
           etrax_std_data_counter              <= etrax_std_data_counter + 1;
           enable_transmition                  <= '0';
@@ -184,26 +158,33 @@ begin
       if rising_edge(CLK)then
         if RESET = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114 and saved_rw_mode(15) = '1') then
           etrax_data_counter                := 0;
-          data_from_etrax                     <= (others => '0');
+          data_from_etrax                    <= (others => '0');
+          ETRAX_DATA_BUS_E(8)                <= 'Z';
+          enable_transmition                  <= '1';
+          etrax_std_data_counter              <= x"00";
+        elsif etrax_strobe = '0' and etrax_std_data_counter < 81 then 
+          etrax_data_counter                := etrax_data_counter;
+          data_from_etrax                    <= (others => '0');
           ETRAX_DATA_BUS_E(8)                <= 'Z';
           enable_transmition                  <= '1';
           etrax_std_data_counter              <= x"00";
+            
         elsif etrax_strobe = '1' and etrax_std_data_counter < 81 then  -- and etrax_data_counter < 81 and etrax_data_counter > 0 then
           data_from_etrax(etrax_data_counter) <= ETRAX_DATA_BUS_E(8);
-          etrax_data_counter                := etrax_data_counter + 1;
+          etrax_data_counter                 := etrax_data_counter + 1;
           ETRAX_DATA_BUS_E(8)                <= 'Z';
           enable_transmition                  <= '0';
           etrax_std_data_counter              <= etrax_std_data_counter + 1;
         elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1' then
           data_from_etrax                     <= data_from_etrax;
           ETRAX_DATA_BUS_E(8)                <= data_to_etrax(0);
-          etrax_data_counter                := etrax_data_counter + 1;
+          etrax_data_counter                 := etrax_data_counter + 1;
           etrax_std_data_counter              <= etrax_std_data_counter + 1;
           enable_transmition                  <= '0';
         elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1' then
-          data_from_etrax                     <= data_from_etrax;
+          data_from_etrax                    <= data_from_etrax;
           ETRAX_DATA_BUS_E(8)                <= data_to_etrax( (etrax_data_counter-81) mod 32);
-          etrax_data_counter                := etrax_data_counter + 1;
+          etrax_data_counter                 := etrax_data_counter + 1;
           etrax_std_data_counter              <= etrax_std_data_counter + 1;
           enable_transmition                  <= '0';
         end if;
@@ -242,71 +223,45 @@ begin
         saved_rw_mode <= (others => '0');
         saved_address <= (others => '0');
         saved_data <= (others => '0');
+        reg_address <= 1;
       else
         saved_rw_mode <= data_from_etrax(15 downto 0);
         saved_address <= data_from_etrax(47 downto 16);
+     --   reg_address <= reg_address* (conv_integer(data_from_etrax(47 downto 16)));
+        reg_address <= conv_integer(data_from_etrax(31 downto 16));
+        --only 16 lowest bits - the 8 highest is not taken into address for
+        --internal registers
         saved_data <= data_from_etrax(79 downto 48);
       end if;
     end if;
   end process CLOCK_SAVED_DATA;
-
+    
   REGISTERS: process (CLK)
   begin  
     if rising_edge(CLK) then  
 --     if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
-         fpga_register_01_i <= FPGA_REGISTER_01;
-         fpga_register_02_i <= FPGA_REGISTER_02;
-         fpga_register_03_i <= FPGA_REGISTER_03;
-         fpga_register_04_i <= FPGA_REGISTER_04;
-         fpga_register_05_i <= FPGA_REGISTER_05;
-         FPGA_REGISTER_06   <= fpga_register_06_i;  --this used for TDCjtag enable(0)
-         FPGA_REGISTER_07   <= fpga_register_07_i;
-         fpga_register_08_i <= FPGA_REGISTER_08;
-         fpga_register_09_i <= FPGA_REGISTER_09;
-         fpga_register_0A_i <= FPGA_REGISTER_0A;
-         fpga_register_0B_i <= FPGA_REGISTER_0B;
-         fpga_register_0c_i <= FPGA_REGISTER_0C;
-         fpga_register_0d_i <= FPGA_REGISTER_0D;
-         FPGA_REGISTER_0E   <= fpga_register_0e_i;
-     end if;
+        RW_REGISTER <= rw_register_i;
+        r_register_i <= R_REGISTER;
+    end if;
    end process REGISTERS;
   
    DATA_SOURCE_SELECT : process (CLK,RESET,saved_rw_mode,saved_address)
+
    begin
      if rising_edge(CLK) then
       if RESET = '1' then--(ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then
-         fpga_register_06_i                          <= x"00000000";
-         fpga_register_07_i                          <= x"00000000";
-         fpga_register_0e_i                          <= x"00000000";
+         rw_register_i                          <= (others => '0');
       else
         case saved_rw_mode(7 downto 0) is
           when "00000000"        =>
-            if saved_rw_mode(15) = '1' then
-              case saved_address(31 downto 0) is
-                when x"00000000" => saved_data_fpga <= fpga_register_00_i;
-                when x"00000001" => saved_data_fpga <= fpga_register_01_i;
-                when x"00000002" => saved_data_fpga <= fpga_register_02_i;
-                when x"00000003" => saved_data_fpga <= fpga_register_03_i;
-                when x"00000004" => saved_data_fpga <= fpga_register_04_i;
-                when x"00000005" => saved_data_fpga <= fpga_register_05_i;
-                when x"00000006" => saved_data_fpga <= fpga_register_06_i;
-                when x"00000007" => saved_data_fpga <= fpga_register_07_i;
-                when x"00000008" => saved_data_fpga <= fpga_register_08_i;
-                when x"00000009" => saved_data_fpga <= fpga_register_09_i;
-                when x"0000000A" => saved_data_fpga <= fpga_register_0A_i;
-                when x"0000000B" => saved_data_fpga <= fpga_register_0B_i;
-                when x"0000000C" => saved_data_fpga <= fpga_register_0C_i;
-                when x"0000000D" => saved_data_fpga <= fpga_register_0D_i;
-                when x"0000000E" => saved_data_fpga <= fpga_register_0E_i;
-                when others      => saved_data_fpga <= x"deadface";
-              end case;
+            if saved_rw_mode(15) = '1' and etrax_std_data_counter = 80 and reg_address > 127 and reg_address < 192 then 
+              saved_data_fpga <= r_register((reg_address+1-128)*32-1 downto ((reg_address-128)*32));
+            elsif saved_rw_mode(15) = '1' and etrax_std_data_counter = 80 and reg_address >191 and reg_address < 256 then 
+              saved_data_fpga <= rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32);
             elsif saved_rw_mode(15) = '0' and etrax_std_data_counter = 80 then
-              case saved_address(31 downto 0) is
-                when x"00000006" => fpga_register_06_i <= saved_data;
-                when x"00000007" => fpga_register_07_i <= saved_data;
-                when x"0000000e" => fpga_register_0e_i <= saved_data;                                    
-                when others      => null;
-              end case;
+               rw_register_i((reg_address+1-192)*32-1 downto (reg_address-192)*32) <= saved_data;
+            else
+              saved_data_fpga                           <= saved_data_fpga; 
             end if;
           when "00000001"        =>     --DSP write read
             saved_data_fpga                            <= saved_external_data;
@@ -320,12 +275,12 @@ begin
       end if;
     end if;
   end process DATA_SOURCE_SELECT;
-  
+
 -------------------------------------------------------------------------------
--- data transmitio fpga -> etrax
+-- data transmitio fpga <-> etrax
 -------------------------------------------------------------------------------
 --DMA
-  DMA_INTERFACE: if INTERFACE_FOR_TRANSFER=1 generate
+  DMA_INTERFACE: if ENABLE_DMA = 1 generate
 
     REG_DATA_TO_ETRAXa:process (CLK, RESET)
     begin  
@@ -341,22 +296,22 @@ begin
         end if;
       end if;
     end process REG_DATA_TO_ETRAXa;
-    ETRAX_DATA_BUS_B(7 downto 0) <= data_bus_reg(31 downto 24); 
---    ETRAX_DATA_BUS_B(6 downto 0) <= data_bus_reg(30 downto 24);  --!!!test
+--    ETRAX_DATA_BUS_B(7 downto 0) <= data_bus_reg(31 downto 24); 
+    ETRAX_DATA_BUS_B(6 downto 0) <= data_bus_reg(30 downto 24);  --!!!test
     ETRAX_DATA_BUS_B(15 downto 8) <= data_bus_reg(23 downto 16);
     ETRAX_DATA_BUS_C(15 downto 8) <= data_bus_reg(15 downto 8);
     ETRAX_DATA_BUS_C(7 downto 4) <= data_bus_reg(7 downto 4);
 
 
---    ETRAX_DATA_BUS_B(7) <= ETRAX_DATA_BUS_B_17;  --for test
+    ETRAX_DATA_BUS_B(7) <= ETRAX_DATA_BUS_B_17;  --for test
 
-    TDC_TMS                        <= ETRAX_DATA_BUS_C(1) when fpga_register_06_i(0) = '1'  else '1';
-    TDC_TCK                        <= ETRAX_DATA_BUS_C(2) when fpga_register_06_i(0) = '1'  else '1';
-    TDC_TDI                        <= ETRAX_DATA_BUS_C(3) when fpga_register_06_i(0) = '1'  else '1';
-    ETRAX_DATA_BUS_C(0)            <= TDC_TDO when fpga_register_06_i(0) = '1' else data_bus_reg(0);
-    ETRAX_DATA_BUS_C(1)            <= 'Z' when fpga_register_06_i(0) = '1' else data_bus_reg(1);
-    ETRAX_DATA_BUS_C(2)            <= 'Z' when fpga_register_06_i(0) = '1' else data_bus_reg(2);
-    ETRAX_DATA_BUS_C(3)            <= 'Z' when fpga_register_06_i(0) = '1' else data_bus_reg(3);
+    TDC_TMS                        <= ETRAX_DATA_BUS_C(1) when rw_register_i(0) = '1'  else '1';
+    TDC_TCK                        <= ETRAX_DATA_BUS_C(2) when rw_register_i(0) = '1'  else '1';
+    TDC_TDI                        <= ETRAX_DATA_BUS_C(3) when rw_register_i(0) = '1'  else '1';
+    ETRAX_DATA_BUS_C(0)            <= TDC_TDO when rw_register_i(0) = '1' else data_bus_reg(0);
+    ETRAX_DATA_BUS_C(1)            <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(1);
+    ETRAX_DATA_BUS_C(2)            <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(2);
+    ETRAX_DATA_BUS_C(3)            <= 'Z' when rw_register_i(0) = '1' else data_bus_reg(3);
     
     START_READOUT      : edge_to_pulse
       port map (
@@ -373,13 +328,6 @@ begin
         signal_in => data_valid_not,
         pulse     => data_valid_end_pulse);
 
-    ETRAX_BUSY_START_PULSER     : edge_to_pulse
-      port map (
-        clock     => CLK,
-        en_clk    => '1',
-        signal_in => ETRAX_DATA_BUS_B_17,
-        pulse     => etrax_busy_start);
-
     not_etrax_busy <= not ETRAX_DATA_BUS_B_17;
     
     ETRAX_BUSY_END_PULSER     : edge_to_pulse
@@ -415,17 +363,6 @@ begin
       end if;
     end process READOUT_LVL2_FIFO_PROC;
 
-    WRITE_TO_ETRAX_DMA: process (CLK, RESET)
-    begin
-      if rising_edge(CLK) then
-        if RESET = '1' or word16_counter = x"1e" then 
-          write_to_dma <= '0';
-        elsif word16_counter = x"00" then
-          write_to_dma <= '1';
-        end if;
-      end if;
-    end process WRITE_TO_ETRAX_DMA;
-    
     etrax_is_ready_to_read_i <= (data_valid_start_pulse or readout_lvl2_fifo) and DATA_VALID;
     ETRAX_IS_READY_TO_READ <= readout_lvl2_fifo;
     ETRAX_DATA_BUS_B(16) <= write_to_dma_synch_synch;--(not CLK) and (write_to_dma_synch_synch);
@@ -434,7 +371,7 @@ begin
 
   
 -- NO DMA
-  WITHOUT_DMA_ETRAX_INTERFACE: if INTERFACE_FOR_TRANSFER = 2 generate
+  WITHOUT_DMA_ETRAX_INTERFACE: if ENABLE_DMA = 2 generate
     
     ETRAX_READY_PULSE      : edge_to_pulse
       port map (
@@ -463,13 +400,13 @@ begin
 
   ETRAX_IS_READY_TO_READ <= DATA_VALID and ((etrax_is_ready_to_read_i and (not LVL2_VALID)) or (lvl2_not_valid_pulse  and LVL2_VALID));  
 
-  TDC_TMS                       <= ETRAX_DATA_BUS_C(1) when fpga_register_06_i(0) = '1' else '1';
-  TDC_TCK                       <= ETRAX_DATA_BUS_C(2) when fpga_register_06_i(0) = '1' else '1';
-  TDC_TDI                       <= ETRAX_DATA_BUS_C(3) when fpga_register_06_i(0) = '1' else '1';
-  ETRAX_DATA_BUS_C(0)           <= TDC_TDO             when fpga_register_06_i(0) = '1' else DATA_BUS(16);
-  ETRAX_DATA_BUS_C(1)           <= 'Z'                 when fpga_register_06_i(0) = '1' else DATA_BUS(17);
-  ETRAX_DATA_BUS_C(2)           <= 'Z'                 when fpga_register_06_i(0) = '1' else DATA_BUS(18);
-  ETRAX_DATA_BUS_C(3)           <= 'Z'                 when fpga_register_06_i(0) = '1' else DATA_BUS(19);
+  TDC_TMS                       <= ETRAX_DATA_BUS_C(1) when rw_register_i(0) = '1' else '1';
+  TDC_TCK                       <= ETRAX_DATA_BUS_C(2) when rw_register_i(0) = '1' else '1';
+  TDC_TDI                       <= ETRAX_DATA_BUS_C(3) when rw_register_i(0) = '1' else '1';
+  ETRAX_DATA_BUS_C(0)           <= TDC_TDO             when rw_register_i(0) = '1' else DATA_BUS(16);
+  ETRAX_DATA_BUS_C(1)           <= 'Z'                 when rw_register_i(0) = '1' else DATA_BUS(17);
+  ETRAX_DATA_BUS_C(2)           <= 'Z'                 when rw_register_i(0) = '1' else DATA_BUS(18);
+  ETRAX_DATA_BUS_C(3)           <= 'Z'                 when rw_register_i(0) = '1' else DATA_BUS(19);
   ETRAX_DATA_BUS_C(15 downto 4) <= DATA_BUS(31 downto 20);
   ETRAX_DATA_BUS_B(15 downto 0) <= DATA_BUS(15 downto 0);
   ETRAX_DATA_BUS_B(16)          <= DATA_VALID and (not LVL2_VALID);
index 7366e7e03586ba90a36db6ad4e2612fd30b33bfa..8848b098a97a381398f763f73f8db9002efa7031 100644 (file)
@@ -97,6 +97,7 @@ end edge_to_pulse;
 architecture arch_edge_to_pulse of edge_to_pulse is
   signal signal_sync               : std_logic;
   signal old_sync                  : std_logic;
+  signal pulse_fsm : std_logic;
   type state is (idle, high, wait_for_low);  -- state
   signal current_state, next_state : state;
 
@@ -108,6 +109,7 @@ begin  -- arch_edge_to_pulse
       if en_clk = '1' then
         current_state <= next_state;
         signal_sync   <= signal_in;
+        pulse <= pulse_fsm;
       end if;
     end if;
   end process fsm;
@@ -117,26 +119,27 @@ begin  -- arch_edge_to_pulse
   begin  -- process fsm_comb
     case current_state is
       when idle         =>
-        pulse        <= '0';
+        pulse_fsm        <= '0';
         if signal_sync = '1' then
           next_state <= high;
         else
           next_state <= idle;
         end if;
       when high         =>
-        pulse        <= '1';
+        pulse_fsm        <= '1';
         next_state   <= wait_for_low;
 --       when wait_for_low_1 =>
 --         pulse <= '1';
 --         next_state <= wait_for_low;
       when wait_for_low =>
-        pulse        <= '0';
+        pulse_fsm        <= '0';
         if signal_sync = '0' then
           next_state <= idle;
         else
           next_state <= wait_for_low;
         end if;
       when others       =>
+        pulse_fsm        <= '0';
        next_state   <= idle;
     end case;
   end process fsm_comb;
index fa82981a1b833e8cf2bce03f94cfd3422ab93b47..15ac38c8e03b50787720476052ce7ef60bc3f4bd 100644 (file)
@@ -1,3 +1,3 @@
 XILINX-XDB 0.1 STUB 0.1 ASCII
 XILINX-XDM V1.4e
-$b5b\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:23054<88>0<<>?2:270>6389>0<5>?1:30?4(7:91:87GAPTV9TWI@WLG[XTQ[HSGPL9742949;6?;:HLSQQ<WZFMTI@^[Y^VKV@UOWHYXI_Z31283:71<9=0BB][[:QPLCZCJX]STXE\JSI]MABGSM5;86=0>f:37>LHW]]0[^BIPFTNO[QNUMZB7=>4?>34851<NFY__6]\@G^DVHIYS@[OXDRO\SDPW845=878=7<:5IORVP?VUGNUMYABPTIPFWMYIMNK_I1?<:1<14>732@D[YY4\7frne\ahvsqV~c~h}g<0194;4038>1EC^ZT;rqkbYbey~rSyf}erj\evubz}6:?7>126920?OIX\^1|\7fah_dosp|Ys`{oxdR`jg`vf845=87;m7<:5IORVP?vugnUmyabPtipfwm:6;3:5>;5>4;KMTPR=x{elSk{cl^vkv`uoWhyxi\7fz31283:70<9=0BB][[:qplcZ`rdeU\7fd\7fk|h^lfcdrb4891<3?k;069KPRW]]0OIO[H_SF\TKRU4891<3?k;069KPRW]]0OIO[H_VP\TKRU4891<3?k;069KPRW]]0YHRJNT@]@KPHS4891<3?k;069KPRW]]0\^RJNT@]@KPHS4891<3?n;069KPRW]]0omyoPcnwmp974294996?;:NWWTPR=llh~kR|k_qlwvZvk}z;T~1?<:1<2b>732F__\XZ5dd`vcZtcWyd\7f~R|31283:4`<9=0DYY^ZT;fffpaXzmU{by|Pw=30>585=2;?6B[[PTV9``droV}yS}`{r^roqv7X\7f5;86=0=5:37>JSSX\^1hhlzg^uq[uhszVzgy~<Pw=30>586n2;?6B[[PTV9``droV}yS}`{r^p?56<768l0=94@UURVP?bbj|mT{\7fQ\7fnup\s974294:h6?;:NWWTPR=zmUomyoPcnwmp974294:h6?;:NWWTPR=\7f{UomyoPcnwmp974294:86?::HLSQQ<FLMXJ0<:50?37?43=AGZ^X7OKDS@?51<76819=?5<2;KMTPR=L@K7?<4?>00877<NFY__6IGM<2394;753:81EC^ZT;FJJ956294:>6==:HLSQQ<cag68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=95<2;MVPUSS2ME^X1=>:1<20>552F__\XZ5dnww867=87808=?>;58JJUSS2MC[M1=50?32?1<NFY__6IG_B=194;773=0BB][[:VGB86<768:087GAPTV9S@D;;3:5=?5;:NWWTPR=LFZJ0>4?>0080?IR\Y__6IA_B=194;`<<\7ffs8=hi106;)4663?80:4:468;56>>7<22;<=:483620>>3:8;0595601;1?<0330<=555NDEPB858>3HNO^L2>0?;8EABUI5;:245NDEPB844912KOH_O312<a?DBCZH6:87>19:CG@WG;9=437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF41437LJKR@>::==FLMXI0=06;@FGVG:68730MIJ]B=32:<=FLMXI0<<19:CG@WD;9:4i7LJKRC>20?6912KOH_L315<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK622n5NLLMPPZCJX]Si7LBBORV\BPJK12KXUCMPRDE0?GS502H^_RGAFN38Gd=DIZIJHHGAA`9@EVEFLLCENo5LARAB@@IR\Hh0OL]LAEGLQQD53JO97NG;;BNHE1=DDBH87NB]9:ALIHOS\LN<7N\JAUGG5>Ba3MOIYJQ]AL]FIUR^m2NNNXIPR@O\BPJKn2NNNXIPR@O\VAYBFo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g<LF__0>?50?:8@JSS4:;5;6J@UU>0:2=CG\^78394DNWW80803ME^X1817:FLQQ:06>1OCXZ38?58@JSS40497H::;DOSP\5<MGK87H@M2:D10>@5N9?0JLB\E29E@F2<NMIN?6HKE29E@U2<NMZN86HI2168BPJK=2Lj`~k>;F18CKB63@80E=<4I008M74<A:20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40><AGC_\R>98:KMMQVX8>30ECG[SUCWA2=NF@^T<=94IOKW[5703@DBXR>=7:KMMQY7;>1BBDZP0558MKOSW9?<7D@FT^253>OIA]U;;:5FNHV\4=1<AGC_S=78;HLJPZ6F?2CEEYQ?B69JJLRX8J=0ECG[_1F4?LHN\V:N;6GAIU]3B2=NF@^T==94IOKW[4703@DBXR?=7:KMMQY6;>1BBDZP1558MKOSW8?<7D@FT^353>OIA]U:;:5FNHV\5=1<AGC_S<78;HLJPZ7F?2CEEYQ>B69JJLRX9J=0ECG[_0F4?LHN\V;N;6GAIU]2B2=NF@^T>=94IOKW[7703@DBXR<=7:KMMQY5;>1BBDZP2558MKOSW;?<7D@FT^053>OIA]U9;:5FNHV\6=1<AGC_S?78;HLJPZ4F?2CEEYQ=B69JJLRX:J=0ECG[_3F4?LHN\V8N;6GAIU]1B2=NF@^T?=94IOKW[6703@DBXR==7:KMMQY4;>1BBDZP3558MKOSW:?<7D@FT^153>OIA]U8;:5FNHV\7=1<AGC_S>78;HLJPZ5F?2CEEYQ<B69JJLRX;J=0ECG[_2F4?LHN\V9N;6GAIU]0B3=NF@^TM;5FNHV\F<=NF@^TJDBJ3:KMR44<AG\N^YQLLJ]GKDYUMN;97D@YESV\GIMXLFHT^HI<;HMA6>JN:2FD:6B@AEGG3>JHO@IJ@95CUU37?ISS:=1GYY=;;MWW03=K]]>T@85BUYAZ1>KRPO?>7@[WF7a8Idlhz_oy\7fdaac:OjjjtQm{ybcc<4N018J4643G;:?6@>259M57733G;9>95A1367?K75>=1E=?9;;O31=6=I9:>0B<=?4:L2772<F89??6@>459M51333G;?:95A1557?K730=1E=97<;O360>H6=9>0B<;>4:L2172<F8?886@>5568J432<2D:9;:4N0740>H6=1>0B<;63:L221=I9?:?7C?9159M53433G;=?95A1767?K71==1E=;8;;O3531=I9?2?7C?9929M522<F8=;86@>7068J415<2D:;>:4N0570>H6?<>0B<994:L2322<F8=3?6@>859M5=533G;3895A1977?K7?>=1E=59;;O3;<1=I91387C?64:L2=52<F83:86@>9368J4?4<2D:59:4N0;60>H61?>0B<762:L17>H58=1E>=>;;O0351=I:98?7C<?359M65233G8;995A2147?K47?=1E>=6;;O03=6=I:8>0B???4:L1542<F;;9?6@=229M665<F;>87C<:3:L126=I:>90B?6<;O0:7>H48:1E?<=4N200?K54;2D88>5A3418J6043G>:?6@;339M17=I>;1E;?5A839M=c=IJVH^_]GA_QPLTV1<FL^\C_E8;OMNAWAB<2DDBH?4O39LO==H^LXM@BY>;Q68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE29SV@g<X[ELSHC_TX37?UTHOVOF\YWPTIPFWM:768?0\_AH_DOSP\YS@[OXD1??>078TWI@WLG[XTQ[HSGPL97668?0\_AH_DOSP\YS@[OXD1?=>058TWI@WLG[XTQ[HSGPL974294:96^]OF]FIUR^W]BYI^F312<20>VUGNUNA]ZV_UJQAVN;97;?7]\@G^GNTQ_X\AXN_E2=>068TWI@WLG[XTQ[HSGPL9599=1[^BIPELRW]ZROZLYC090>4:RQKBYBEY^RSYF]ERJ?1;733YXDKRKBPU[\PMTB[A6=2<:4PSMD[@KW\PU_D_K\H=5=51=WZFMTI@^[Y^VKV@UO414:86^]OF]FIUR^W]BYI^F39?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1>11b9SVJAXMDZ_URZGRDQK[DUTM[^7==0>c:RQKBYBEY^RSYF]ERJ\EVUBZ]6:=3?l;QPLCZCJX]STXE\JSI]BWVCU\5;92<k4PSMD[@KW\PU_D_K\H^CPW@TS4891<3?l;QPLCZCJX]STXE\JSI]BWVCU\5;82<l4PSMD[@KW\PU_D_K\H^CPW@TS484:n6^]OF]FIUR^W]BYI^FPARQFVQ:568h0\_AH_DOSP\YS@[OXDRO\SDPW8686j2ZYCJQJMQVZ[QNUMZBTM^]JRU>7:4d<X[ELSHC_TX]WLWCT@VKX_H\[<4<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y29>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0:0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]632<l4PSMD[@KW\PU_D_K\H^CPW@TS404:n6^]OF]FIUR^W]BYI^FPNDEBP@:768i0\_AH_DOSP\YS@[OXDR@JG@VF84699j1[^BIPELRW]ZROZLYCSCKHAUG?5486k2ZYCJQJMQVZ[QNUMZBTBHINTD>26;7b3YXDKRKBPU[\PMTB[AUEIJO[E=30>586k2ZYCJQJMQVZ[QNUMZBTBHINTD>27;7e3YXDKRKBPU[\PMTB[AUEIJO[E=3=5g=WZFMTI@^[Y^VKV@UOWGOLMYK32?3a?UTHOVOF\YWPTIPFWMYIMNK_I1=11c9SVJAXMDZ_URZGRDQK[KC@I]O783?m;QPLCZCJX]STXE\JSI]MABGSM5?5=o5_RNE\AHVSQV^C^H]G_OGDEQC;>7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9199k1[^BIPELRW]ZROZLYCSCKHAUG?<;7e3YXDKRKBPU[\PMTB[AUEIJO[E=;==>VUGNUMYAB>3:RQKBYA]EFTXE\JSI>3:42<X[ELSK[CL^VKV@UO48:5=95_RNE\BPJKW]BYI^F310<20>VUGNUMYABPTIPFWM:6:7;=7]\@G^DVHIYS@[OXD1?<:1<20>VUGNUMYABPTIPFWM:6;7;87]\@G^DVHIYS@[OXD1?1129SVJAXN\FGSYF]ERJ?6;743YXDKRHZLM]WLWCT@595=>5_RNE\BPJKW]BYI^F34?30?UTHOVL^@AQ[HSGPL9399:1[^BIPFTNO[QNUMZB7:3?<;QPLCZ@RDEU_D_K\H=5=56=WZFMTJXBC_UJQAVN;07;87]\@G^DVHIYS@[OXD1711`9SVJAXN\FGSYF]ERJ\EVUBZ]6;2<l4PSMD[CSKDV^C^H]G_@QPAWR;994:n6^]OF]EQIJX\AXN_EQNSRGQP97668h0\_AH_GWOHZROZLYCSL]\ESV?5786l2ZYCJQIUMN\PMTB[AUJ_^K]T=30>586j2ZYCJQIUMN\PMTB[AUJ_^K]T=30:4g<X[ELSK[CL^VKV@UOWHYXI_Z31?3b?UTHOVL^@AQ[HSGPLZGT[LX_0?0>a:RQKBYA]EFTXE\JSI]BWVCU\595=l5_RNE\BPJKW]BYI^FPARQFVQ:368k0\_AH_GWOHZROZLYCSL]\ESV?1;7f3YXDKRHZLM]WLWCT@VKX_H\[<7<2e>VUGNUMYABPTIPFWMYF[ZOYX1911`9SVJAXN\FGSYF]ERJ\EVUBZ]632<o4PSMD[CSKDV^C^H]G_@QPAWR;17;j7]\@G^DVHIYS@[OXDR@JG@VF8586j2ZYCJQIUMN\PMTB[AUEIJO[E=33:4d<X[ELSK[CL^VKV@UOWGOLMYK310<2f>VUGNUMYABPTIPFWMYIMNK_I1?=>0f8TWI@WO_G@RZGRDQK[KC@I]O7=>4?>0`8TWI@WO_G@RZGRDQK[KC@I]O7=>0>a:RQKBYA]EFTXE\JSI]MABGSM5;5=l5_RNE\BPJKW]BYI^FPNDEBP@:568k0\_AH_GWOHZROZLYCSCKHAUG?7;7f3YXDKRHZLM]WLWCT@VDNKLZJ<5<2e>VUGNUMYABPTIPFWMYIMNK_I1;11`9SVJAXN\FGSYF]ERJ\J@AF\L6=2<o4PSMD[CSKDV^C^H]G_OGDEQC;?7;j7]\@G^DVHIYS@[OXDR@JG@VF8=86i2ZYCJQIUMN\PMTB[AUEIJO[E=;=5>W13[KFN<8i;SCN[@^SMGYBCCQNf:PBIZC_\LDXEB@PB79Q@ZEKC8:0^IQKAUC\GJSI\5:5=<5]D^FBPDYDG\D_0<>1109Q@ZBF\HUHCX@[<03=54=ULVNJXLQLOTLW84499:1YHRJNT@]@KPHS4891<3?>;SF\@DRFWJE^BY2>3?33?WBXLH^JSNAZNU>2:46<ZMUOMYOPCNWMP949991YHRJNT@]@KPHS4:4:<6\K_ECWEZEH]G^783??;SF\@DRFWJE^BY2:>028VAYCI]KTOB[AT=4=55=ULVNJXLQLOTLW828682XOSIO[A^ALQKR;07;;7_JPD@VB[FIRF]62285]D^GMg>TBIMU\EIZG_@a8V@GCW^COXEQM6:PFCFCF>2XNKNKM3:PPP4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRFa>S7'noeSl}!ffcp=>SILLXM@BYn;TQFVZGKAHYh7X]JR^TJWLDKM<1]MAGK3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=f>QUA]OTJD\\Tb9TVLRBWDEOIROl;VPJP@YJGMOTNi5XRHVF[ROC\AUJh6Y]IUG\SLBS@VH=7Z\PABI5?RTXKEA:<6Y]_ECWEZEH]G^7<3?>;VP\@DRFWJE^BY2>0?32?RTXLH^JSNAZNU>25;763^XTHLZN_BMVJQ:6:7;87Z\PD@VB[FIRF]6:?7>1109TVZBF\HUHCX@[<01=55=PZVNJXLQLOTLW848682]YSIO[A^ALQKR;:7;;7Z\PD@VB[FIRF]682<>4WS]GEQGXKF_EX1:1119TVZBF\HUHCX@[<4<24>QUWMK_MRM@UOV?2;773^XTHLZN_BMVJQ:068:0[_QKAUC\GJSI\525==5XR^FBPDYDG\D_040:;VP\AK7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML3<PFXHU;5WSUNJF2=_[]ULBI94XRV\RFEe3QUHC_KPIODL55=_WJEYIRGAFN]OMVR13QniSDji;Yfk[Utne_oy\7fdaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkke<iegd\7fyQjmqvzf>gkefy\7fSk{cl79aefmrxm1imnezp^obvncu;2igg<h4cnpfc`hvy&~f|"Qlg.vfwqrs|}~%bjak;ecweZeh}g~#<$j4d`vb[firf}":%h5kauc\gjsi|!;;%h5kauc\gjsi|!;:%h5kauc\gjsi|!;9%h5kauc\gjsi|!;8%i5kauc\gjsi|!8"h6jnt`]`kphs :#o7io{a^alqkr/< n0hlzn_bmvjq.2!m1omyoPcnwmp-0.l2njxlQlotlw,2/c3mk\7fmRm`uov+<,b<lh~jSnaznu*:-a=ci}kTob{at=2=55=ci}kTob{at=30>5803mhbxh|}199gags`W{nT|cz}_qnvw4Yu494:56jjbte\vaYwf}xT|a{|1^p?558612nnnxiPre]sjqtXxe\7fx=R|310<2=>bbj|mT~iQ\7fnup\tist9Vx7=?0>b:fffpaXzmU{by|Ppmwp5Zt;9:0;2<74dd`vcZtcWyd\7f~R~cur3\v9746820hhlzg^pg[uhszVzgy~?Pr=3=5==cmk\7flS\7fjPpovq[ujr{8Uy0?0>8:fffpaXzmU{by|Ppmwp5Zt;;7;37ikmuf]q`Zvi|{U{`x}>_s>7:4><llh~kR|k_qlwvZvk}z;T~1;1199gags`W{nT|cz}_qnvw4Yu4?4:46jjbte\vaYwf}xT|a{|1^p?3;7?3moiyjQ}d^rmpwYwd|y:S\7f27>0:8``droVxoS}`{r^roqv7Xz535=?5kecwd[wbXxg~yS\7f2?>018``droVxoS}`{r^p?5586;2nnnxiPre]sjqtXz5;:2<=4dd`vcZtcWyd\7f~R|313<21>bbj|mT~iQ\7fnup\v974294:?6jjbte\vaYwf}xT~1?<>008``droVxoS}`{r^p?5;753moiyjQ}d^rmpwYu4;4:>6jjbte\vaYwf}xT~1=1139gags`W{nT|cz}_s>7:44<llh~kR|k_qlwvZt;=7;97ikmuf]q`Zvi|{Uy0;0>2:fffpaXzmU{by|Pr=5=57=cmk\7flS\7fjPpovq[w:?6880hhlzg^pg[uhszVx753?=;egaqbYulVzex\7fQx<1<27>bbj|mT~iQ\7fnup\s9776890hhlzg^pg[uhszV}7=<0>3:fffpaXzmU{by|Pw=31:43<llh~kR|k_qlwvZq;9:0;2<=4dd`vcZtcWyd\7f~Ry312<26>bbj|mT~iQ\7fnup\s9799;1oio{h_sf\tkruW~692<<4dd`vcZtcWyd\7f~Ry33?31?ace}nUyhR~ats]t8186:2nnnxiPre]sjqtX\7f5?5=?5kecwd[wbXxg~ySz29>008``droVxoS}`{r^u?3;753moiyjQ}d^rmpwYp414:>6jjbte\vaYwf}xT{171199gags`W~xT|cz}_qnvw4Yp494:56jjbte\swYwf}xT|a{|1^u?558612nnnxiPws]sjqtXxe\7fx=Ry310<2=>bbj|mT{\7fQ\7fnup\tist9V}7=?0>b:fffpaX\7f{U{by|Ppmwp5Zq;9:0;2<74dd`vcZquWyd\7f~R~cur3\s9746820hhlzg^uq[uhszVzgy~?Pw=3=5==cmk\7flSz|Ppovq[ujr{8U|0?0>8:fffpaX\7f{U{by|Ppmwp5Zq;;7;37ikmuf]tvZvi|{U{`x}>_v>7:4><llh~kRy}_qlwvZvk}z;T{1;1199gags`W~xT|cz}_qnvw4Yp4?4:46jjbte\swYwf}xT|a{|1^u?3;7?3moiyjQxr^rmpwYwd|y:Sz27>0:8``droV}yS}`{r^roqv7X\7f535=55kecwd[rtXxg~yS}bzs3]t858612nnnxiPws]sjqtXxe\7fx>Ry311<2=>bbj|mT{\7fQ\7fnup\tist:V}7=<0>9:fffpaX\7f{U{by|Ppmwp6Zq;9;4:n6jjbte\swYwf}xT|a{|2^u?56<76830hhlzg^uq[uhszVzgy~<Pw=30:4><llh~kRy}_qlwvZvk}z8T{1?1199gags`W~xT|cz}_qnvw7Yp4;4:46jjbte\swYwf}xT|a{|2^u?7;7?3moiyjQxr^rmpwYwd|y9Sz2;>0:8``droV}yS}`{r^roqv4X\7f5?5=55kecwd[rtXxg~yS}bzs3]t838602nnnxiPws]sjqtXxe\7fx>Ry37?3;?ace}nU|~R~ats]shpu5W~632<64dd`vcZquWyd\7f~R~cur0\s9?99;1oio{h_vp\tkruW{6;2<=4dd`vcZquWyd\7f~R|311<27>bbj|mT{\7fQ\7fnup\v9766890hhlzg^uq[uhszVx7=?0>5:fffpaX\7f{U{by|Pr=30>586;2nnnxiPws]sjqtXz5;82<<4dd`vcZquWyd\7f~R|31?31?ace}nU|~R~ats]q8786:2nnnxiPws]sjqtXz595=?5kecwd[rtXxg~yS\7f2;>008``droV}yS}`{r^p?1;753moiyjQxr^rmpwYu4?4:>6jjbte\swYwf}xT~191139gags`W~xT|cz}_s>;:44<llh~kRy}_qlwvZt;17;97ikmuf]tvZvi|{U|0=0>3:fffpaX\7f{U{by|Pw=33:45<llh~kRy}_qlwvZq;984:?6jjbte\swYwf}xT{1?=>078``droV}yS}`{r^u?56<76890hhlzg^uq[uhszV}7=>0>2:fffpaX\7f{U{by|Pw=3=57=cmk\7flSz|Ppovq[r:56880hhlzg^uq[uhszV}7?3?=;egaqbYpzVzex\7fQx<5<26>bbj|mT{\7fQ\7fnup\s9399;1oio{h_vp\tkruW~6=2<<4dd`vcZquWyd\7f~Ry37?31?ace}nU|~R~ats]t8=86:2nnnxiPws]sjqtX\7f535:6jfn)2*2>bnf!;";6jfn)33-2=cag":=$94dhl+57/03mce$<=&7:fjj-73!>1oec&>5(58`lh/9?#<7iga(05*3>bnf!;3%:5kio*2=,0<l`d#>$94dhl+65/03mce$??&7:fjj-45!>1oec&=3(58`lh/:=#<7iga(37*3>bnf!8=%:5kio*13,1<l`d#>5'8;ekm,7?.>2nbb%=&7:fjj-57!>1oec&<1(48`lh/< <0hd`'5(48`lh/> <0hd`'7(48`lh/0 <0hd`'9(48`lh;87=0hd`311<4?aoi48;5;6jfn=31:2=cag6:?394dhl?51803mce0<;17:fjj9716>1oec2>7?58`lh;914<7iga<0;=2>bnf5;5;6jfn=03:2=cag69=394dhl?67803mce0?=17:fjj9436>1oec2=5?58`lh;:?4<7iga<35=3>bnf5832:5kio>1=;0<l`d7>394dhl?758>3mce0>?50?58`lh;;84=7iga<2<5?aoi4=4=7iga<4<5?aoi4?4=7iga<6<5?aoi414=7iga<8<4?air|!:";6j`uu*2-==cg|~#=='7;emvp-76!11ocxz'13+;?air|!;8%55kotv+51/?3me~x%?:)99gkpr/9?#37iazt)34-==cg|~#=5'7;emvp-7>!>1ocxz'2(:8`jss ;:"46j`uu*15,><lf\7f\7f$?<&8:flqq.5; 20hb{{(36*<>bh}}"99$64dnww,70.02ndyy&=7(:8`jss ;2"46j`uu*1=,1<lf\7f\7f$>'7;emvp-57!11ocxz'30+4?air|!>";6j`uu*6-2=cg|~#:$94dnww,2/03me~x%6&7:flqq.>!>1ocxz30?:8`jss48:546j`uu>25;><lf\7f\7f0<<18:flqq:6;720hb{{<06=<>bh}}6:9364dnww840902ndyy2>7?:8`jss482546j`uu>2=;1<lf\7f\7f0<07;emvp947611ocxz320<;?air|589255kotv?668?3me~x1<;>99gkpr;:<437iazt=05:==cg|~7>:07;emvp94?611ocxz328<4?air|58546j`uu>04;g<lf\7f\7f0>?50?:8`jss4:;5;6j`uu>0:2=cg|~78394dnww80803me~x1817:flqq:06>1ocxz38?58`jss40437hjff3ld`0=bey~r><5iigm\c`hbzh~d~Rx<_2.#Gjtbold+5#<f179emciXoldn~lz`r^t0[6Yf{=1myab7;oe`fpokl?o0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;87<m7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48:5;?5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<3Q}t7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<46>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=32:Zts>o1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7=97ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4885S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1709mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>>^pw2`=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:27<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7=:7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4T~y8j;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir09081:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1:1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=34=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>6:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16>;0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;>7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;39>;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:0Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=:=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9?81ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:>6Vx\7f;85aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXd|~7<3QFNW]335=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?558082dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:697=;7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;92:>4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<=1729mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|312<\vq0a3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv979>o1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7<m7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz595:k5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7838i;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1;16g9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?4e?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=5=2c=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?<;0a3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9?9><1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1>1679mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9776?<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>1?45?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9;4=:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<01=20=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;5:85aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=0=20=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|595:85aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=6=20=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5?5:85aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=4=20=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5=5:85aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=:=20=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|535:>5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_140?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6>=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<>94:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\54033geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:>;:4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^3026=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW;<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ<629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[1043geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U>:>5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_740?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY0>:1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS58<;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;994T~y9:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>25;1?3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:=3Q}t678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9756>20bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?=>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585;:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<\vq133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682:94nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs78398;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir08087:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181769mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>658jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919W{~<86``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?54?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:?6Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;17Uyx:l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQcuu>3:ZOI^V:<:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<02=33=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;:2:84nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>26;113geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=>089:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8459W{~<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4;4<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<2<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<4<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4?4<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<6<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu414<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2?>7a8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<>16b9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=<09c:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:>38l;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;82;l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs484=n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:56?h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8681j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>7:3d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<4<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y29>7`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0:09b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}632;l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs404=56``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ6112dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^35e>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR??6`9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:=;o4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9;<j7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[45112dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^05=>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR=99:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V>=56``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ3112dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^45=>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR999:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V2=56``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ??>2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1>1869mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6872j7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=[wr??2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>9c8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;984T~y68;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az84490h1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>2?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=366;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz76:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959001ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw<3=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2574nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?0;Yu|1<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?::?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4T~y69;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838?12dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv;2>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5445aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:Zts0?1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>9;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;07Uyx584nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?=;>>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir040Pru:g?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZjr|5:5SD@Y_1:;?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;;2564nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479011ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<;<>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?36m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9746Vx\7f4:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5;>03geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1<1869mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;72<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=6=<2=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx79368;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9090>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?:4?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5254:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?=;1c3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?4;1b3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5580m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8479?l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9756>o0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6;7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;:7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;;7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;<7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;>7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;?7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07=o7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17=h7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjq:76>n0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9776>n0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9766>n0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9756>n0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9746>i0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp979?j1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw8780k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?7;1d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>7:2e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=7=3f=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<7<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz37?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~by27>6a8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fex1717c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=9m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:;n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8:<o6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98=h7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6:>i0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ74?k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[71e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]03g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_55a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ:7c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;9m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<;o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW1=i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY>>l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:76?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;994<>6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2R|{6g9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>1?51?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=[wr1n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9756>80bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4T~y8j;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=0=34=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:46>;0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7839>;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir090Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<4<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}909?81ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6<2:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;3Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?52?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<\vq0b3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8<8092dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9W{~<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYk}}6;2RGAV^244>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>24;173geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9766>:0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4885;=5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=>083:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>3?]qp3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8481n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:56?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4:4=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6?2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0809f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f29>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<6<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>;:3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8<81=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0=096:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8469>?1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?>>748jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6:7<=7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz312<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs484=96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<3<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4:4=96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<5<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4<4=96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<7<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4>4=96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<9<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs404=?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP0718jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ71<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T==8;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]2532<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;9:95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_0157>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX:?90bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR=93:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\035<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V?=?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP6718jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ11;2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T4;=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^;40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;87=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>0?5;?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:687Uyx:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=32:2><ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;:2R|{749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8449?11ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<<1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4;4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs79398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>6:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0;087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9>9W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw39?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:>6Vx\7f;o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRbzt=2=[LHQW9==7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|311<42>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu48;5;;5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=31:20<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?396;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?568Xz}=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|31?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|33?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;<7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|35?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;>7=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?56?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07=>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|39?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1>16b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7==09c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:=38l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;92;m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4895:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?7;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=6=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz35?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1816c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7;38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|525:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[50>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_04b?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<>9a:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;::l5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6:?k0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\560>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_34:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS>86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW=<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[00>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_74:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS:86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW1<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[<133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:2><ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2R|{749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8479?11ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<?1_sv41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4<46``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw313<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0>087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1:1769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az818Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<6<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=:=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~414T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535S\7fz8b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_mww858XAG\T<:84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>24;113geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=<086:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8449??1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?<>6;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6;7Uyx:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6?2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>6:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{632:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<1<5g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>0?4`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?>>7a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<<16b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>09b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:2;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4;4=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:46?h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8181j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>6:3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<7<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y28>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0509b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}622;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX8?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\53g<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP114b?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<?9a:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;9:l5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6;?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\63?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP37;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T8;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX=?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\23?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP77;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T4;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX11<0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw30?:4?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48:54l5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;Yu|1=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<;e>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;:2R|{869mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:72j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=[wr?>2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1889mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66Vx\7f4;5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:=?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>3Q}t948jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7227ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<\vq>13geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir09079:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929W{~3:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=<<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2R|{879mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16130bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;366;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525S\7fz76:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9001ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>^pw<a=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXd|~7<3QFNW]3<==ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7==078:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:697237ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=31:=><ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<=18c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9:4T~y68;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9790>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|32?:4?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5954:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?0;>03geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1;1869mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;>72<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=5=<2=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx74368;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9?9?m1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop969?l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9776>o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:697=n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9;4<i6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4895;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;5;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|585;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|595;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5>5;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5?5;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5<5;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5=5;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|525;i5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|535;n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphs494<h6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;994<h6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;984<h6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;9;4<h6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;9:4<o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkr;97=h7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjq:56>i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp959?j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw8180k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?1;1d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>5:2e<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=5=3f=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<9<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz39?5a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ?7c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<9l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<:m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;:;n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW88<o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9:=i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY5?k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[61e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]73g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_45a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ97c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS:9m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U3;o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0837ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>3:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1??>3;8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq5;:2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}9756;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQly=3=6==ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir0?0=8:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[f\7f;;7837ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>7:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1;1299mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZe~4?4946``rlefj+`kjea$A~{m_uos[uhszVxThdhi_b{?3;4?3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot27>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq535>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp969:h1ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=33:7g<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUgyy2>1?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xd|~7=?0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss4895>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp979:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=0=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x1=1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5>5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp939:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRbzt=4=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVf~x191289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|525>45aosodak(adkf`#@}zb^vntZvi|{UySigif^nvp9?9:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=2=6d=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~1??>3c8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcY\7fg{6:=3<n;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\|jt;9;49m6``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq8459:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=3=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~1<1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz595>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv929:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=7=6<=ig{glic ilcnh+HurjV~f|R~ats]q[aoanVrd~181289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZ~hz5=5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9>9:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRv`r=;=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir0=0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;994956``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?548512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSnw313<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWjs7=3<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:56;20bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQly=1=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir090=8:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;=7837ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>5:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu191299mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~414946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?=;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolT`xz30?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xd|~7==0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[iss48;5>l5aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp9756;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQcuu>27;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolT`xz31?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xd|~7>3<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\hpr;;7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPltv?0;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolT`xz35?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xd|~7:3<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\hpr;?7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPltv?<;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolT`xz39?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx7<3<n;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\|jt;9949m6``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_ymq8479:h1ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRv`r=31:7g<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUsc\7f2>3?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx7=3<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\|jt;:7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPxnp?7;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|34?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx793<6;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\|jt;>7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPxnp?3;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|38?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xpfx753?>;omqibci&ofi`f!A1132?kiuenoe"kbmlj-M54763geyajka.gnahn)I9;;:7ca}mfgm*cjedb%E=>?>;omqibci&ofi`f!A1532?kiuenoe"kbmlj-M50763geyajka.gnahn)I9?;:7ca}mfgm*cjedb%E=:?>;omqibci&ofi`f!A1932?kiuenoe"kbmlj-M5<763geyajka.gnahn)I:9;:7ca}mfgm*cjedb%E><?>;omqibci&ofi`f!A2332?kiuenoe"kbmlj-M66763geyajka.gnahn)I:=;:7ca}mfgm*cjedb%E>8?>;omqibci&ofi`f!A2732?kiuenoe"kbmlj-M62763geyajka.gnahn)I:1;:7ca}mfgm*cjedb%E>4?>;omqibci&ofi`f!A3132?kiuenoe"kbmlj-M74763geyajka.gnahn)I;;;:7ca}mfgm*cjedb%E?>??;omqibci&ofi`f!A6028jjtjold%jalck.L455=ig{glic ilcnh+K>682dd~`ijn/dofim(F0937ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R~ats]dgZ~hz9:;<R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!rez,I}iuWyd\7f~Ril_ymq4566W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?010\V`urd};846``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ\7fnup\cfY\7fg{:;<>Q]erwop45?3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVzex\7fQhc^zlv567<VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%~iv Mymq[uhszVmhSua}0126[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(ulq%Ftb|Ppovq[beXpfx;<=8PRdqvhq7402dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U{by|Pgb]{kw678>UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZvi|{UloRv`r123<ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>6_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt788:T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2354YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>>2^Pfwpjs9;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ?259mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ75=2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS<>=5:llvhabf'lgnae cminka)dp'xot"^ATS]A[475=2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS<<=5:llvhabf'lgnae cminka)dp'xot"^ATS]A[455<2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS?<;;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\772<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU?>95aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^710>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW?8?7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP7368jjtjold%jalck.aoohic'jr%~iv POVQ[GY?:=1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNR7=a:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:;>o5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567888j7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLPxnp34575j2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}012257g<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?030a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=<>2`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;??l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678:89m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4563:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123044f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0171f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>:13c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<;<l;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789<:=>>4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678?;:S^Y?2c9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;:?<i;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789<Tnij?2`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;;?l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678>;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq456?:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123<4413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmh0=0=7:llvhabf'lgnae cminka)dp'xot"~ats]dg9776;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm310<13>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`k5;92?94nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?5685>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1?1279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:56;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm33?05?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad4=49:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=7=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj6=2?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?3;413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmh050=6:llvhabf'lgnae cminka)dp'xot"~ats]dg9?9:<1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ?249mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY6:?1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ>0348jjtjold%jalck.aoohic'jr%~iv povq[beX988=7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_0012>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kV;8>85aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]160=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojU8>85aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]760=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojU>>85aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]560=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojU<>85aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb];60=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojU2>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6969:h1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=33:7g<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?2>1?0b?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87=?0=a:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54895>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6979:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=0=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>1=1289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:5>5>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6939:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2=4=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>191289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:525>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{69?9:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2^21<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9S<<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X99827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\544>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P130:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8T=><7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X:;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]06==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R:=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W<837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\27><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q8299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V2946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[<4?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu2?>3;8jjtjold%jalck.aoohic'jr%~iv povq[beXp5;;2?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|9766;30bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=31:7?<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt1?<>3:8jjtjold%jalck.aoohic'jr%~iv povq[beXp5;5>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{878502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv33?0;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq6?2?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|939:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<7<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr7;3<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}:?6;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=;=62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS=<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y6:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_021<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT=<<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y6:;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^3062=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS?<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y4:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_504?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU>>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[3403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ8269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW18<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]:6g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?010a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>>2c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<?<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:8>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw678=8i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4562:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23434e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01246g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?090a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>62c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;==<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89;:>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw679;9;7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\4Z~hz9:;<><4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y7Wqey<=>?2017?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T<Rv`r1234ZUP8:?0bb|bgdl-bidkc&igg`ak/bz-va~(|dzTzlbze7]3[}iu89:;Sojk2228jjtjold%jalck.aoohic'jr%~iv tlr\rdjrm?U:Sua}012374=ig{glic ilcnh+fjlefn$ou }dy-wiuYqie\7fn:R?Pxnp34566;91ec\7fcheo,ehgjl'jf`abj cy,q`})seyU}ma{j6^0\|jt789:8=6``rlefj+`kjea$oaeboe-`|+tcp&~f|Rxnltg5[7Y\7fg{:;<=?<0:llvhabf'lgnae cminka)dp'xot"zbp^tbhpc1W:Usc\7f>?0117?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T?Rv`r1234ZUP8:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:;S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3457XZly~`y?<8:llvhabf'lgnae cminka)dp'}yt"Cwos]sjqtXojUsc\7f>?03]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*rt\7f'Drd~R~ats]dgZ~hz9:;?R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuWyd\7f~Ril_ymq4563W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\tkruWniTtb|?017\V`urd};846``rlefj+`kjea$oaeboe-`|+qup&Gsc\7fQ\7fnup\cfY\7fg{:;<;Q]erwop45?3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVzex\7fQhc^zlv567?VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%{\7fv Mymq[uhszVmhSua}012;[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=7PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw6799UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1225ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=?=_Sgpqir6:=1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNR>=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[4423geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT==<:;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\54423geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=?<:;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\56433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT>?:4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]061=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV>986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_407?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX>;>0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ8259mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ>5<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS4<n;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\|jt789:9n6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq45679;k0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos23444e3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01326d=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?23`8jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY\7fg{:;<??=a:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:8>o5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567;;8j7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34525j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012757g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?040a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=;>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;:?m4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678?;:?=5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567>8;T_Z>=b:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:=>?h4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678?Uihi>=a:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:<>o5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567?88j7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp345>5j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012;570<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWni7<3<8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8469:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTkn2>1?04?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad4885>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>27;413geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh0<0=6:llvhabf'lgnae cminka)dp'}yt"~ats]dg949:?1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTkn2<>348jjtjold%jalck.aoohic'jr%{\7fv povq[be;<78=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<4<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k5<5>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>4:70<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWni743<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8<85=2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloR>=5:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ75>2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloR??279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY69;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmP1305?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW89996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^011>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV9996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^611>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV?996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^411>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV=996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^:11>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV3956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z18585i2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<02=6d=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>1?>>3c8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;6:>3<n;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4;9:4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1848512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<3<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90>0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54=4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1808512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<7<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90:0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5414956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z18<8502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_10;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T=?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y68;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]257?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q>23;8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;U:??64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y5:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^11<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S9<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X=;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]56==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R9=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W1837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0\=7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt1>1289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f48:5>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{8479:01ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<00=6<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs0<=1299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f484946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z?6;4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2<>3:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp5>5>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{808502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv36?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6<2?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|9>9:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<8<13>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT<?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z7502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP110;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU:=?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z75:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_0113>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT>?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z55?2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP4358jjtjold%jalck.aoohic'jr%{\7fv povq[beXpV?9;6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\271<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR9=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X0;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^;1f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?03`8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpfx;<=?=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9:;>?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|jt78999n6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPxnp34535j2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv`r12327d<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtb|?0151f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?83`8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpfx;<=7=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9::<?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|jt788;9n6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^zlv566:::0bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]3[}iu89:;??5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z6Xpfx;<=>=1268jjtjold%jalck.aoohic'jr%{\7fv tlr\rdjrm?U;Sua}0123[VQ7;<1ec\7fcheo,ehgjl'jf`abj cy,tv})seyU}ma{j6^2\|jt789:Tnij=319mkwk`mg$m`obd/bnhijb(kq$|~u!{mq]ueisb>V;Ttb|?01205>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S<Qwos23457482dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_3]{kw67899:7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'}g{S{ocud4\6Z~hz9:;<<=?;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X;Vrd~=>?0268jjtjold%jalck.aoohic'jr%{\7fv tlr\rdjrm?U8Sua}0123[VQ79o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW9;m7ca}mfgm*cjedb%yhRjnt`]`kphsW`U:>=5aosodak(adkf`#\7fjPd`vb[firf}UbS<>=0:llvhabf'lgnae re]geqgXkf\7fexRgP1003?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h]2676<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ749o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW;;m7ca}mfgm*cjedb%yhRjnt`]`kphsW`U8=k5aosodak(adkf`#\7fjPd`vb[firf}UbS9?i;omqibci&ofi`f!}d^fbpdYdg|d\7fSdQ:1g9mkwk`mg$m`obd/sf\`drfWje~byQf_73e?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h]45c=ig{glic ilcnh+wbXlh~jSnaznu]j[=7a3geyajka.gnahn)ulVnjxlQlotlw[lY>:91ec\7fcheo,ehgjl'{zyi`ak/SCN[WBXMG;9:6``rlefj+`kjea$xe|boe-baii`aj%NA]ZV_hlu6a=ig{glic ilcnh+qnuefn$mhb`gha,AHVSQVcez<QFNW]36g=ig{glic ilcnh+qnuefn$mhb`gha,eikh{}Una}zv_h11?kiuenoe"kbmlj-wlwkhl&kn`bifc.coijusWlg{xtQf_np34564;2dd~`ijn/dofim(|axfci!nemmdmf)fddexxRkbpu{\mZiu89:;=?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.abvw\7fim}6;2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.abvw\7fim}6:2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.abvw\7fim}692?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.abvw\7fim}682?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.abvw\7fim}6?2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.abvw\7fim}6>2>k4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.grgdub&kcl"`b\7f[1_-bvr)eocy\7fy=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-fufgtm'hbk#ccpZ3^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk>/ds`evc)j`m%aa~T2\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!jqbcpa+dno'gg|V=R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP8P bie,ng|5e3geyajka.gnahn)s`{gdh"ojlnejg*efm8%n}no|e/`jc+kkxR?V"`gk.laz7g=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'l{hm~k!bhe-iiv\>T$fei bcx04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q95:5>:5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3?5;403geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=1<1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7;;78<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1=6=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;793<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5909:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?P0^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1^3\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3\6Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5Z5Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7X<Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q9V?Tmcj?01226c=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;T:Road123444c3geyajka.gnahn)s`{gdh"ojlnejg*efm;%hm\7f|vndv?4;4c3geyajka.gnahn)s`{gdh"ojlnejg*efm;%hm\7f|vndv?5;4c3geyajka.gnahn)s`{gdh"ojlnejg*efm;%hm\7f|vndv?6;4c3geyajka.gnahn)s`{gdh"ojlnejg*efm;%hm\7f|vndv?7;4c3geyajka.gnahn)s`{gdh"ojlnejg*efm;%hm\7f|vndv?0;4c3geyajka.gnahn)s`{gdh"ojlnejg*efm;%hm\7f|vndv?1;5b3geyajka.gnahn)s`{gdh"ojlnejg*efm;%n}no|e/`jc+kkxR:V"k}{.ldjvvr4j2dd~`ijn/dofim(|axfci!nemmdmf)dil8$i|mnsd,amb(jdyQ:Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&ozol}j.ckd*hjwS;W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(mxij\7fh mif,nhu]4U'gbh#cly2`8jjtjold%jalck.vkvhic'hogcjgl/bcf6*cvkhyn"ogh.lns_1[)e`n%anw<b:llvhabf'lgnae tipnka)fmeelen!lad0,atef{l$iej blqY6Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[7_-ilb)ejs9;6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0>3:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:0<0=7:llvhabf'lgnae tipnka)fmeelen!lad0,r4:56;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x><2<13>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~86?2?94nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t28085?2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<29>3d8jjtjold%jalck.vkvhic'hogcjgl/bcf6*p6W9Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8U:Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:S?Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<Q<_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x>_5]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0]6[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t2[3Yffm:;<=?=5:llvhabf'lgnae tipnka)fmeelen!lolr\e`75=2dd~`ijn/dofim(|axfci!nemmdmf)dgdzTmh<=5:llvhabf'lgnae tipnka)fneelen!IUMN\mkp5k2dd~`ijn/dofim(|axfci!nfmmdmf)A]EFTecx>_HLU[54f3geyajka.gnahn)s`{gdh"oilnejg*gkefy\7fSk{cl^k05>hhzdmnb#hcbmi,pmtjgm%jjaahib-bhhit|Vl~`aQf_np34564:2dd~`ijn/dofim(|axfci!nfmmdmf)fddexxRhzlm]j[jt789::>i5aosodak(adkf`#yf}mnf,ecjho`i$olh>/bcqv|hb|5:5>i5aosodak(adkf`#yf}mnf,ecjho`i$olh>/bcqv|hb|5;5>i5aosodak(adkf`#yf}mnf,ecjho`i$olh>/bcqv|hb|585>i5aosodak(adkf`#yf}mnf,ecjho`i$olh>/bcqv|hb|595>i5aosodak(adkf`#yf}mnf,ecjho`i$olh>/bcqv|hb|5>5>i5aosodak(adkf`#yf}mnf,ecjho`i$olh>/bcqv|hb|5?5?h5aosodak(adkf`#yf}mnf,ecjho`i$olh>/ds`evc)j`m%aa~T0\,ewq(jn`xxx>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.grgdub&kcl"`b\7f[0_-ilb)ejs8n6``rlefj+`kjea$xe|boe-bbii`aj%hmk? epabw`(ean$f`}U=]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="k~c`qf*go`&df{W>S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$i|mnsd,amb(jdyQ?Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ozol}j.ckd*hjwS<W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(mxij\7fh mif,nhu]1U'gbh#cly358jjtjold%jalck.vkvhic'hlgcjgl/bce5*p64949;6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0>2:71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:0?0=7:llvhabf'lgnae tipnka)fneelen!lag3,r4:46;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x><5<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~86>2?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t28385n2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$z<Q?_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x>_0]bja6789;9j6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0]1[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t2[6Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag3,r4Y3Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce5*p6W<Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8U=Sl`k012357b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>3:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>2:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>1:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>0:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>7:7b<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ij~\7fwaeu>6:6c<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&ozol}j.ckd*hjwS9W%j~z!mgkqwq5e3geyajka.gnahn)s`{gdh"oilnejg*efn;%n}no|e/`jc+kkxR;V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'l{hm~k!bhe-iiv\:T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)byjkxi#lfg/oot^5Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+`wdizo%ndi!mmrX0X(jam$fot=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-fufgtm'hbk#ccpZ7^*hoc&dir?o5aosodak(adkf`#yf}mnf,ecjho`i$olh=/ds`evc)j`m%aa~T6\,nma(jkp8<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1=2=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;7=3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5949:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?33?04?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q95>5>:5aosodak(adkf`#yf}mnf,ecjho`i$olh=/w3?1;403geyajka.gnahn)s`{gdh"oilnejg*efn;%}=1812g9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7X8Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q9V;Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;T>Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn;%}=R=Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?P4^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1^7\ekb789::>k5aosodak(adkf`#yf}mnf,ecjho`i$olh=/w3\2Zgil9:;<<<:;omqibci&ofi`f!{hsol`*gadfmbo"m`mq]bb4423geyajka.gnahn)s`{gdh"oilnejg*eheyUjj?<7;omqibci&ofi`f!{hsol`*ckgnch#HC_TX]MKKTBO;h0bb|bgdl-bidkc&~c~`ak/dnlcle(MDZ_UR@@NSGD[l75?2dd~`ijn/dofim(|axfci!jlnejg*TFEVOF\YWPi3c8jjtjold%jalck.vkvhic'lfdkdm c0-`ewt~fl~7<3<n;omqibci&ofi`f!{hsol`*ckgnch#n? c`pq}kcs4849m6``rlefj+`kjea$xe|boe-fhjank&i:#no}rxlfp949:h1ec\7fcheo,ehgjl'}byabj emmdmf)d9&ij~\7fwaeu>0:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g4)di{xrbhz34?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,gdtuqgo\7f080<b:llvhabf'lgnae tipnka)bdfmbo"m>/ds`evc)j`m%aa~T0\,ewq(jn`xxx>64nnpnc`h)nehgg"zgrlmg+`jho`i$o<!jqbcpa+dno'gg|V?R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d9&ozol}j.ckd*hjwS;W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX7X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n? epabw`(ean$f`}U;]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k8%n}no|e/`jc+kkxR?V"`gk.laz7==ig{glic ilcnh+qnuefn$iaahib-`5*cvkhyn"ogh.lns_3[)e`n%anw=4:llvhabf'lgnae tipnka)bdfmbo"m>/w3?4;433geyajka.gnahn)s`{gdh"kcofk`+f7(~86:2?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=0=61=ig{glic ilcnh+qnuefn$iaahib-`5*p64:4986``rlefj+`kjea$xe|boe-fhjank&i:#{?34?07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4:26;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=1812b9mkwk`mg$m`obd/ujqijb(meelen!l1.t2[5Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m>/w3\5Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]1[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^1\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_5]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i:#{?P5^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<Q9_`lg45679;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%hm\7f|vndv?4;4f3geyajka.gnahn)s`{gdh"kcofk`+f4(khxyuck{<0<1e>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+fguzpdnx1<12`9mkwk`mg$m`obd/ujqijb(meelen!l2.abvw\7fim}682?o4nnpnc`h)nehgg"zgrlmg+`jho`i$o?!laspzj`r;<78j7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$ol|}yogw8084j2dd~`ijn/dofim(|axfci!jlnejg*e5'l{hm~k!bhe-iiv\8T$m\7fy bfhppp6><ffxfkh`!fm`oo*rozdeo#hb`gha,g7)byjkxi#lfg/oot^7Z&dco"`mv399mkwk`mg$m`obd/ujqijb(meelen!l2.grgdub&kcl"`b\7f[3_-ilb)ejs846``rlefj+`kjea$xe|boe-fhjank&i9#h\7flarg-fla)eezP?P bie,ng|5?3geyajka.gnahn)s`{gdh"kcofk`+f4(mxij\7fh mif,nhu]3U'gbh#cly2:8jjtjold%jalck.vkvhic'lfdkdm c3-fufgtm'hbk#ccpZ7^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h>"k~c`qf*go`&df{W;S!mhf-if\7f5<2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;7<3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>2:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9585>95aosodak(adkf`#yf}mnf,aii`aj%h>"x><2<10>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7;<78?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<2:>368jjtjold%jalck.vkvhic'lfdkdm c3-u5909:j1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:S=Qnne234575k2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;T=Road123444d3geyajka.gnahn)s`{gdh"kcofk`+f4(~8U9Sl`k012357e<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9V9Tmcj?01226f=ig{glic ilcnh+qnuefn$iaahib-`6*p6W=Ujbi>?0131g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X=Vkeh=>?000`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4Y1Whdo<=>?1368jjtjold%jalck.vkvhic'lfdkdm cnos5jss:=1ec\7fcheo,ehgjl'}byabj emmdmf)dgdz9cxz=7:llvhabf'lgnae tipnka)adfmbo"HZLM]MKKTBO;k0bb|bgdl-bidkc&~c~`ak/gnlcle(N\FGSCAARDE\m4413geyajka.gnahn)s`{gdh"hcofk`+WGJWO_G@Rg=d:llvhabf'lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f0=0=d:llvhabf'lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f0<0=d:llvhabf'lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f0?0=d:llvhabf'lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f0>0=d:llvhabf'lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f090=d:llvhabf'lgnae tipnka)adfmbo"m`mq3,gdtuqgo\7f080<e:llvhabf'lgnae tipnka)adfmbo"m`mq3,atef{l$iej blqY3Y+`t|'gme\7f}{3c9mkwk`mg$m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX5X(jam$fot=m;omqibci&ofi`f!{hsol`*`kgnch#nabp0-fufgtm'hbk#ccpZ0^*hoc&dir?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/ds`evc)j`m%aa~T3\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!jqbcpa+dno'gg|V:R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#h\7flarg-fla)eezP9P bie,ng|5e3geyajka.gnahn)s`{gdh"hcofk`+fijx8%n}no|e/`jc+kkxR<V"`gk.laz62=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;7<3<8;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5979:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?32?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9595>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?0;403geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=1;1269mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7;>78m7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!y1^2\ekb789::>k5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3\5Zgil9:;<<<i;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5Z4Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7X;Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9V>Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;T9Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=R8Paof34566:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp959:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp929:m1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#no}rxlfp939;l1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!ilnejg*ehey8$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]3U'gbh#cly2`8jjtjold%jalck.vkvhic'ofdkdm cnos6*cvkhyn"ogh.lns_0[)e`n%anw<b:llvhabf'lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY5Y+knl'ghu?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t28585?2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<2>>358jjtjold%jalck.vkvhic'ofdkdm cnos6*p64;49;6``rlefj+`kjea$xe|boe-ehjank&ida}< v0>0:71<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:090=7:llvhabf'lgnae tipnka)adfmbo"m`mq0,r4:26;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x><7<1b>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}< v0]7[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t2[0Yffm:;<=?=f:llvhabf'lgnae tipnka)adfmbo"m`mq0,r4Y1Whdo<=>?1348jjtjold%jalck.vkvhic'ofdkdm cnos[cskd88=7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|Rhzlm014>hhzdmnb#hcbmi,phvXxg~yS\7fQkigd?4;463geyajka.gnahn)seyU{by|Pr^fjbc:6878:7ca}mfgm*cjedb%\7fa}Q\7fnup\vZbnno6:=3<>;omqibci&ofi`f!{mq]sjqtXzVnbjk2>2?02?kiuenoe"kbmlj-wiuYwf}xT~Rjffg>27;473geyajka.gnahn)seyU{by|Pr^fjbc:66;:0bb|bgdl-bidkc&~f|R~ats]q[aoan585>=5aosodak(adkf`#yc\7f_qlwvZtXl`lm0>0=0:llvhabf'lgnae tlr\tkruW{Uoekh34?03?kiuenoe"kbmlj-wiuYwf}xT~Rjffg>6:76<ffxfkh`!fm`oo*rjxVzex\7fQ}_ekeb909:91ec\7fcheo,ehgjl'}g{S}`{r^p\`l`a4>49<6``rlefj+`kjea$x`~Ppovq[wYcaol743<?;omqibci&ofi`f!{mq]sjqtXzVnbjk26>328jjtjold%jalck.vntZvi|{U|Sigif=2=64=ig{glic ilcnh+qkwWyd\7f~RyPdhde8469:81ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a48;5><5aosodak(adkf`#yc\7f_qlwvZqXl`lm0<<1209mkwk`mg$m`obd/uos[uhszV}Thdhi<01=65=ig{glic ilcnh+qkwWyd\7f~RyPdhde848582dd~`ijn/dofim(|dzT|cz}_v]gmc`;:78;7ca}mfgm*cjedb%\7fa}Q\7fnup\sZbnno682?>4nnpnc`h)nehgg"zbp^rmpwYpWmcmj1:1219mkwk`mg$m`obd/uos[uhszV}Thdhi<4<14>hhzdmnb#hcbmi,phvXxg~ySzQkigd?2;473geyajka.gnahn)seyU{by|Pw^fjbc:06;:0bb|bgdl-bidkc&~f|R~ats]t[aoan525>=5aosodak(adkf`#yc\7f_qlwvZqXl`lm040=0:llvhabf'lgnae wqpfijb(ZHGT[_QJN03e?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]35c=ig{glic ilcnh+rtXlh~jSnaznu]j[4473geyajka.gnahn)pzVnjxlQlotlw[lY68;:0bb|bgdl-bidkc&}ySio{a^alqkrXaV;:>=5aosodak(adkf`#z|Pd`vb[firf}UbS<<=0:llvhabf'lgnae ws]geqgXkf\7fexRgP123e?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]15c=ig{glic ilcnh+rtXlh~jSnaznu]j[67a3geyajka.gnahn)pzVnjxlQlotlw[lY39o1ec\7fcheo,ehgjl'~xThlzn_bmvjqYnW<;m7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U==k5aosodak(adkf`#z|Pd`vb[firf}UbS:?i;omqibci&ofi`f!xr^fbpdYdg|d\7fSdQ71g9mkwk`mg$m`obd/vp\`drfWje~byQf_834?kiuenoe"d`mlj-q`Ztt|Vli=45aosodak(nfkf`#\7fjPrrv\bgY79h1ec\7fcheo,jjgjl'{nT~~zPiov\44e<ffxfkh`!io`oo*tcW{y\7fSd`{_1]25==ig{glic fncnh+wbXzz~T~hi>7:llvhabf'cenae ws]qwqYaj820bb|bgdl-mkdkc&}yS\7f}{_sgd20=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^2\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|V;Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^0\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|V9Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^6\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|V?Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTm#lfneg`[lht|Vk$icazt^4\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\e+dnfmohSd`|t^c,akir|V=Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt^2\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|V;Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt^0\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|V9Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt^6\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|V?Taxv?012220=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+goilliTn#lfneg`[lht|Vh$icazt^4\ip~789:::85aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#ogadda\f+dnfmohSd`|t^`,akir|V=Taxv?01220d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`hfWhxymt2?>5c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs7=3:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jn`]bvwg~4;4?m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$icoPaspb}959<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>7:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*ciiVky~lw35?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0;0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5=58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`m_`pqe|:76=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?5;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfkUj~\7fov<3<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akdXi{xju1=14`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6?29o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kab^cqvd\7f;=7>j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8383i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=5=1f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g46a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]31`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\50`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[462n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y69<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W88>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U:?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S<::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q>54d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_046b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]230`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[4>2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y61<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W;?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V8;9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T><;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R<=5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P227e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^071c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\603a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z41=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:>?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V839k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T>4;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R=:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q<04g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_57f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^76a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]51`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\30c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[=3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z?2k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b03c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e143b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1462m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b076=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c36:<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`29:?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=8>>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<;>9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?::8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=:;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9<6:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8?65e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=04g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:207f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5306a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4001`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7100c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6603b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1702m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b040=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c350<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2:0?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=:?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=::>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<9:9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo??9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?>9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?=9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?<9i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?39i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T<8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S<;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R??5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P107e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^311c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\563a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z73=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9<?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V;=9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T=:;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R?75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P187f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^06b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]140`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[772n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y5:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W;9>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U988h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S?;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=64d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_356b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]1<0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[7?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y4=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X;9?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V>>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U>9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T:8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S:;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R6:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q65`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?=8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<8:>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z26:<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0452j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6200d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4076f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:>64`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8<9:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>:48l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<83>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z25=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7653e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5021g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;227a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9<;5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?>:;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=839o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3:0?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[152j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6040d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4236e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:;5`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?98o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<??j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[112i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6;1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;94a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:5e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>04g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:107f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5006a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4301`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7200c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6503b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1402m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b070=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c360<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`290?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;:>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<8:9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?9>8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>>>;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9?::e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8<:5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;=64g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:267f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi53:6a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf40:1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g701`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7040c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6743c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e113c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e103c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e133c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e123c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1=3c3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1<3b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z62m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y6=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X99?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V;:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R?<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P157e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^361c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\533a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z70=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X91?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V;29h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T>8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S?>:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q=14d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_306b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]170`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[722n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y5=<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W;<>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U9;8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S?6:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q=94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_27e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^131`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\00c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[03b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z02m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y0=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X0<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W0?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[077=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6543e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4311g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:127a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8?;5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>=8;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<;=9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y29>?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[07?=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]65<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_406f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;=04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9??:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?988l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=;?>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z35><h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1712j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^71<0d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP53;6e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>?=;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<9:9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2;;?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[054=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6713e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4161g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:377a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8=85c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>?5;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<929l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2<<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1162j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7750d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5506f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;;34`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT998:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV??;8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X==2>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z331<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\103e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4771g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:547a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8;95c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>9:;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<?39o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2=0?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[002j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7540d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5736f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;924`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9;=:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?<9l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y20<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_736f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8>04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:<<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<:?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>8>>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z06=<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2402j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4230d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP60:6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8>94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?8;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1:8?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[344=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5613e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7041g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9297a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;<65`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>::>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z049<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\213f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_776e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR895`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=;8o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>1?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[3?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J463m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J467<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K779=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H68;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I99<?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;;8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;:=9h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:=<?;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<?=4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?>35g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>156f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1077a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0350`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3231c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L25=2b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M54?3l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J443m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J447<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K759=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6:;>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9;9?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F88?8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;999k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:>;:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=?9;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<<74d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?=95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>35f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>45f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>55f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>65f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>75f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>85f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=05f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=15g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=106g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A236g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A226g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A256g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A246g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A276g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A266g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A296g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A286g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A316f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3037`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N207`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N217`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N267`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N277`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N247`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N257`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2:7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N2;7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N527a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5320a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O610a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O600a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O670a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O660a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O650a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O730`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7251b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L661b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L671b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L601b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L611b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L621b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L631b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6<1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L6=1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L50a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O430a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O420a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O410a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O400a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O470a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O460a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O450a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O440a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O4;0a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O4:0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O57`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N627`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N637`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N607`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N617`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N667`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N677`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N647`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N657`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N6:7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N6;7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N96g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A816g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A806g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A836g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A826g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A856g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A846g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A876g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A866g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A896g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A886`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@605f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@645f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@655f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@665f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@675f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@685f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@69478jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`:76<?0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*ubdVzb|h2>>478jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"}jl^rjt`:56<>0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*ubdVzb|hQ?559mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#~kc_qksaZ72<2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS?:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre996;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd685;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg774;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf46;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva55:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`24939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b02?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>1=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?><0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<?32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=<2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:=1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8;7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd695258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg75494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf44;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva57:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`26959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b00?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>2=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<=30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=>2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:?1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;80>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj89783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6;5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf45;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva51:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`20979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc378783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b06?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm15>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>4=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<:37?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=927>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:91>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;>0<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8?7>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9<6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6=5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg724<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf43;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`219>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc358583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b04?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm17>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>6=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?9<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<835?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;29>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h::1914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8=7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9>6:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6?5858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg704:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf41;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`23909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc348283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b05?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm19>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>8=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?7<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<633?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=52;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:41;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;30;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj827;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd615:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7>484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4?;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5<:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2=929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3:8083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0;?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm18>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>9=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<?<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?>31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>=2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9<1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8;090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;:793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:96=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd585=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg47414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf77;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva64:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`15949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc028683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b33?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm20>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=1=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<><6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn??38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>?2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9>1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k890?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;87?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:;6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5:5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg454?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf74;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`17969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc008483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b31?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm22>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=3=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<<<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?=36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9?1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8?0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;>7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:=6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5<5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg434=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf72;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`10919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b37?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm24>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=5=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<:<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?;34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h991814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;?743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:?6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5>5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg414;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf70;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva63:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`12939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b34?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm27>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=7=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<8<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?932?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>:2<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9;1:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;=7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:>6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5?5258o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;87>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0979<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?6;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=1=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:34?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i81;14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>7:3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<5=58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;07>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1969<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?5;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=0=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;33?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i91:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?793:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5<58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;?7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva19>9<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?4;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=3=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo832?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:1=14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<783:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5?58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;>7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2919<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?<;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm7=2=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo931?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;1<14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=7?3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?5>58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg1;=7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva3909<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc5?3;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm7=:=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo630?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i41?14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k27>3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre05958o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>;<7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<939<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:?2;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm8=5=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo638?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i51>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k37=3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre15858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?;;7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=929<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;?1;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm9=4=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo737?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i5161689mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS9W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_46Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ32Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U>2\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=>S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8>V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^72U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY22X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T16_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<6R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;2Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY14X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T20_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?<R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR88Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]5<T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX60[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[34^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ94P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^99:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=56``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX7X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV112dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T4\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5=>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP9P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^99:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\>T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=56``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX3X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV112dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T8\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5=>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP5P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^>c:llvhabf'gnaobd/uos[wgjW{nTic?k;omqibci&dofnae tlr\vdkXzmUnb<64owgqbiipi2zycjQjmqvz51=wzfmTi`~{y^vkv`uo 9#:86~}of]fiur~W}byi~f'1(36?uthoVof|ywPtipfwm.68 ;>7}|`g^gntq\7fX|axn\7fe&>1(36?uthoVof|ywPtipfwm.6: ;>7}|`g^gntq\7fX|axn\7fe&>3(37?uthoVof|ywPtipfwm.5!8>0|\7fah_dosp|Ys`{oxd%=&159svjaXmdz\7fuRzgrdqk,1/6<2zycjQjmqvz[qnumzb#9$?;;qplcZcjx}sTxe|jsi*5-42<x{elShc\7ftx]wlwct`!="=95\7frne\ahvsqV~c~h}g(9+20>vugnUna}zv_ujqavn/1 ;?7}|`g^gntq\7fX|axn\7fe2?>078twi`Wlg{xtQ{hsgpl97768?0|\7fah_dosp|Ys`{oxd1?>>078twi`Wlg{xtQ{hsgpl97568=0|\7fah_dosp|Ys`{oxd1?<:1<21>vugnUna}zv_ujqavn;9:4:86~}of]fiur~W}byi~f31?37?uthoVof|ywPtipfwm:568>0|\7fah_dosp|Ys`{oxd1=1159svjaXmdz\7fuRzgrdqk8186<2zycjQjmqvz[qnumzb793?;;qplcZcjx}sTxe|jsi>5:42<x{elShc\7ftx]wlwct`5=5=95\7frne\ahvsqV~c~h}g<9<20>vugnUna}zv_ujqavn;17;i7}|`g^gntq\7fX|axn\7feQnsrgqp-6.9k1{~biPelrw}ZrozlycSl}|esv+5,7d3yxdkRkbpu{\pmtb{aUj\7f~k}t)33-4e<x{elShc\7ftx]wlwct`Vkx\7fh|{(03*5f=wzfmTi`~{y^vkv`uoWhyxi\7fz'13+2g>vugnUna}zv_ujqavnXizyn~y&>3(3a?uthoVof|ywPtipfwmYf{zoyx%<&1c9svjaXmdz\7fuRzgrdqk[dutm{~#?$?m;qplcZcjx}sTxe|jsi]bwvcu|!>"=o5\7frne\ahvsqV~c~h}g_`qpawr/= ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-0.9k1{~biPelrw}ZrozlycSl}|esv+3,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t):*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'9(3a?uthoVof|ywPtipfwmYf{zoyx1>11b9svjaXmdz\7fuRzgrdqk[dutm{~7==0>c:rqkbYbey~rSyf}erj\evubz}6:=3?l;qplcZcjx}sTxe|jsi]bwvcu|5;92<k4psmd[`kw|pU\7fd\7fk|h^cpw`ts4891<3?l;qplcZcjx}sTxe|jsi]bwvcu|5;82<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts484:n6~}of]fiur~W}byi~fParqfvq:568h0|\7fah_dosp|Ys`{oxdRo|sdpw8686j2zycjQjmqvz[qnumzbTm~}jru>7:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{<4<2f>vugnUna}zv_ujqavnXizyn~y29>0`8twi`Wlg{xtQ{hsgplZgt{lx\7f0:0>b:rqkbYbey~rSyf}erj\evubz}632<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts404:n6~}of]fiur~W}byi~fPndebp`.7!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,4/6k2zycjQjmqvz[qnumzbTbhintd*24,7d3yxdkRkbpu{\pmtb{aUeijo{e)32-4e<x{elShc\7ftx]wlwct`Vdnklzj(00*5f=wzfmTi`~{y^vkv`uoWgolmyk'12+2f>vugnUna}zv_ujqavnXflmjxh&=)0`8twi`Wlg{xtQ{hsgplZhboh~n$>'>b:rqkbYbey~rSyf}erj\j`af|l"?%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb <#:n6~}of]fiur~W}byi~fPndebp`.1!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,2/6j2zycjQjmqvz[qnumzbTbhintd*;-4d<x{elShc\7ftx]wlwct`Vdnklzj(8+2f>vugnUna}zv_ujqavnXflmjxh2?>0a8twi`Wlg{xtQ{hsgplZhboh~n0<>11b9svjaXmdz\7fuRzgrdqk[kc`i}o7=<0>c:rqkbYbey~rSyf}erj\j`af|l6:>3?j;qplcZcjx}sTxe|jsi]mabgsm5;86=0>c:rqkbYbey~rSyf}erj\j`af|l6:?3?m;qplcZcjx}sTxe|jsi]mabgsm5;5=o5\7frne\ahvsqV~c~h}g_ogdeqc;:7;i7}|`g^gntq\7fX|axn\7feQaefcwa9599k1{~biPelrw}ZrozlycSckhaug?0;7e3yxdkRkbpu{\pmtb{aUeijo{e=7=5g=wzfmTi`~{y^vkv`uoWgolmyk36?3a?uthoVof|ywPtipfwmYimnk\7fi1911c9svjaXmdz\7fuRzgrdqk[kc`i}o743?m;qplcZcjx}sTxe|jsi]mabgsm53556~}of]eqij6;2zycjQiumn\pmtb{a";%<=4psmd[cskdV~c~h}g(0+20>vugnUmyabPtipfwm.68 ;?7}|`g^dvhiYs`{oxd%?>)068twi`Wo\7fg`Rzgrdqk,44.9=1{~biPftno[qnumzb#=>'>3:rqkbYa}efTxe|jsi*1-45<x{elSk{cl^vkv`uo :#:?6~}of]eqijX|axn\7fe&;)018twi`Wo\7fg`Rzgrdqk,0/6;2zycjQiumn\pmtb{a"=%<=4psmd[cskdV~c~h}g(6+27>vugnUmyabPtipfwm.?!890|\7fah_gwohZrozlyc$4'>3:rqkbYa}efTxe|jsi>3:42<x{elSk{cl^vkv`uo48:5=95\7frne\bpjkW}byi~f310<20>vugnUmyabPtipfwm:6:7;=7}|`g^dvhiYs`{oxd1?<:1<20>vugnUmyabPtipfwm:6;7;87}|`g^dvhiYs`{oxd1?1129svjaXn|fgSyf}erj?6;743yxdkRhzlm]wlwct`595=>5\7frne\bpjkW}byi~f34?30?uthoVl~`aQ{hsgpl9399:1{~biPftno[qnumzb7:3?<;qplcZ`rdeU\7fd\7fk|h=5=56=wzfmTjxbc_ujqavn;07;87}|`g^dvhiYs`{oxd1711`9svjaXn|fgSyf}erj\evubz}";%<o4psmd[cskdV~c~h}g_`qpawr/9 ;i7}|`g^dvhiYs`{oxdRo|sdpw,46.9k1{~biPftno[qnumzbTm~}jru*25,7e3yxdkRhzlm]wlwct`Vkx\7fh|{(00*5g=wzfmTjxbc_ujqavnXizyn~y&>3(3b?uthoVl~`aQ{hsgplZgt{lx\7f$?'>a:rqkbYa}efTxe|jsi]bwvcu|!9"=l5\7frne\bpjkW}byi~fParqfvq.3!8k0|\7fah_gwohZrozlycSl}|esv+1,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(7+2e>vugnUmyabPtipfwmYf{zoyx%9&1`9svjaXn|fgSyf}erj\evubz}"3%<o4psmd[cskdV~c~h}g_`qpawr/1 ;j7}|`g^dvhiYs`{oxdRo|sdpw8586j2zycjQiumn\pmtb{aUj\7f~k}t=33:4d<x{elSk{cl^vkv`uoWhyxi\7fz310<2f>vugnUmyabPtipfwmYf{zoyx1?=>0f8twi`Wo\7fg`Rzgrdqk[dutm{~7=>4?>0`8twi`Wo\7fg`Rzgrdqk[dutm{~7=>0>a:rqkbYa}efTxe|jsi]bwvcu|5;5=l5\7frne\bpjkW}byi~fParqfvq:568k0|\7fah_gwohZrozlycSl}|esv?7;7f3yxdkRhzlm]wlwct`Vkx\7fh|{<5<2e>vugnUmyabPtipfwmYf{zoyx1;11`9svjaXn|fgSyf}erj\evubz}6=2<o4psmd[cskdV~c~h}g_`qpawr;?7;j7}|`g^dvhiYs`{oxdRo|sdpw8=86i2zycjQiumn\pmtb{aUj\7f~k}t=;=5d=wzfmTjxbc_ujqavnXflmjxh&?)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#=$?m;qplcZ`rdeU\7fd\7fk|h^lfcdrb 8:"=o5\7frne\bpjkW}byi~fPndebp`.69 ;i7}|`g^dvhiYs`{oxdR`jg`vf,44.9k1{~biPftno[qnumzbTbhintd*27,7f3yxdkRhzlm]wlwct`Vdnklzj(3+2e>vugnUmyabPtipfwmYimnk\7fi%=&1`9svjaXn|fgSyf}erj\j`af|l"?%<o4psmd[cskdV~c~h}g_ogdeqc/= ;j7}|`g^dvhiYs`{oxdR`jg`vf,3/6i2zycjQiumn\pmtb{aUeijo{e)5*5d=wzfmTjxbc_ujqavnXflmjxh&7)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#5$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb494:n6~}of]eqijX|axn\7feQaefcwa97768h0|\7fah_gwohZrozlycSckhaug?5486j2zycjQiumn\pmtb{aUeijo{e=31:4b<x{elSk{cl^vkv`uoWgolmyk31283:4d<x{elSk{cl^vkv`uoWgolmyk312<2e>vugnUmyabPtipfwmYimnk\7fi1?11`9svjaXn|fgSyf}erj\j`af|l692<o4psmd[cskdV~c~h}g_ogdeqc;;7;j7}|`g^dvhiYs`{oxdR`jg`vf8186i2zycjQiumn\pmtb{aUeijo{e=7=5d=wzfmTjxbc_ujqavnXflmjxh29>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o7;3?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb414:m6~}of]eqijX|axn\7feQaefcwa9?9>2xoSnbd119q`Zbf|hUhcx`{(1+24>tcWmk\7fmRm`uov+5,763{nThlzn_bmvjq.68 ;:7\7fjPd`vb[firf}":=$?>;sf\`drfWje~by&>2(32?wbXlh~jSnaznu*27,773{nThlzn_bmvjq.5!8:0~iQkauc\gjsi|!9"==5}d^fbpdYdg|d\7f$9'>0:pg[agsiVidycz'5(33?wbXlh~jSnaznu*5-46<zmUomyoPcnwmp-1.991yhRjnt`]`kphs 1#:<6|k_ecweZeh}g~#5$??;sf\`drfWje~by2?>038vaYci}kTob{at=33:47<zmUomyoPcnwmp97668;0~iQkauc\gjsi|5;92<=4re]geqgXkf\7fex1?<:1<25>tcWmk\7fmRm`uov?568682xoSio{a^alqkr;97;;7\7fjPd`vb[firf}692<>4re]geqgXkf\7fex1=1119q`Zbf|hUhcx`{<5<24>tcWmk\7fmRm`uov?1;773{nThlzn_bmvjq:168:0~iQkauc\gjsi|5=5==5}d^fbpdYdg|d\7f050>0:pg[agsiVidycz39?78vaYbf?1yhR||t29qwq1<{kc\7fi\7f|;;rppp<=smz~\7fxyz{229wavrs|}~\7fSkgio^efj`tf|fxTz>Q<_yqw[4?<}gnn~kb`w49ueioc>2}ySlmd6:uq[fjl991|~Rjnt`]`kphs 9#:<6y}_ecweZeh}g~#=$?>;vp\`drfWje~by&>0(32?rtXlh~jSnaznu*25,763~xThlzn_bmvjq.6: ;:7z|Pd`vb[firf}":?$??;vp\`drfWje~by&=)028swYci}kTob{at)1*55=pzVnjxlQlotlw,1/682}ySio{a^alqkr/= ;;7z|Pd`vb[firf}"=%<>4ws]geqgXkf\7fex%9&119tvZbf|hUhcx`{(9+24>quWmk\7fmRm`uov+=,773~xThlzn_bmvjq:768;0{\7fQkauc\gjsi|5;;2<?4ws]geqgXkf\7fex1?>>038swYci}kTob{at=31:45<\7f{UomyoPcnwmp974294:=6y}_ecweZeh}g~7=>0>0:uq[agsiVidycz31?33?rtXlh~jSnaznu>1:46<\7f{UomyoPcnwmp959991|~Rjnt`]`kphs4=4:<6y}_ecweZeh}g~793??;vp\`drfWje~by29>028swYci}kTob{at=5=55=pzVnjxlQlotlw8=8682}ySio{a^alqkr;17?0{\7fQjn79tvZtt|8;0ÏÆ³²Æ¿ÕÏÀ»Á»·Ù²¸¶h5Þ¾ÒϺÒüÁɶÎÉpNOp251f>FGp:;<6K4;:0yP31<39=0i6<==8e24>752k;qe?<>51:l054<33-9;i7=?7:\7fP36<39=0i6<==8e24>752k;1X=ij540;94?74:1n;;7<<5b08W25=<831<7?<29f33?44=j?0hn;<:182>4}T?=0?=94m:011<a602;9>o?5+31a9040<~]8o57>51;39107|[>>18<:5b;306=b7?3889n<4$3g6>4bb3_9;j7<tu0g4>4=r9l21<6s+24a97==ek<91<78::2851~N5m;1Q:54;{36960<4838h6p*=dd8`16=#;9i18<84Z22g>7}39?0?=;4ro231>5<<aj>n6=44ob15>5<#:<o1o9=4n37g>5=<gj9>6=4+24g9g15<f;?o6<54ob17>5<#:<o1o9=4n37g>7=<gj986=4+24g9g15<f;?o6>54ob1f>5<#:<o1o9=4n37g>1=<gj9o6=4+24g9g15<f;?o6854ob1`>5<#:<o1o9=4n37g>3=<gj9i6=4+24g9g15<f;?o6:54ob1b>5<#:<o1o9=4n37g>==<gj926=4+24g9g15<f;?o6454ob1;>5<#:<o1o9=4n37g>d=<gj9<6=4+24g9g15<f;?o6o54ob11>5<#:<o1o9=4n37g>f=<gj9:6=4+24g9g15<f;?o6i54ib55>5<<gj?n6=4+24g9g3d<f;?o6=54ob7g>5<#:<o1o;l4n37g>4=<gj?h6=4+24g9g3d<f;?o6?54ob7a>5<#:<o1o;l4n37g>6=<gj<=6=4+24g9g3d<f;?o6954ob46>5<#:<o1o;l4n37g>0=<gj<?6=4+24g9g3d<f;?o6;54ob40>5<#:<o1o;l4n37g>2=<gj<96=4+24g9g3d<f;?o6554ob42>5<#:<o1o;l4n37g><=<gj<;6=4+24g9g3d<f;?o6l54ob7e>5<#:<o1o;l4n37g>g=<gj?j6=4+24g9g3d<f;?o6n54ob7:>5<#:<o1o;l4n37g>a=<g=;36=44o536>5<<aj?36=44ib73>5<<g:oh6=4+24g905d<f;?o6=54o2ga>5<#:<o18=l4n37g>4=<g:o26=4+24g905d<f;?o6?54o2g;>5<#:<o18=l4n37g>6=<g:o<6=4+24g905d<f;?o6954o2g5>5<#:<o18=l4n37g>0=<g:o>6=4+24g905d<f;?o6;54o2g7>5<#:<o18=l4n37g>2=<g:o86=4+24g905d<f;?o6554o2g1>5<#:<o18=l4n37g><=<g:o:6=4+24g905d<f;?o6l54o2g3>5<#:<o18=l4n37g>g=<g:nn6=4+24g905d<f;?o6n54o2fg>5<#:<o18=l4n37g>a=<g:nh6=4+24g905d<f;?o6h54o2fa>5<#:<o18=l4n37g>c=<g:nj6=4+24g905d<f;?o6<>4;n1g=?6=,;?n69>m;o06`?7632e8h54?:%06a?27j2d99i4>2:9l7a1=83.99h4;0c9m60b=9:10c>j9:18'60c=<9h0b?;k:068?j5c=3:1(?;j:52a?k42l3;>76a<f383>!42m3>;n6`=5e822>=h;o;1<7*=5d874g=i:<n1=:54o2d3>5<#:<o18=l4n37g>4><3f9nj7>5$37f>16e3g8>h7?6;:m0a`<72-8>i7:?b:l11a<6i21d?hj50;&11`<38k1e>8j51c98k6cf290/>8k541`8j73c28i07b=kf;29 73b2=:i7c<:d;3g?>i4l=0;6)<:e;63f>h5=m0:i65`3e194?"5=l0?<o5a24f95c=<a:ki6=4+24g97fg<f;?o6=54i2cb>5<#:<o1?no4n37g>4=<a:k36=4+24g97fg<f;?o6?54i2c4>5<#:<o1?no4n37g>6=<a:k=6=4+24g97fg<f;?o6954i2c6>5<#:<o1?no4n37g>0=<a:k?6=4+24g97fg<f;?o6;54i2c0>5<#:<o1?no4n37g>2=<a:k96=4+24g97fg<f;?o6554i2c2>5<#:<o1?no4n37g><=<a:k;6=4+24g97fg<f;?o6l54i2;e>5<#:<o1?no4n37g>g=<a:3o6=4+24g97fg<f;?o6n54i2;`>5<#:<o1?no4n37g>a=<a:3i6=4+24g97fg<f;?o6h54i2;b>5<#:<o1?no4n37g>c=<a:326=4+24g97fg<f;?o6<>4;h1:<?6=,;?n6>mn;o06`?7632c85:4?:%06a?5di2d99i4>2:9j7<0=83.99h4<c`9m60b=9:10e>7::18'60c=;jk0b?;k:068?l5><3:1(?;j:2ab?k42l3;>76g<b083>!42m39hm6`=5e822>=n;k:1<7*=5d80gd=i:<n1=:54i2ce>5<#:<o1?no4n37g>4><3`9ji7>5$37f>6ef3g8>h7?6;:k0ea<72-8>i7=la:l11a<6i21b?lm50;&11`<4kh1e>8j51c98m6g>290/>8k53bc8j73c28i07d=6e;29 73b2:ij7c<:d;3g?>o41:0;6)<:e;1`e>h5=m0:i65f38094?"5=l08ol5a24f95c=<g:;86=44ib13>5<<j;o?6=4>:183\7fM4b:2.9hh4=e59l60d=831vn<6::182>5<7sA8n>6*=dd82<0=h91>1<75rb7594?b22:0h=vF=e39Y2=<6>r;96<8525827?4228?1?=4=c;34>4e=9=0:o7<::22961<6;38h6<9517821?732881q)<ke;a60>"6lh0:4>5+31a9040<,;??6?;6;n126?6=3`i?j7>5;h`;2?6=,;?n6o7<;o06`?6<3`h397>5$37f>g?43g8>h7?4;h`;0?6=,;?n6o7<;o06`?4<3`h3?7>5$37f>g?43g8>h7=4;h`;a?6=,;?n6o7<;o06`?2<3`h3h7>5$37f>g?43g8>h7;4;h`;g?6=,;?n6o7<;o06`?0<3`h3n7>5$37f>g?43g8>h794;h`;e?6=,;?n6o7<;o06`?><3`h357>5$37f>g?43g8>h774;h`;<?6=,;?n6o7<;o06`?g<3`h3;7>5$37f>g?43g8>h7l4;h`;6?6=,;?n6o7<;o06`?e<3`h3=7>5$37f>g?43g8>h7j4;n`g<?6=3`i>>7>5;na62?6=3`hmn7>5$37f>f6?3g8>h7>4;h`ee?6=,;?n6n>7;o06`?7<3`hm57>5$37f>f6?3g8>h7<4;h`e<?6=,;?n6n>7;o06`?5<3`i;?7>5$37f>f6?3g8>h7:4;ha36?6=,;?n6n>7;o06`?3<3`i;=7>5$37f>f6?3g8>h784;ha34?6=,;?n6n>7;o06`?1<3`hmj7>5$37f>f6?3g8>h764;h`ea?6=,;?n6n>7;o06`??<3`hmh7>5$37f>f6?3g8>h7o4;h`eg?6=,;?n6n>7;o06`?d<3`hm;7>5$37f>f6?3g8>h7m4;h`e2?6=,;?n6n>7;o06`?b<3`i?i7>5;n`;4?6=3fh<j7>5;h121?6=3fi8:7>5$37f>f243g8>h7>4;na01?6=,;?n6n:<;o06`?7<3fi887>5$37f>f243g8>h7<4;na07?6=,;?n6n:<;o06`?5<3fi8i7>5$37f>f243g8>h7:4;na0`?6=,;?n6n:<;o06`?3<3fi8o7>5$37f>f243g8>h784;na0f?6=,;?n6n:<;o06`?1<3fi8m7>5$37f>f243g8>h764;na0=?6=,;?n6n:<;o06`??<3fi847>5$37f>f243g8>h7o4;na03?6=,;?n6n:<;o06`?d<3fi8>7>5$37f>f243g8>h7m4;na05?6=,;?n6n:<;o06`?b<3`hj?7>5$37f>gd73g8>h7>4;h`b6?6=,;?n6ol?;o06`?7<3`hj=7>5$37f>gd73g8>h7<4;h`b4?6=,;?n6ol?;o06`?5<3`hjn7>5$37f>gd73g8>h7:4;h`be?6=,;?n6ol?;o06`?3<3`hj57>5$37f>gd73g8>h784;h`b<?6=,;?n6ol?;o06`?1<3`hj;7>5$37f>gd73g8>h764;h`b2?6=,;?n6ol?;o06`??<3`hj97>5$37f>gd73g8>h7o4;h`b0?6=,;?n6ol?;o06`?d<3`h2j7>5$37f>gd73g8>h7m4;h`:a?6=,;?n6ol?;o06`?b<3`i<:7>5;na6a?6=,;?n6n8m;o06`?6<3fi>h7>5$37f>f0e3g8>h7?4;na6g?6=,;?n6n8m;o06`?4<3fi>n7>5$37f>f0e3g8>h7=4;na52?6=,;?n6n8m;o06`?2<3fi=97>5$37f>f0e3g8>h7;4;na50?6=,;?n6n8m;o06`?0<3fi=?7>5$37f>f0e3g8>h794;na56?6=,;?n6n8m;o06`?><3fi==7>5$37f>f0e3g8>h774;na54?6=,;?n6n8m;o06`?g<3fi>j7>5$37f>f0e3g8>h7l4;na6e?6=,;?n6n8m;o06`?e<3fi>57>5$37f>f0e3g8>h7j4;n62<?6=3f>:97>5;ha6<?6=3`hoi7>5$37f>gce3g8>h7>4;h`g`?6=,;?n6okm;o06`?7<3`hoo7>5$37f>gce3g8>h7<4;h`gf?6=,;?n6okm;o06`?5<3`hn:7>5$37f>gce3g8>h7:4;h`f1?6=,;?n6okm;o06`?3<3`hn87>5$37f>gce3g8>h784;h`f7?6=,;?n6okm;o06`?1<3`hn>7>5$37f>gce3g8>h764;h`f5?6=,;?n6okm;o06`??<3`hn<7>5$37f>gce3g8>h7o4;h`gb?6=,;?n6okm;o06`?d<3`hom7>5$37f>gce3g8>h7m4;h`g=?6=,;?n6okm;o06`?b<3`9::7>5;ha43?6=3fi>=7>5;na63?6=3fi>97>5;ha64?6=3f9no7>5$37f>16e3g8>h7>4;n1ff?6=,;?n69>m;o06`?7<3f9n57>5$37f>16e3g8>h7<4;n1f<?6=,;?n69>m;o06`?5<3f9n;7>5$37f>16e3g8>h7:4;n1f2?6=,;?n69>m;o06`?3<3f9n97>5$37f>16e3g8>h784;n1f0?6=,;?n69>m;o06`?1<3f9n?7>5$37f>16e3g8>h764;n1f6?6=,;?n69>m;o06`??<3f9n=7>5$37f>16e3g8>h7o4;n1f4?6=,;?n69>m;o06`?d<3f9oi7>5$37f>16e3g8>h7m4;n1g`?6=,;?n69>m;o06`?b<3f9oo7>5$37f>16e3g8>h7k4;n1gf?6=,;?n69>m;o06`?`<3f9om7>5$37f>16e3g8>h7??;:m0`<<72-8>i7:?b:l11a<6921d?i650;&11`<38k1e>8j51398k6b0290/>8k541`8j73c28907b=k6;29 73b2=:i7c<:d;37?>i4l<0;6)<:e;63f>h5=m0:965`3g094?"5=l0?<o5a24f953=<g:l:6=4+24g905d<f;?o6<94;n1e4?6=,;?n69>m;o06`?7?32e8ik4?:%06a?27j2d99i4>9:9l7`c=83.99h4;0c9m60b=9h10c>kk:18'60c=<9h0b?;k:0`8?j5bi3:1(?;j:52a?k42l3;h76a<dg83>!42m3>;n6`=5e82`>=h;m>1<7*=5d874g=i:<n1=h54o2f0>5<#:<o18=l4n37g>4`<3`9jn7>5$37f>6ef3g8>h7>4;h1be?6=,;?n6>mn;o06`?7<3`9j47>5$37f>6ef3g8>h7<4;h1b3?6=,;?n6>mn;o06`?5<3`9j:7>5$37f>6ef3g8>h7:4;h1b1?6=,;?n6>mn;o06`?3<3`9j87>5$37f>6ef3g8>h784;h1b7?6=,;?n6>mn;o06`?1<3`9j>7>5$37f>6ef3g8>h764;h1b5?6=,;?n6>mn;o06`??<3`9j<7>5$37f>6ef3g8>h7o4;h1:b?6=,;?n6>mn;o06`?d<3`92h7>5$37f>6ef3g8>h7m4;h1:g?6=,;?n6>mn;o06`?b<3`92n7>5$37f>6ef3g8>h7k4;h1:e?6=,;?n6>mn;o06`?`<3`9257>5$37f>6ef3g8>h7??;:k0==<72-8>i7=la:l11a<6921b?4950;&11`<4kh1e>8j51398m6?1290/>8k53bc8j73c28907d=65;29 73b2:ij7c<:d;37?>o41=0;6)<:e;1`e>h5=m0:965f3c394?"5=l08ol5a24f953=<a:h;6=4+24g97fg<f;?o6<94;h1bb?6=,;?n6>mn;o06`?7?32c8mh4?:%06a?5di2d99i4>9:9j7db=83.99h4<c`9m60b=9h10e>ol:18'60c=;jk0b?;k:0`8?l5f13:1(?;j:2ab?k42l3;h76g<9d83>!42m39hm6`=5e82`>=n;091<7*=5d80gd=i:<n1=h54i2;1>5<#:<o1?no4n37g>4`<3f9:?7>5;h120?6=3`i:47>5$37f>f423g8>h7>4;ha23?6=,;?n6n<:;o06`?7<3`i::7>5$37f>f423g8>h7<4;ha21?6=,;?n6n<:;o06`?5<3`i9<7>5$37f>f423g8>h7:4;ha2b?6=,;?n6n<:;o06`?3<3`i:i7>5$37f>f423g8>h784;ha2`?6=,;?n6n<:;o06`?1<3`i:o7>5$37f>f423g8>h764;ha2f?6=,;?n6n<:;o06`??<3`i:m7>5$37f>f423g8>h7o4;ha2=?6=,;?n6n<:;o06`?d<3`i:87>5$37f>f423g8>h7m4;ha27?6=,;?n6n<:;o06`?b<3f9:h7>5$37f>6403g8>h7>4;n12g?6=,;?n6><8;o06`?7<3f9:n7>5$37f>6403g8>h7<4;n12e?6=,;?n6><8;o06`?5<3f9997>5$37f>6403g8>h7:4;n110?6=,;?n6><8;o06`?3<3f99?7>5$37f>6403g8>h784;n116?6=,;?n6><8;o06`?1<3f99=7>5$37f>6403g8>h764;n114?6=,;?n6><8;o06`??<3f9:j7>5$37f>6403g8>h7o4;n12a?6=,;?n6><8;o06`?d<3f9:57>5$37f>6403g8>h7m4;n12<?6=,;?n6><8;o06`?b<3f9947>5;h``4?6=,;?n6omk;o06`?6<3`hij7>5$37f>gec3g8>h7?4;h`aa?6=,;?n6omk;o06`?4<3`hih7>5$37f>gec3g8>h7=4;h``<?6=,;?n6omk;o06`?2<3`hh;7>5$37f>gec3g8>h7;4;h``2?6=,;?n6omk;o06`?0<3`hh97>5$37f>gec3g8>h794;h``0?6=,;?n6omk;o06`?><3`hh?7>5$37f>gec3g8>h774;h``6?6=,;?n6omk;o06`?g<3`hh=7>5$37f>gec3g8>h7l4;h`ag?6=,;?n6omk;o06`?e<3`hin7>5$37f>gec3g8>h7j4;ha04?6=3k9;m7>51;294~"5ll0:485G31;8L7c53f;387>5;|`1`c<728>:69?9:5da\7fM4b:2.9hh4;169Y2=<6;r896?=51e82a?7a2831=o4=0;3;>77=:o0:m7j52c825?772o0n6n4r$b5:>f1?3f=36=44o6594?=n:m:1<75`6483>>o5l<0;66a86;29?j1>2900c?h::188k4112900e>>9:188k7c72900c;:50;9j6f6=831d>8o50;9l6`5=831d=5<50;9l636=831b>k850;9l6=c=831d?:750;&11`<4?11e>8j50:9l721=83.99h4<799m60b=921d?:850;&11`<4?11e>8j52:9l723=83.99h4<799m60b=;21d?5<50;&11`<4?11e>8j54:9l7=7=83.99h4<799m60b==21d?5>50;&11`<4?11e>8j56:9l72`=83.99h4<799m60b=?21d?:k50;&11`<4?11e>8j58:9l72b=83.99h4<799m60b=121d?:m50;&11`<4?11e>8j5a:9l72d=83.99h4<799m60b=j21d?:o50;&11`<4?11e>8j5c:9l722=83.99h4<799m60b=l21d?5650;&11`<40>1e>8j50:9l7=0=83.99h4<869m60b=921d?5;50;&11`<40>1e>8j52:9l7=2=83.99h4<869m60b=;21d?4?50;&11`<40>1e>8j54:9l7<6=83.99h4<869m60b==21d?5h50;&11`<40>1e>8j56:9l7=c=83.99h4<869m60b=?21d?5j50;&11`<40>1e>8j58:9l7=e=83.99h4<869m60b=121d?5l50;&11`<40>1e>8j5a:9l7=g=83.99h4<869m60b=j21d?5750;&11`<40>1e>8j5c:9l7=5=83.99h4<869m60b=l21d??k50;&11`<4:m1e>8j50:9l77e=83.99h4<2e9m60b=921d??l50;&11`<4:m1e>8j52:9l77g=83.99h4<2e9m60b=;21d?>950;&11`<4:m1e>8j54:9l760=83.99h4<2e9m60b==21d?>;50;&11`<4:m1e>8j56:9l762=83.99h4<2e9m60b=?21d?>=50;&11`<4:m1e>8j58:9l764=83.99h4<2e9m60b=121d?>?50;&11`<4:m1e>8j5a:9l766=83.99h4<2e9m60b=j21d??h50;&11`<4:m1e>8j5c:9l77?=83.99h4<2e9m60b=l21d?>j50;&11`<4;j1e>8j50:9l76d=83.99h4<3b9m60b=921d?>o50;&11`<4;j1e>8j52:9l76?=83.99h4<3b9m60b=;21d?9850;&11`<4;j1e>8j54:9l713=83.99h4<3b9m60b==21d?9:50;&11`<4;j1e>8j56:9l715=83.99h4<3b9m60b=?21d?9<50;&11`<4;j1e>8j58:9l717=83.99h4<3b9m60b=121d?9>50;&11`<4;j1e>8j5a:9l76`=83.99h4<3b9m60b=j21d?>k50;&11`<4;j1e>8j5c:9l76>=83.99h4<3b9m60b=l21d?9m50;&11`<4<k1e>8j50:9l71g=83.99h4<4c9m60b=921d?9750;&11`<4<k1e>8j52:9l71>=83.99h4<4c9m60b=;21d?8;50;&11`<4<k1e>8j54:9l702=83.99h4<4c9m60b==21d?8=50;&11`<4<k1e>8j56:9l704=83.99h4<4c9m60b=?21d?8?50;&11`<4<k1e>8j58:9l706=83.99h4<4c9m60b=121d?9h50;&11`<4<k1e>8j5a:9l71c=83.99h4<4c9m60b=j21d?9j50;&11`<4<k1e>8j5c:9l711=83.99h4<4c9m60b=l21d4h4?:%06a?>c3g8>h7>4;n:`>5<#:<o14i5a24f95>=h0k0;6)<:e;:g?k42l3807b6n:18'60c=0m1e>8j53:9l=2<72-8>i76k;o06`?2<3f3=6=4+24g9<a=i:<n1965`9483>!42m32o7c<:d;48?j?3290/>8k58e9m60b=?21d5>4?:%06a?>c3g8>h764;n;1>5<#:<o14i5a24f9=>=h180;6)<:e;:g?k42l3k07b7?:18'60c=0m1e>8j5b:9l<c<72-8>i76k;o06`?e<3f226=4+24g9<a=i:<n1h65`2ga94?"5=l09jo5a24f94>=h:ok1<7*=5d81bg=i:<n1=65`2g;94?"5=l09jo5a24f96>=h:o21<7*=5d81bg=i:<n1?65`31794?"5=l09jo5a24f90>=h;9>1<7*=5d81bg=i:<n1965`31194?"5=l09jo5a24f92>=h;981<7*=5d81bg=i:<n1;65`31394?"5=l09jo5a24f9<>=h;9:1<7*=5d81bg=i:<n1565`2gd94?"5=l09jo5a24f9e>=h:oo1<7*=5d81bg=i:<n1n65`2gf94?"5=l09jo5a24f9g>=h:o=1<7*=5d81bg=i:<n1h65`34`94?"5=l089l5a24f94>=h;<31<7*=5d801d=i:<n1=65`34:94?"5=l089l5a24f96>=h;<=1<7*=5d801d=i:<n1?65`37694?"5=l089l5a24f90>=h;?91<7*=5d801d=i:<n1965`37094?"5=l089l5a24f92>=h;?;1<7*=5d801d=i:<n1;65`37294?"5=l089l5a24f9<>=h;<l1<7*=5d801d=i:<n1565`34g94?"5=l089l5a24f9e>=h;<n1<7*=5d801d=i:<n1n65`34a94?"5=l089l5a24f9g>=h;<<1<7*=5d801d=i:<n1h65`7g83>!42m3=n7c<:d;28?j1c290/>8k57d9m60b=921d;n4?:%06a?1b3g8>h7<4;n5a>5<#:<o1;h5a24f97>=h010;6)<:e;5f?k42l3>07b68:18'60c=?l1e>8j55:9l<3<72-8>i79j;o06`?0<3f2>6=4+24g93`=i:<n1;65`8583>!42m3=n7c<:d;:8?j>4290/>8k57d9m60b=121d4?4?:%06a?1b3g8>h7o4;n:2>5<#:<o1;h5a24f9f>=h090;6)<:e;5f?k42l3i07b9n:18'60c=?l1e>8j5d:9l73g=83.99h4<689m60b=821d?;650;&11`<4>01e>8j51:9l731=83.99h4<689m60b=:21d?;850;&11`<4>01e>8j53:9l725=83.99h4<689m60b=<21d?:<50;&11`<4>01e>8j55:9l727=83.99h4<689m60b=>21d?:>50;&11`<4>01e>8j57:9l73`=83.99h4<689m60b=021d?;k50;&11`<4>01e>8j59:9l73b=83.99h4<689m60b=i21d?;m50;&11`<4>01e>8j5b:9l73d=83.99h4<689m60b=k21d?;;50;&11`<4>01e>8j5d:9l53b=83.99h4>6b9m60b=821d=;l50;&11`<6>j1e>8j51:9l53?=83.99h4>6b9m60b=:21d=;650;&11`<6>j1e>8j53:9l531=83.99h4>6b9m60b=<21d=;850;&11`<6>j1e>8j55:9l533=83.99h4>6b9m60b=>21d=;:50;&11`<6>j1e>8j57:9l535=83.99h4>6b9m60b=021d=;<50;&11`<6>j1e>8j59:9l537=83.99h4>6b9m60b=i21d=;>50;&11`<6>j1e>8j5b:9l50c=83.99h4>6b9m60b=k21d=8j50;&11`<6>j1e>8j5d:9l50e=83.99h4>6b9m60b=m21d=8l50;&11`<6>j1e>8j5f:9l50g=83.99h4>6b9m60b=9910c<;6:18'60c=9?i0b?;k:038?j7203:1(?;j:04`?k42l3;976a>5683>!42m3;=o6`=5e827>=h9<<1<7*=5d822f=i:<n1=954o076>5<#:<o1=;m4n37g>43<3f;<87>5$37f>40d3g8>h7?9;:m236<72-8>i7?9c:l11a<6?21d=:<50;&11`<6>j1e>8j51998k416290/>8k517a8j73c28307b?80;29 73b28<h7c<:d;3b?>i6>o0;6)<:e;35g>h5=m0:n65`17g94?"5=l0::n5a24f95f=<g8<j6=4+24g953e<f;?o6<j4;n36b?6=,;?n6<8l;o06`?7b32e:994?:%06a?71k2d99i4>f:9l6f0=83.99h4=c49m60b=821d>n:50;&11`<5k<1e>8j51:9l6f5=83.99h4=c49m60b=:21d>n<50;&11`<5k<1e>8j53:9l6f`=83.99h4=c49m60b=<21d>nk50;&11`<5k<1e>8j55:9l6fb=83.99h4=c49m60b=>21d>nm50;&11`<5k<1e>8j57:9l6fd=83.99h4=c49m60b=021d>no50;&11`<5k<1e>8j59:9l6f?=83.99h4=c49m60b=i21d>n650;&11`<5k<1e>8j5b:9l6f1=83.99h4=c49m60b=k21d>n?50;&11`<5k<1e>8j5d:9j623=83.99h4=759m60b=821b>:=50;&11`<5?=1e>8j51:9j624=83.99h4=759m60b=:21b>:?50;&11`<5?=1e>8j53:9j62c=83.99h4=759m60b=<21b>:j50;&11`<5?=1e>8j55:9j62e=83.99h4=759m60b=>21b>:l50;&11`<5?=1e>8j57:9j62g=83.99h4=759m60b=021b>:750;&11`<5?=1e>8j59:9j62>=83.99h4=759m60b=i21b>:950;&11`<5?=1e>8j5b:9j620=83.99h4=759m60b=k21b>:>50;&11`<5?=1e>8j5d:9jb1<72-8>i7h<;o06`?6<3`l96=4+24g9b6=i:<n1=65ff183>!42m3l87c<:d;08?lca290/>8k5f29m60b=;21bih4?:%06a?`43g8>h7:4;hgg>5<#:<o1j>5a24f91>=nmj0;6)<:e;d0?k42l3<07dkm:18'60c=n:1e>8j57:9jad<72-8>i7h<;o06`?><3`o26=4+24g9b6=i:<n1565fe983>!42m3l87c<:d;c8?lc0290/>8k5f29m60b=j21bi84?:%06a?`43g8>h7m4;hg7>5<#:<o1j>5a24f9`>=nm:0;6)<:e;d0?k42l3o07dk=:18'60c=n:1e>8j5f:9ja4<72-8>i7h<;o06`?7732cn<7>5$37f>c5<f;?o6<?4;hfe>5<#:<o1j>5a24f957=<amo1<7*=5d8e7>h5=m0:?65fde83>!42m3l87c<:d;37?>ock3:1(?;j:g18j73c28?07dhm:18'60c=n:1e>8j51798mcg=83.99h4i3:l11a<6?21bj44?:%06a?`43g8>h7?7;:ke<?6=,;?n6k=4n37g>4?<3`l<6=4+24g9b6=i:<n1=l54ig494?"5=l0m?6`=5e82f>=nn<0;6)<:e;d0?k42l3;h76gi1;29 73b2o90b?;k:0f8?lc1290/>8k5f29m60b=9l10eil50;&11`<a;2d99i4>f:9j6g4=83.99h4=b09m60b=821b>o>50;&11`<5j81e>8j51:9j6d`=83.99h4=b09m60b=:21b>lk50;&11`<5j81e>8j53:9j6gd=83.99h4=b09m60b=<21b>oo50;&11`<5j81e>8j55:9j6g?=83.99h4=b09m60b=>21b>o650;&11`<5j81e>8j57:9j6g1=83.99h4=b09m60b=021b>o850;&11`<5j81e>8j59:9j6g3=83.99h4=b09m60b=i21b>o:50;&11`<5j81e>8j5b:9j6g5=83.99h4=b09m60b=k21b>lj50;&11`<5j81e>8j5d:9j6d5=83.99h4=a39m60b=821b>l?50;&11`<5i;1e>8j51:9j6d6=83.99h4=a39m60b=:21b>4h50;&11`<5i;1e>8j53:9j6de=83.99h4=a39m60b=<21b>ll50;&11`<5i;1e>8j55:9j6dg=83.99h4=a39m60b=>21b>l750;&11`<5i;1e>8j57:9j6d>=83.99h4=a39m60b=021b>l950;&11`<5i;1e>8j59:9j6d0=83.99h4=a39m60b=i21b>l;50;&11`<5i;1e>8j5b:9j6d2=83.99h4=a39m60b=k21b>4k50;&11`<5i;1e>8j5d:9j6<2=83.99h4=929m60b=821b>4<50;&11`<51:1e>8j51:9j6<7=83.99h4=929m60b=:21b>4>50;&11`<51:1e>8j53:9j6<b=83.99h4=929m60b=<21b>4m50;&11`<51:1e>8j55:9j6<d=83.99h4=929m60b=>21b>4o50;&11`<51:1e>8j57:9j6<?=83.99h4=929m60b=021b>4650;&11`<51:1e>8j59:9j6<1=83.99h4=929m60b=i21b>4850;&11`<51:1e>8j5b:9j6<3=83.99h4=929m60b=k21b>5h50;&11`<51:1e>8j5d:9j6=2=83.99h4=829m60b=821b>5<50;&11`<50:1e>8j51:9j6=7=83.99h4=829m60b=:21b>5>50;&11`<50:1e>8j53:9j6=b=83.99h4=829m60b=<21b>5m50;&11`<50:1e>8j55:9j6=d=83.99h4=829m60b=>21b>5o50;&11`<50:1e>8j57:9j6=?=83.99h4=829m60b=021b>5650;&11`<50:1e>8j59:9j6=1=83.99h4=829m60b=i21b>5850;&11`<50:1e>8j5b:9j6=3=83.99h4=829m60b=k21b>:h50;&11`<50:1e>8j5d:9j630=83.99h4=649m60b=821b>;:50;&11`<5><1e>8j51:9j635=83.99h4=649m60b=:21b>;<50;&11`<5><1e>8j53:9j63`=83.99h4=649m60b=<21b>;k50;&11`<5><1e>8j55:9j63b=83.99h4=649m60b=>21b>;m50;&11`<5><1e>8j57:9j63d=83.99h4=649m60b=021b>;o50;&11`<5><1e>8j59:9j63?=83.99h4=649m60b=i21b>;650;&11`<5><1e>8j5b:9j631=83.99h4=649m60b=k21b>;?50;&11`<5><1e>8j5d:9a75g=83;1<7>t$3ff>4>23A9;56F=e39l5=2=831vn>>m:182>5<7s-8oi7<j4:J04<=O:l80c?;m:188yg>b:3:187>50z&1``<6?o1C?=74H3g1?M013-;2?7?4i7;94?=n?<0;66g=5g83>>i5jj0;66sm8d494?3=83:p(?jj:0:3?M5712B9i?5G679'5<5=92c=57>5;h4f>5<<a>?1<75f24d94?=h:ki1<75rb9g6>5<3290;w)<ke;34b>N4801C>h<4H748 4?4281b:44?::k41?6=3`8>j7>5;n0ag?6=3th3i94?:483>5}#:mo1=5>4H22:?M4b:2B=:6*>9282?l0>2900e;k50;9j30<722c99k4?::m1ff<722wi:=:50;794?6|,;nn6<6?;I13=>N5m;1/=4=5a:k5=?6=3`<n6=44i6794?=n:<l1<75`2ca94?=zj?:>6=4::183\7f!4cm3;3<6F<089K6`4<,8386l5f6883>>o1m3:17d9::188m73a2900c?ll:188yg07i3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c43f?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo8?c;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk<;h7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg07m3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c43b?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo8>0;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk<:=7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg07>3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c43=?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo8?7;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk<;47>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg3d83:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f0e0290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa1a`=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi9n750;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th>i=4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`6gg<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c7f5?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk?hh7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj<o96=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb4ae>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm5d194?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e=m;1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd2m=0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl:d283>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;j5;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg3c=3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f0c129086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn8j8:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi9h950;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa1f7=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`6`d<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th>o>4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk?on7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c7`1?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb4f`>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<no6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb4`1>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn8l<:186>5<7s-8oi7?70:J04<=O:l80(<7<:`9j2<<722c=i7>5;h56>5<<a;?m6=44o3``>5<<uk?i47>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg3e13:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c7ae?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;mb;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?io7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg3el3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c7aa?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;mf;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?i87>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg3e?3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c7a1?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;m6;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?<o7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj<286=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e=0h1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm59794?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl:9b83>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd20>0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg3>l3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo;79;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn87j:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f0>e290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa1<`=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi95j50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th>m=4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`6<c<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c7b5?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk?2=7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj<k96=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb4;0>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm5`194?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e=>n1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd21?0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl:7g83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;67;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg3?93:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f0??29086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn876:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f1c1290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb5g4>5<2290;w)<ke;3;4>N4801C>h<4$0;0>d=n>00;66g9e;29?l122900e?;i:188k7dd2900qo:jc;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk>nh7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2bm3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c6fb?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:i0;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk>m=7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2a:3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c6e7?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:j8;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk>nn7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2b13:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c6fe?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:n2;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn9o6:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi8n?50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa0dd=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`7g7<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th?mi4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk>h?7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c6bb?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb5a7>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj=h:6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<j?1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm4c194?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl;c783>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd3j<0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg2d?3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo:m7;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn9m7:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f1d>290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa0f?=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi8l=50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th?nn4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`7e0<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c6a`?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk>j;7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj=hn6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb5`e>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<<n6=4::183\7f!4cm3;3<6F<089K6`4<,8386l5f6883>>o1m3:17d9::188m73a2900c?ll:188yg31n3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c740?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;85;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?<:7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg30?3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c74<?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;89;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?<m7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg30j3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c744?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;83;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?<=7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg30:3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c70<?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb41e>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm54594?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e==;1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd2=10;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl:4283>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;:9;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg33=3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f03f29086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn8:8:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi98l50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa11?=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`61f<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th>8o4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk?>h7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c77`?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb47f>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<>m6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e=<l1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm52;94?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl:5383>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd2;k0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg32;3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo;<d;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn8;;:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f03229086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn97;:186>5<7s-8oi7?70:J04<=O:l80(<7<:`9j2<<722c=i7>5;h56>5<<a;?m6=44o3``>5<<uk>297>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2>i3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c6:f?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:6c;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk>2h7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2>m3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c6:b?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:n0;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk>j=7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2>>3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c6:=?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:67;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk>247>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg22m3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f102290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa02b=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi8;950;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th?;h4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`72<<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c64b?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk>=n7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj=2;6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb54g>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm49394?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e<?l1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd30;0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl;7083>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo:73;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg20;3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f1>329086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn99::187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi85;50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa00`=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`73=<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th?:<4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk><57>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c657?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb55b>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj==i6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb40b>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn8<m:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj<9;6=4::183\7f!4cm3;<h6F<089K6`4<,8386<5f6883>>o1m3:17d9=:188m23=831d>om50;9~f056290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb411>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn8=<:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj<9?6=4::183\7f!4cm3;<h6F<089K6`4<,8386<5f6883>>o1m3:17d9=:188m23=831d>om50;9~f052290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb415>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn8=8:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj<8h6=4::183\7f!4cm3;<h6F<089K6`4<,8386<5f6883>>o1m3:17d9=:188m23=831d>om50;9~f04c290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb40f>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn8<i:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj=l?6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<oh1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd29:0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl;fe83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;>4;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg2an3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f07229086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn8>>:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi9<850;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa155=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`652<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th><84?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk?:47>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c733?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb43:>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<:26=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e=8k1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm51`94?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl:1c83>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd3n<0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg37m3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo:i7;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn8>i:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f1`>290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa146=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi9<?50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa006=83?1<7>t$3ff>41c3A9;56F=e39'5<5=92c=57>5;h4f>5<<a>81<75f7483>>i5jj0;66sm44394?3=83:p(?jj:05g?M5712B9i?5+18195>o113:17d8j:188m24=831b;84?::m1ff<722wi88850;794?6|,;nn6<9k;I13=>N5m;1/=4=51:k5=?6=3`<n6=44i6094?=n?<0;66a=bb83>>{e<<=1<7;50;2x 7bb28=o7E=?9:J1a7=#9091=6g99;29?l0b2900e:<50;9j30<722e9nn4?::\7fa00>=83?1<7>t$3ff>41c3A9;56F=e39'5<5=92c=57>5;h4f>5<<a>81<75f7483>>i5jj0;66sm44;94?3=83:p(?jj:05g?M5712B9i?5+18195>o113:17d8j:188m24=831b;84?::m1ff<722wi88o50;794?6|,;nn6<9k;I13=>N5m;1/=4=51:k5=?6=3`<n6=44i6094?=n?<0;66a=bb83>>{e<<h1<7;50;2x 7bb28=o7E=?9:J1a7=#9091=6g99;29?l0b2900e:<50;9j30<722e9nn4?::\7fa00e=83?1<7>t$3ff>41c3A9;56F=e39'5<5=92c=57>5;h4f>5<<a>81<75f7483>>i5jj0;66sm44f94?3=83:p(?jj:05g?M5712B9i?5+18195>o113:17d8j:188m24=831b;84?::m1ff<722wi88<50;794?6|,;nn6<9k;I13=>N5m;1/=4=51:k5=?6=3`<n6=44i6094?=n?<0;66a=bb83>>{e<<91<7;50;2x 7bb28=o7E=?9:J1a7=#9091=6g99;29?l0b2900e:<50;9j30<722e9nn4?::\7fa002=83?1<7>t$3ff>41c3A9;56F=e39'5<5=92c=57>5;h4f>5<<a>81<75f7483>>i5jj0;66sm44794?3=83:p(?jj:05g?M5712B9i?5+18195>o113:17d8j:188m24=831b;84?::m1ff<722wi8<o50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th?><4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk>857>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c617?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb51b>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj=8>6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<:h1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm43594?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl;3b83>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd3:00;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg24l3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo:=b;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn9=j:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f14c290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa06`=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi8?h50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th?8=4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`774<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c675?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk>:n7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj=9?6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb53g>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm42794?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e<8l1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd3;?0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl;3683>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd?<10;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg>313:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f=2f290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa<1d=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`;0f<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c:7`?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb96f>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm87194?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl76583>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo695;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn589:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi4;950;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th3:54?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk2=57>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj1>:6=4;:183\7f!4cm3;<j6F<089K6`4<,8386l5f6883>>o0=3:17d<:f;29?j4ek3:17pl78283>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo674;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn56::187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi45850;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th34:4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk2347>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj1226=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e00o1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd?1o0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg>f83:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f=g6290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa<d4=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`;e6<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c:b0?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb95`>5<3290;w)<ke;34b>N4801C>h<4$0;0>4=n>00;66g85;29?l42n3:17b<mc;29?xd0l90;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg1c93:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f2b5290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa3a5=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`4`1<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c5g1?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb6f5>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm7d`94?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl8eb83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo9jd;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn:kj:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi;hh50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th<j=4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk=m=7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj>i<6=4::183\7f!4cm3;3<6F<089K6`4<,8386l5f6883>>o1m3:17d9::188m73a2900c?ll:188yg>7l3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f=6b290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa<5`=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`;55<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c:25?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb931>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm80194?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl72983>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo6=9;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn5<n:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi4?l50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th3>n4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk29h7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj18n6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e09>1<7;50;2x 7bb282;7E=?9:J1a7=#9091=6g99;29?l0b2900e:;50;9j60`=831d>om50;9~f3?5290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<2=7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl99183>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2=`=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?3j6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo869;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5==<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e>0=1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn;79:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c4:1?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd11=0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi:4=50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7:f>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg0?l3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th=hk4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm6eg94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f3bc290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<oo7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl9e683>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2`0=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?o>6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo8j4;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5a6<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e>l81<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn;k>:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c4f4?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd1lk0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi:io50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7da>5<3290;w)<ke;34g>N4801C>h<4H748 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<mm7>54;294~"5ll0:;n5G31;8L7c53A<=7)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5b<<72=0;6=u+2eg952e<@::27E<j2:J52>"61:0:7d86:188m24=831b;84?::m1ff<722wi:k650;694?6|,;nn6<9l;I13=>N5m;1C:;5+18195>o113:17d9=:188m23=831d>om50;9~f264290?6=4?{%0ga?70k2B8<45G2d08L30<,8386<5f6883>>o0:3:17d9::188k7dd2900qo9?2;290?6=8r.9hh4>7b9K75?<@;o97E89;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0880;694?:1y'6ac=9>i0D>>6;I0f6>N1>2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm71294?2=83:p(?jj:05`?M5712B9i?5G679'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?lm6=4;:183\7f!4cm3;<o6F<089K6`4<@?<0(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c4ea?6=<3:1<v*=dd823f=O;930D?k=;I45?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th=ji4?:583>5}#:mo1=:m4H22:?M4b:2B=:6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2ce=83>1<7>t$3ff>41d3A9;56F=e39K23=#9091=6g99;29?l152900e:;50;9l6ge=831vn;h8:187>5<7s-8oi7?8c:J04<=O:l80D;84$0;0>4=n>00;66g82;29?l122900c?ll:188yg0a>3:187>50z&1``<6?j1C?=74H3g1?M013-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl9c283>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2f4=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?i:6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo8l0;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5gg<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e>jk1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn;m6:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c4`<?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd1k>0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi:n850;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7a6>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg0d<3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th=nk4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm6cg94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f24c290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=9o7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl82c83>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa37g=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>9>6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo9<4;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`476<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?:81<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn:=>:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c504?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0:o0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;?k50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb60:>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg1503:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<;l4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm76;94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f21?290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=<;7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl88383>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa3=7=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>2;6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo98f;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`43`<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?>n1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn:9l:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c54f?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0??0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;:;50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb6;5>5<3290;w)<ke;34g>N4801C>h<4H748 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=297>54;294~"5ll0:;n5G31;8L7c53A<=7)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`4=1<72=0;6=u+2eg952e<@::27E<j2:J52>"61:0:7d86:188m24=831b;84?::m1ff<722wi;4=50;694?6|,;nn6<9l;I13=>N5m;1C:;5+18195>o113:17d9=:188m23=831d>om50;9~f2?b290?6=4?{%0ga?70k2B8<45G2d08L30<,8386<5f6883>>o0:3:17d9::188k7dd2900qo96d;290?6=8r.9hh4>7b9K75?<@;o97E89;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd01j0;694?:1y'6ac=9>i0D>>6;I0f6>N1>2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm78`94?2=83:p(?jj:05`?M5712B9i?5G679'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>3j6=4;:183\7f!4cm3;<o6F<089K6`4<@?<0(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c5:=?6=<3:1<v*=dd823f=O;930D?k=;I45?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<554?:583>5}#:mo1=:m4H22:?M4b:2B=:6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa3<1=83>1<7>t$3ff>41d3A9;56F=e39K23=#9091=6g99;29?l152900e:;50;9l6ge=831vn:7=:187>5<7s-8oi7?8c:J04<=O:l80D;84$0;0>4=n>00;66g82;29?l122900c?ll:188yg1>93:187>50z&1``<6?j1C?=74H3g1?M013-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl85d83>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa30b=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>?h6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo9:b;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`423<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e???1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn:8;:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c557?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0>;0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;;?50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb643>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg12n3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<9l4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm74;94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f35629086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn;<<:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8<0;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg06=3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f34529086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg05n3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8>4;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn;<>:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8=e;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg06;3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f34729086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg04i3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8>e;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn;<l:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8<9;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg06l3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f34e29086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg0403:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8>c;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn;<n:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8<7;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg06j3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f34>29086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg04>3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8>a;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn;<7:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8<5;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg0613:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f34029086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg04<3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8>8;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn;<9:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8<3;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg06?3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f34229086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg04:3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8>6;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn;<;:180>5<7s-8oi7?j0:J04<=O:l80(<7<:528m4>02900e<67:188k73?2900qo8=d;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg06:3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f37a29086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg02i3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo8;c;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl95883>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1;l0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg03j3:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd1=10;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl93e83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo8;a;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl95683>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1;j0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg0313:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd1>:0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl94683>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo8:5;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl96383>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1<?0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg02<3:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd1>80;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl94483>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo8:3;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl96183>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1<=0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg02:3:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd1=o0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl94283>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo8:1;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl95d83>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1<;0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg0283:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd1=m0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl94083>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo8;f;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl95b83>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1<90;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg03m3:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd1=k0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl93g83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo8;d;297?6=8r.9hh4>e19K75?<@;o97)?63;63?l7??3:17d?78;29?j4203:17pl95783>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd1;k0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg0303:1?7>50z&1``<6m91C?=74H3g1?!7>;3>;7d?77;29?l7?03:17b<:8;29?xd0jk0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;oo50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb6`:>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg1e03:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<o>4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm7b094?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f2e6290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=h<7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl8bg83>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa3gc=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>ho6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo9mc;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`4f2<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?k<1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn5j8:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c:g2?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd?l<0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi4i:50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb9fe>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg>cm3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th3hi4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm8ea94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f=be290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk2om7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl7d883>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa<a>=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj1n86=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo6k2;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`:62<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa==7=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<60290?6=4?{%0ga?7b>2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?313:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>i:0;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e19k1<7:50;2x 7bb28o=7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0?>6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3jj7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2=;4?:583>5}#:mo1=h84H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi58650;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4l=:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7>9;290?6=8r.9hh4>e79K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl65883>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm9c194?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb83b>5<3290;w)<ke;3f2>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}cc;3?6=<3:1<v*=dd823`=O;930D?k=;%3:7?7<a?31<75f6d83>>o0=3:17b<mc;29?xdf0?0;694?:1y'6ac=9>o0D>>6;I0f6>"61:0:7d86:188m3c=831b;84?::m1ff<722wim5;50;694?6|,;nn6<9j;I13=>N5m;1/=4=51:k5=?6=3`<n6=44i6794?=h:ki1<75rb614>5<4290;w)<ke;3f4>N4801C>h<4$0;0>6?<a82<6=44i0:;>5<<g;?36=44}c4:g?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?5>3`;3;7>5;h3;<?6=3f8>47>5;|`462<72:0;6=u+2eg95`6<@::27E<j2:&2=6<412c:4:4?::k2<=<722e9954?::\7fa370=8391<7>t$3ff>4c73A9;56F=e39'5<5=;01b=5950;9j5=>=831d>8650;9~f24229086=4?{%0ga?7b82B8<45G2d08 4?42:30e<68:188m4>?2900c?;7:188yg15<3:1?7>50z&1``<6m91C?=74H3g1?!7>;3927d?77;29?l7?03:17b<:8;29?xd0::0;6>4?:1y'6ac=9l:0D>>6;I0f6>"61:0856g>8683>>o6010;66a=5983>>{e?;81<7=50;2x 7bb28o;7E=?9:J1a7=#9091?45f19594?=n9121<75`24:94?=zj>8:6=4<:183\7f!4cm3;n<6F<089K6`4<,8386>74i0:4>5<<a8236=44o37;>5<<uk=9<7>53;294~"5ll0:i=5G31;8L7c53-;2?7=6;h3;3?6=3`;347>5;n06<?6=3th<=k4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4<9:k2<2<722c:454?::m11=<722wi;<k50;194?6|,;nn6<k?;I13=>N5m;1/=4=5389j5=1=831b=5650;9l60>=831vn:?k:180>5<7s-8oi7?j0:J04<=O:l80(<7<:2;8m4>02900e<67:188k73?2900qo9>c;297?6=8r.9hh4>e19K75?<@;o97)?63;1:?l7??3:17d?78;29?j4203:17pl81c83>6<729q/>ik51d28L66>3A8n>6*>9280=>o60>0;66g>8983>>i5=10;66sm69a94?5=83:p(?jj:0g3?M5712B9i?5+18197<=n91=1<75f19:94?=h:<21<75rb7:a>5<4290;w)<ke;3f4>N4801C>h<4$0;0>6?<a82<6=44i0:;>5<<g;?36=44}c4;e?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?5>3`;3;7>5;h3;<?6=3f8>47>5;|`5<<<72:0;6=u+2eg95`6<@::27E<j2:&2=6<412c:4:4?::k2<=<722e9954?::\7fa2=>=8391<7>t$3ff>4c73A9;56F=e39'5<5=;01b=5950;9j5=>=831d>8650;9~f3>029086=4?{%0ga?7b82B8<45G2d08 4?42:30e<68:188m4>?2900c?;7:188yg0?>3:1?7>50z&1``<6m91C?=74H3g1?!7>;3927d?77;29?l7?03:17b<:8;29?xd10<0;6>4?:1y'6ac=9l:0D>>6;I0f6>"61:0856g>8683>>o6010;66a=5983>>{e>1>1<7=50;2x 7bb28o;7E=?9:J1a7=#9091?45f19594?=n9121<75`24:94?=zj?286=4<:183\7f!4cm3;n<6F<089K6`4<,8386>74i0:4>5<<a8236=44o37;>5<<uk<3>7>53;294~"5ll0:i=5G31;8L7c53-;2?7=6;h3;3?6=3`;347>5;n06<?6=3th=4<4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4<9:k2<2<722c:454?::m11=<722wi:5>50;194?6|,;nn6<k?;I13=>N5m;1/=4=5389j5=1=831b=5650;9l60>=831vn:=6:187>5<7s-8oi7?j1:J04<=O:l80(<7<:508m4>02900e<67:188m4>>2900c?;7:188yg0>m3:187>50z&1``<6m81C?=74H3g1?!7>;3>97d?77;29?l7?03:17d?79;29?j4203:17pl83c83>0<729q/>ik51d08L66>3A8n>6*>9280f>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm6`294?3=83:p(?jj:0g1?M5712B9i?5+18197g=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb61g>5<4290;w)<ke;3f4>N4801C>h<4$0;0>6?<a82<6=44i0:;>5<<g;?36=44}c4b6?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?5>3`;3;7>5;h3;<?6=3f8>47>5;|`47c<72=0;6=u+2eg95`7<@::27E<j2:&2=6<3:2c:4:4?::k2<=<722c:444?::m11=<722wi:l:50;694?6|,;nn6<k>;I13=>N5m;1/=4=5439j5=1=831b=5650;9j5=?=831d>8650;9~f226290>6=4?{%0ga?7b:2B8<45G2d08 4?42:h0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg0f>3:197>50z&1``<6m;1C?=74H3g1?!7>;39i7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?l80;6>4?:1y'6ac=9l:0D>>6;I0f6>"61:0946g>8683>>o6010;66a=5983>>{e?k?1<7=50;2x 7bb28o;7E=?9:J1a7=#9091?45f19594?=n9121<75`24:94?=zj>h?6=4::183\7f!4cm3;n>6F<089K6`4<,83869=4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk=:m7>53;294~"5ll0:i=5G31;8L7c53-;2?7=6;h3;3?6=3`;347>5;n06<?6=3th<=44?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;3:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi4o<50;794?6|,;nn6<k=;I13=>N5m;1/=4=5409j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn578:186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo687;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl75b83>0<729q/>ik51d08L66>3A8n>6*>92875>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm82a94?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb902>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c5eb?6==3:1<v*=dd82a7=O;930D?k=;%3:7?263`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`4a1<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<g7=83?1<7>t$3ff>4c53A9;56F=e39'5<5=<81b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f=?1290>6=4?{%0ga?7b:2B8<45G2d08 4?42=;0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg>0>3:197>50z&1``<6m;1C?=74H3g1?!7>;3>:7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?=k0;684?:1y'6ac=9l80D>>6;I0f6>"61:08j6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e0:h1<7;50;2x 7bb28o97E=?9:J1a7=#90918<5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj18;6=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk=mi7>55;294~"5ll0:i?5G31;8L7c53-;2?7:>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th<i>4?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi4o>50;794?6|,;nn6<k=;I13=>N5m;1/=4=5409j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn57::186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo685;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl75`83>0<729q/>ik51d08L66>3A8n>6*>9280b>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm82c94?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb93e>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c5e`?6==3:1<v*=dd82a7=O;930D?k=;%3:7?263`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`4a7<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<d`=83?1<7>t$3ff>4c53A9;56F=e39'5<5=<81b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f=?3290>6=4?{%0ga?7b:2B8<45G2d08 4?42=;0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg>0<3:197>50z&1``<6m;1C?=74H3g1?!7>;3>:7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?=00;684?:1y'6ac=9l80D>>6;I0f6>"61:08j6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e0:31<7;50;2x 7bb28o97E=?9:J1a7=#90918<5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj1;n6=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk=mo7>55;294~"5ll0:i?5G31;8L7c53-;2?7:>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th<i<4?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi4lk50;794?6|,;nn6<k=;I13=>N5m;1/=4=5409j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn57<:186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo683;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl75983>0<729q/>ik51d08L66>3A8n>6*>9280b>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm82:94?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb93g>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c5ef?6==3:1<v*=dd82a7=O;930D?k=;%3:7?263`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`4a5<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa313=8391<7>t$3ff>4c73A9;56F=e39'5<5=<91b=5950;9j5=>=831d>8650;9~f3gf29086=4?{%0ga?7b82B8<45G2d08 4?42=:0e<68:188m4>?2900c?;7:188yg1303:187>50z&1``<6m81C?=74H3g1?!7>;39j7d?77;29?l7?03:17d?79;29?j4203:17pl9ae83>1<729q/>ik51d38L66>3A8n>6*>9280e>o60>0;66g>8983>>o6000;66a=5983>>{e?=k1<7;50;2x 7bb28o97E=?9:J1a7=#90918>5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj?km6=4::183\7f!4cm3;n>6F<089K6`4<,83869=4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2jh7>55;294~"5ll0:i?5G31;8L7c53-;2?7:>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th35?4?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi4:<50;794?6|,;nn6<k=;I13=>N5m;1/=4=5409j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn5;8:186>5<7s-8oi7?j2:J04<=O:l80(<7<:2d8m4>02900e<67:188m4>>2900e<6n:188k73?2900qo6<7;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl71b83>0<729q/>ik51d08L66>3A8n>6*>92875>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm7gc94?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb6fe>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c:bg?6==3:1<v*=dd82a7=O;930D?k=;%3:7?263`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`;=4<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<27=83?1<7>t$3ff>4c53A9;56F=e39'5<5=<81b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f=31290>6=4?{%0ga?7b:2B8<45G2d08 4?42:o0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg>4>3:197>50z&1``<6m;1C?=74H3g1?!7>;3>:7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?9k0;684?:1y'6ac=9l80D>>6;I0f6>"61:0?=6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e?o31<7;50;2x 7bb28o97E=?9:J1a7=#90918<5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj>nn6=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2ni7>54;294~"5ll0:i<5G31;8L7c53-;2?7=l;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`;aa<72=0;6=u+2eg95`7<@::27E<j2:&2=6<492c:4:4?::k2<=<722c:444?::m11=<722wi4hm50;694?6|,;nn6<k>;I13=>N5m;1/=4=5309j5=1=831b=5650;9j5=?=831d>8650;9~f=ce290?6=4?{%0ga?7b92B8<45G2d08 4?42>1b=5950;9j5=>=831b=5750;9l60>=831vn5kn:187>5<7s-8oi7?j1:J04<=O:l80(<7<:238m4>02900e<67:188m4>>2900c?;7:188yg>b13:187>50z&1``<6m81C?=74H3g1?!7>;3=0e<68:188m4>?2900e<66:188k73?2900qo6j8;290?6=8r.9hh4>e09K75?<@;o97)?63;58m4>02900e<67:188m4>>2900c?;7:188yg>b?3:187>50z&1``<6m81C?=74H3g1?!7>;3?0e<68:188m4>?2900e<66:188k73?2900qo9ne;297?6=8r.9hh4>e19K75?<@;o97)?63;1:?l7??3:17d?78;29?j4203:17pl8ab83>0<729q/>ik51d08L66>3A8n>6*>9280f>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm70194?5=83:p(?jj:0g3?M5712B9i?5+18197<=n91=1<75f19:94?=h:<21<75rb632>5<2290;w)<ke;3f6>N4801C>h<4$0;0>6d<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c5a7?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?253`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th<=54?:583>5}#:mo1=h?4H22:?M4b:2.:5>4;2:k2<2<722c:454?::k2<<<722e9954?::\7fa3g7=83?1<7>t$3ff>4c53A9;56F=e39'5<5=;k1b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f224290>6=4?{%0ga?7b:2B8<45G2d08 4?42=90e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg16>3:197>50z&1``<6m;1C?=74H3g1?!7>;39i7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd1i10;684?:1y'6ac=9l80D>>6;I0f6>"61:0??6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e?j>1<7=50;2x 7bb28o;7E=?9:J1a7=#9091>55f19594?=n9121<75`24:94?=zj1l=6=4::183\7f!4cm3;n>6F<089K6`4<,8386>j4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2m97>55;294~"5ll0:i?5G31;8L7c53-;2?774i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2m87>55;294~"5ll0:i?5G31;8L7c53-;2?774i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2m?7>55;294~"5ll0:i?5G31;8L7c53-;2?764i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2m>7>55;294~"5ll0:i?5G31;8L7c53-;2?774i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2m=7>55;294~"5ll0:i?5G31;8L7c53-;2?7:4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2m<7>55;294~"5ll0:i?5G31;8L7c53-;2?764i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2nj7>55;294~"5ll0:i?5G31;8L7c53-;2?784i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk?h47>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`6gd<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi9nm50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f0eb29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;k0;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd2l;0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm5e694?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<n=6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c7g<?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>o?4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa1f2=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn8m9:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg3?<3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl:8783>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e=121<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb4:b>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk?3o7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`6<`<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi94>50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f0?529096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;64;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd2?l0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm59294?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<296=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c6be?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th?mn4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa0dc=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn9l?:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg2e:3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;b583>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e<k<1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb5`;>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk>im7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`7e1<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi8l850;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f1g?29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;;0;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd2<;0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm55694?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<>=6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c77<?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>8l4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa11e=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn8:j:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg3283:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl:3`83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e=:i1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb41f>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk>=:7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`72=<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi8;o50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f10d29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo:9e;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd3?90;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm46094?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj==?6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c642?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th?:=4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa034=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn98;:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg2ak3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;fd83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e=9:1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb421>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk?;87>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`643<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi9=650;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f06f29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;?c;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd3n?0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm4g:94?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj=lj6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c616?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th?>94?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa070=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn9<7:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg25i3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;2b83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e<;o1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb513>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk>8>7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`75f<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi8<k50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f14729096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;ke;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd21h0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm4b294?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<?=6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c64g?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>=?4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa06>=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vno9j:187>5<7s-8oi7?j1:J04<=O:l80(<7<:05:?l7??3:17d?78;29?l7?13:17b<:8;29?xd0im0;684?:1y'6ac=9l80D>>6;I0f6>"61:08n6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e?881<7;50;2x 7bb28o97E=?9:J1a7=#9091?o5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj1=i6=4::183\7f!4cm3;n>6F<089K6`4<,8386<6>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th38=4?:483>5}#:mo1=h<4H22:?M4b:2.:5>4>809j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn5>9:186>5<7s-8oi7?j2:J04<=O:l80(<7<:05b?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl8c883>0<729q/>ik51d08L66>3A8n>6*>92823d=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb8f0>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2;=4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<01290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6c`83>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0nn6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:b3<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vnl>?:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>?10;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8g4>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2ih4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<`2290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6f283>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zjh:36=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:3f<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn49m:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>n=0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8a3>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3thj<o4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~fd6d290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl67e83>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0i:6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:g7<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn4m::187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>k:0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb`2g>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2;k4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<1b290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6c583>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0i=6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`b4`<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vnl>i:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>090;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8a4>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2o54?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<ed290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6c883>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zjh;;6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:b2<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn488:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>kk0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8ag>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2oh4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<0?290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6f883>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0<26=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:b=<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn4j>:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>ko0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8f3>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2jl4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<0f290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6d383>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0n?6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:`0<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn48m:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>nj0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb84`>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2jo4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<b?290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6d783>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0n<6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:ba<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn48k:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>l00;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8fb>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2ho4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<0b290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6fg83>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0<m6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:b`<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn4ji:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>lj0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8fg>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3thj<<4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<16290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6e183>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0o:6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:a7<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn49=:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xdf8:0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb`21>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2;>4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<c2290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6e283>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0o?6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`b41<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn49;:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>m?0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8g;>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th2i44?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<12290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pln0783>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0==6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`b40<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn4kl:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xd>mh0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb8ga>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3thj<:4?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<10290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6ee83>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0om6=4;:183\7f!4cm3;n=6F<089K6`4<,8386<9:;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`:b5<72=0;6=u+2eg95`7<@::27E<j2:&2=6<6?<1b=5950;9j5=>=831b=5750;9l60>=831vn496:187>5<7s-8oi7?j1:J04<=O:l80(<7<:056?l7??3:17d?78;29?l7?13:17b<:8;29?xdf8h0;694?:1y'6ac=9l;0D>>6;I0f6>"61:0:;85f19594?=n9121<75f19;94?=h:<21<75rb85b>5<3290;w)<ke;3f5>N4801C>h<4$0;0>4123`;3;7>5;h3;<?6=3`;357>5;n06<?6=3thj<44?:583>5}#:mo1=h?4H22:?M4b:2.:5>4>749j5=1=831b=5650;9j5=?=831d>8650;9~f<`6290?6=4?{%0ga?7b92B8<45G2d08 4?428=>7d?77;29?l7?03:17d?79;29?j4203:17pl6f383>1<729q/>ik51d38L66>3A8n>6*>928230=n91=1<75f19:94?=n9131<75`24:94?=zj0k:6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3?;7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2m?4?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi59650;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4ok:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7:3;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl6b983>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm94g94?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb8`b>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;54?6=<3:1<v*=dd82a0=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:fg<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=37=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<dd290?6=4?{%0ga?7b=2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?1:3:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>jm0;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1?91<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0hn6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3=87>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2nk4?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5;;50;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4o;:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7;a;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl6a483>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm95`94?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb8c5>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;7g?6=<3:1<v*=dd82a0=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:e2<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=1b=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<g?290?6=4?{%0ga?7b=2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?3m3:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>i00;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1=l1<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0kj6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3><7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2mo4?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi58?50;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4ol:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7:2;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl6ad83>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm94694?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb8`3>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;62?6=<3:1<v*=dd82a0=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:f4<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=01=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<d3290?6=4?{%0ga?7b=2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?2i3:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>j<0;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1<h1<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0h=6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3>o7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2n:4?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi58j50;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4l6:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7:f;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl60983>1<729q/>ik51d48L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm91;94?2=83:p(?jj:0g5?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb837>5<3290;w)<ke;3f2>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;2b?6=<3:1<v*=dd82a3=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:64<72=0;6=u+2eg95`0<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=74=83>1<7>t$3ff>4c13A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<44290?6=4?{%0ga?7b>2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?5<3:187>50z&1``<6m?1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>:<0;694?:1y'6ac=9l<0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1;<1<7:50;2x 7bb28o=7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0:i6=4;:183\7f!4cm3;n:6F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3;o7>54;294~"5ll0:i;5G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2<i4?:583>5}#:mo1=h84H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5=k50;694?6|,;nn6<k9;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4>i:187>5<7s-8oi7?j6:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7>0;290?6=8r.9hh4>e79K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl61083>1<729q/>ik51d48L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm90094?2=83:p(?jj:0g5?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb830>5<3290;w)<ke;3f2>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;21?6=<3:1<v*=dd82a3=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:52<72=0;6=u+2eg95`0<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=4>=83>1<7>t$3ff>4c13A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<7e290?6=4?{%0ga?7b>2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?6k3:187>50z&1``<6m?1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>9m0;694?:1y'6ac=9l<0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e18o1<7:50;2x 7bb28o=7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj08;6=4;:183\7f!4cm3;n:6F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk2n?7>54;294~"5ll0:;k5G31;8L7c53-;2?7?4i7;94?=n?<0;66g=5g83>>i5jj0;66sm5e;94?4=83:p(?jj:0f4?M5712B9i?5f19494?=h:<21<75rb4;6>5<5290;w)<ke;3g3>N4801C>h<4i0:5>5<<g;?36=44}c6af?6=:3:1<v*=dd82`2=O;930D?k=;h3;2?6=3f8>47>5;|`614<72;0;6=u+2eg95a1<@::27E<j2:k2<3<722e9954?::\7fa021=8381<7>t$3ff>4b03A9;56F=e39j5=0=831d>8650;9~f06c29096=4?{%0ga?7c?2B8<45G2d08m4>12900c?;7:188yg24;3:1>7>50z&1``<6l>1C?=74H3g1?l7?>3:17b<:8;29?xd?8:0;6?4?:1y'6ac=9m=0D>>6;I0f6>o60?0;66a=5983>>{e?j<1<7<50;2x 7bb28n<7E=?9:J1a7=n91<1<75`24:94?=zjk<;6=4l2;294~"5ll09ni5G31;8L7c53S<36nu=6;04>7?=:h08>7=<:26970<5l38n6>85368~j440291e=9750:&1`2<6?k1/>io52:&2=1<43-;297=4$0;5>6=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`3<5=>1/=i:52:&2`0<53-;3n7=4$0:`>6=#91n1?6*>8d80?!7?n390(<7?:29'5a>=9>h0(<j6:05a?!4em38>;6*=bg8112=i:m;1=6`=d382?!4c038>;6*>9080?!7>:390(?h>:3d3?!4a:38m<6`=f282?k4a<3;0(?jm:39j2d<722c=n7>5;h53>5<<a>;1<75f16594?=n:m91<75f2e694?=n9>21<75f2ea94?=n:mn1<75`6b83>>i1l3:17d:n:18'60c=<01e>8j50:9j0=<72-8>i7:6;o06`?7<3`><6=4+24g90<=i:<n1>65f4783>!42m3>27c<:d;18?l22290/>8k5489m60b=<21b9>4?:%06a?2>3g8>h7;4;h71>5<#:<o1845a24f92>=n=80;6)<:e;6:?k42l3=07d;?:18'60c=<01e>8j58:9j0c<72-8>i7:6;o06`??<3`>n6=4+24g90<=i:<n1m65f4e83>!42m3>27c<:d;`8?l2d290/>8k5489m60b=k21b8o4?:%06a?2>3g8>h7j4;h67>5<#:<o1845a24f9a>=n=h0;6)<:e;7:?k42l3:07d;7:18'60c==01e>8j51:9j12<72-8>i7;6;o06`?4<3`?=6=4+24g91<=i:<n1?65f5483>!42m3?27c<:d;68?l04290/>8k5589m60b==21b:?4?:%06a?3>3g8>h784;h42>5<#:<o1945a24f93>=n>90;6)<:e;7:?k42l3207d;i:18'60c==01e>8j59:9j1`<72-8>i7;6;o06`?g<3`?o6=4+24g91<=i:<n1n65f5b83>!42m3?27c<:d;a8?l3e290/>8k5589m60b=l21b994?:%06a?3>3g8>h7k4;h`1>5<#:<o1n<5a24f94>=nj90;6)<:e;`2?k42l3;07doj:18'60c=j81e>8j52:9jea<72-8>i7l>;o06`?5<3`kh6=4+24g9f4=i:<n1865fac83>!42m3h:7c<:d;78?lgf290/>8k5b09m60b=>21bm44?:%06a?d63g8>h794;hc;>5<#:<o1n<5a24f9<>=ni>0;6)<:e;`2?k42l3307do9:18'60c=j81e>8j5a:9je0<72-8>i7l>;o06`?d<3`k86=4+24g9f4=i:<n1o65fa383>!42m3h:7c<:d;f8?lg6290/>8k5b09m60b=m21bm=4?:%06a?d63g8>h7h4;h;e>5<#:<o1n<5a24f955=<a0o1<7*=5d8a5>h5=m0:=65f9e83>!42m3h:7c<:d;31?>o>k3:1(?;j:c38j73c28907d7m:18'60c=j81e>8j51598m<g=83.99h4m1:l11a<6=21bn44?:%06a?d63g8>h7?9;:ka<?6=,;?n6o?4n37g>41<3`h<6=4+24g9f4=i:<n1=554ic494?"5=l0i=6`=5e82=>=nj<0;6)<:e;`2?k42l3;j76gm4;29 73b2k;0b?;k:0`8?ld4290/>8k5b09m60b=9j10elh50;&11`<e92d99i4>d:9je1<72-8>i7l>;o06`?7b32c257>5$37f>g7<f;?o6<h4;hf0>5<#:<o1h?5a24f94>=nl80;6)<:e;f1?k42l3;07dmi:18'60c=l;1e>8j52:9jg`<72-8>i7j=;o06`?5<3`io6=4+24g9`7=i:<n1865fcb83>!42m3n97c<:d;78?lee290/>8k5d39m60b=>21bol4?:%06a?b53g8>h794;ha:>5<#:<o1h?5a24f9<>=nk10;6)<:e;f1?k42l3307dm8:18'60c=l;1e>8j5a:9jg3<72-8>i7j=;o06`?d<3`i?6=4+24g9`7=i:<n1o65fc283>!42m3n97c<:d;f8?le5290/>8k5d39m60b=m21bo<4?:%06a?b53g8>h7h4;ha3>5<#:<o1h?5a24f955=<akl1<7*=5d8g6>h5=m0:=65fbd83>!42m3n97c<:d;31?>oel3:1(?;j:e08j73c28907dll:18'60c=l;1e>8j51598mgd=83.99h4k2:l11a<6=21bhl4?:%06a?b53g8>h7?9;:kg=?6=,;?n6i<4n37g>41<3`n36=4+24g9`7=i:<n1=554ie594?"5=l0o>6`=5e82=>=nl?0;6)<:e;f1?k42l3;j76gk5;29 73b2m80b?;k:0`8?lb3290/>8k5d39m60b=9j10ei>50;&11`<c:2d99i4>d:9jg0<72-8>i7j=;o06`?7b32cim7>5$37f>a4<f;?o6<h4;h334?6=,;?n6kh4n37g>5=<aoo1<7*=5d8eb>h5=m0:76gid;29 73b2ol0b?;k:398mce=83.99h4if:l11a<432c:<84?:%06a?77<2d99i4?;:k246<72-8>i7??4:l11a<632c:<?4?:%06a?77<2d99i4=;:k244<72-8>i7??4:l11a<432c9il4?:%06a?4b12d99i4?;:k1a=<72-8>i7<j9:l11a<632c9i:4?:%06a?4b12d99i4=;:k1a3<72-8>i7<j9:l11a<432c9ik4?:%06a?4bm2d99i4?;:k1aa<72-8>i7<je:l11a<632c9in4?:%06a?4bm2d99i4=;:k1ag<72-8>i7<je:l11a<432e:=k4?:%06a?76m2d99i4?;:m25a<72-8>i7?>e:l11a<632e:=o4?:%06a?76m2d99i4=;:m25d<72-8>i7?>e:l11a<432e:=44?:%06a?76m2d99i4;;:m25=<72-8>i7?>e:l11a<232e:=:4?:%06a?76m2d99i49;:m253<72-8>i7?>e:l11a<032e:=84?:%06a?76m2d99i47;:m251<72-8>i7?>e:l11a<>32e:=>4?:%06a?76m2d99i4n;:m257<72-8>i7?>e:l11a<e32e:==4?:%06a?76m2d99i4l;:m24c<72-8>i7?>e:l11a<c32e:<h4?:%06a?76m2d99i4j;:m24a<72-8>i7?>e:l11a<a32e:<n4?:%06a?76m2d99i4>0:9l55d=83.99h4>1d9m60b=9810c<>n:18'60c=98o0b?;k:008?j7713:1(?;j:03f?k42l3;876a>0983>!42m3;:i6`=5e820>=h99=1<7*=5d825`=i:<n1=854o005>5<#:<o1=<k4n37g>40<3f;997>5$37f>47b3g8>h7?8;:m261<72-8>i7?>e:l11a<6021d=?=50;&11`<69l1e>8j51898k445290/>8k510g8j73c28k07b?=1;29 73b28;n7c<:d;3a?>i6:90;6)<:e;32a>h5=m0:o65`10a94?"5=l0:=h5a24f95a=<g8;:6=4+24g954c<f;?o6<k4;n332?6=,;?n6<?j;o06`?7a32e:8<4?:%06a?7382d99i4?;:m27c<72-8>i7?;0:l11a<632e:?i4?:%06a?7382d99i4=;:m27f<72-8>i7?;0:l11a<432e:?o4?:%06a?7382d99i4;;:m27d<72-8>i7?;0:l11a<232e:?44?:%06a?7382d99i49;:m27=<72-8>i7?;0:l11a<032e:?:4?:%06a?7382d99i47;:m273<72-8>i7?;0:l11a<>32e:?84?:%06a?7382d99i4n;:m271<72-8>i7?;0:l11a<e32e:??4?:%06a?7382d99i4l;:m274<72-8>i7?;0:l11a<c32e:?=4?:%06a?7382d99i4j;:m26c<72-8>i7?;0:l11a<a32e:>h4?:%06a?7382d99i4>0:9l57b=83.99h4>419m60b=9810c<<l:18'60c=9=:0b?;k:008?j75j3:1(?;j:063?k42l3;876a>2`83>!42m3;?<6`=5e820>=h9;31<7*=5d8205=i:<n1=854o06;>5<#:<o1=9>4n37g>40<3f;?;7>5$37f>4273g8>h7?8;:m203<72-8>i7?;0:l11a<6021d=9;50;&11`<6<91e>8j51898k423290/>8k51528j73c28k07b?;3;29 73b28>;7c<:d;3a?>i6<;0;6)<:e;374>h5=m0:o65`12g94?"5=l0:8=5a24f95a=<g8986=4+24g9516<f;?o6<k4;n31<?6=,;?n6<:?;o06`?7a32e:8h4?:%06a?73l2d99i4?;:m20f<72-8>i7?;d:l11a<632e:8o4?:%06a?73l2d99i4=;:m20d<72-8>i7?;d:l11a<432e:9>4?:%06a?72:2d99i4?;:m214<72-8>i7?:2:l11a<632e:9=4?:%06a?72:2d99i4=;:m20c<72-8>i7?:2:l11a<432win;l50;a1>5<7s-8oi7<md:J04<=O:l80V;65cz05>71=:009m7==:21971<4=38o6?k537803?{i9;=1<6`>4883?!4c?3;<n6*=d`81?!7><390(<7::29'5<0=;2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c>38>;6*>d581?!7c=380(<6m:29'5=e=;2.:4i4<;%3;a?5<,82m6>5+18297>"6l10:;o5+1e;952d<,;hn6?;8;%0ab?42?2d9h<4>;o0g6?7<,;n36?;8;%3:5?5<,8396>5+2g396c6<,;l96?h?;o0e7?7<f;l?6<5+2e`96>o1i3:17d8m:188m26=831b;<4?::k232<722c9h>4?::k1`1<722c:;54?::k1`f<722c9hi4?::m5g?6=3f<o6=44i5c94?"5=l0?56`=5e83?>o303:1(?;j:5;8j73c2810e9950;&11`<312d99i4=;:k72?6=,;?n6974n37g>6=<a=?1<7*=5d87=>h5=m0?76g:3;29 73b2=30b?;k:498m04=83.99h4;9:l11a<132c>=7>5$37f>1?<f;?o6:54i4294?"5=l0?56`=5e8;?>o3n3:1(?;j:5;8j73c2010e9k50;&11`<312d99i4n;:k7`?6=,;?n6974n37g>g=<a=i1<7*=5d87=>h5=m0h76g;b;29 73b2=30b?;k:e98m12=83.99h4;9:l11a<b32c>m7>5$37f>0?<f;?o6=54i4:94?"5=l0>56`=5e82?>o2?3:1(?;j:4;8j73c2;10e8850;&11`<212d99i4<;:k61?6=,;?n6874n37g>1=<a?91<7*=5d86=>h5=m0>76g92;29 73b2<30b?;k:798m37=83.99h4:9:l11a<032c=<7>5$37f>0?<f;?o6554i4d94?"5=l0>56`=5e8:?>o2m3:1(?;j:4;8j73c2h10e8j50;&11`<212d99i4m;:k6g?6=,;?n6874n37g>f=<a<h1<7*=5d86=>h5=m0o76g:4;29 73b2<30b?;k:d98mg4=83.99h4m1:l11a<732ci<7>5$37f>g7<f;?o6<54i`g94?"5=l0i=6`=5e81?>ofl3:1(?;j:c38j73c2:10elm50;&11`<e92d99i4;;:kbf?6=,;?n6o?4n37g>0=<ahk1<7*=5d8a5>h5=m0=76gn9;29 73b2k;0b?;k:698md>=83.99h4m1:l11a<?32cj;7>5$37f>g7<f;?o6454i`494?"5=l0i=6`=5e8b?>of=3:1(?;j:c38j73c2k10el=50;&11`<e92d99i4l;:kb6?6=,;?n6o?4n37g>a=<ah;1<7*=5d8a5>h5=m0n76gn0;29 73b2k;0b?;k:g98m<`=83.99h4m1:l11a<6821b5h4?:%06a?d63g8>h7?>;:k:`?6=,;?n6o?4n37g>44<3`3h6=4+24g9f4=i:<n1=>54i8`94?"5=l0i=6`=5e820>=n1h0;6)<:e;`2?k42l3;>76gm9;29 73b2k;0b?;k:048?ld?290/>8k5b09m60b=9>10eo950;&11`<e92d99i4>8:9jf3<72-8>i7l>;o06`?7>32ci97>5$37f>g7<f;?o6<o4;h`7>5<#:<o1n<5a24f95g=<ak91<7*=5d8a5>h5=m0:o65fag83>!42m3h:7c<:d;3g?>of<3:1(?;j:c38j73c28o07d76:18'60c=j81e>8j51g98ma5=83.99h4k2:l11a<732co=7>5$37f>a4<f;?o6<54ibd94?"5=l0o>6`=5e81?>odm3:1(?;j:e08j73c2:10enj50;&11`<c:2d99i4;;:k`g?6=,;?n6i<4n37g>0=<ajh1<7*=5d8g6>h5=m0=76gla;29 73b2m80b?;k:698mf?=83.99h4k2:l11a<?32ch47>5$37f>a4<f;?o6454ib594?"5=l0o>6`=5e8b?>od>3:1(?;j:e08j73c2k10en:50;&11`<c:2d99i4l;:k`7?6=,;?n6i<4n37g>a=<aj81<7*=5d8g6>h5=m0n76gl1;29 73b2m80b?;k:g98mf6=83.99h4k2:l11a<6821bnk4?:%06a?b53g8>h7?>;:kaa?6=,;?n6i<4n37g>44<3`ho6=4+24g9`7=i:<n1=>54ica94?"5=l0o>6`=5e820>=njk0;6)<:e;f1?k42l3;>76gka;29 73b2m80b?;k:048?lb>290/>8k5d39m60b=9>10ei650;&11`<c:2d99i4>8:9j`2<72-8>i7j=;o06`?7>32co:7>5$37f>a4<f;?o6<o4;hf6>5<#:<o1h?5a24f95g=<am>1<7*=5d8g6>h5=m0:o65fd183>!42m3n97c<:d;3g?>od=3:1(?;j:e08j73c28o07dln:18'60c=l;1e>8j51g98m467290/>8k5fg9m60b=821bjh4?:%06a?`a3g8>h7?4;hdg>5<#:<o1jk5a24f96>=nnj0;6)<:e;de?k42l3907d??5;29 73b28:?7c<:d;28?l77;3:1(?;j:027?k42l3;07d??2;29 73b28:?7c<:d;08?l7793:1(?;j:027?k42l3907d<ja;29 73b2;o27c<:d;28?l4b03:1(?;j:3g:?k42l3;07d<j7;29 73b2;o27c<:d;08?l4b>3:1(?;j:3g:?k42l3907d<jf;29 73b2;on7c<:d;28?l4bl3:1(?;j:3gf?k42l3;07d<jc;29 73b2;on7c<:d;08?l4bj3:1(?;j:3gf?k42l3907b?>f;29 73b28;n7c<:d;28?j76l3:1(?;j:03f?k42l3;07b?>b;29 73b28;n7c<:d;08?j76i3:1(?;j:03f?k42l3907b?>9;29 73b28;n7c<:d;68?j7603:1(?;j:03f?k42l3?07b?>7;29 73b28;n7c<:d;48?j76>3:1(?;j:03f?k42l3=07b?>5;29 73b28;n7c<:d;:8?j76<3:1(?;j:03f?k42l3307b?>3;29 73b28;n7c<:d;c8?j76:3:1(?;j:03f?k42l3h07b?>0;29 73b28;n7c<:d;a8?j77n3:1(?;j:03f?k42l3n07b??e;29 73b28;n7c<:d;g8?j77l3:1(?;j:03f?k42l3l07b??c;29 73b28;n7c<:d;33?>i68k0;6)<:e;32a>h5=m0:=65`11c94?"5=l0:=h5a24f957=<g8:26=4+24g954c<f;?o6<=4;n33<?6=,;?n6<?j;o06`?7332e:<:4?:%06a?76m2d99i4>5:9l570=83.99h4>1d9m60b=9?10c<<::18'60c=98o0b?;k:058?j75<3:1(?;j:03f?k42l3;376a>2283>!42m3;:i6`=5e82=>=h9;81<7*=5d825`=i:<n1=l54o002>5<#:<o1=<k4n37g>4d<3f;9<7>5$37f>47b3g8>h7?l;:m25f<72-8>i7?>e:l11a<6l21d=<?50;&11`<69l1e>8j51d98k461290/>8k510g8j73c28l07b?;1;29 73b28>;7c<:d;28?j74n3:1(?;j:063?k42l3;07b?<d;29 73b28>;7c<:d;08?j74k3:1(?;j:063?k42l3907b?<b;29 73b28>;7c<:d;68?j74i3:1(?;j:063?k42l3?07b?<9;29 73b28>;7c<:d;48?j7403:1(?;j:063?k42l3=07b?<7;29 73b28>;7c<:d;:8?j74>3:1(?;j:063?k42l3307b?<5;29 73b28>;7c<:d;c8?j74<3:1(?;j:063?k42l3h07b?<2;29 73b28>;7c<:d;a8?j7493:1(?;j:063?k42l3n07b?<0;29 73b28>;7c<:d;g8?j75n3:1(?;j:063?k42l3l07b?=e;29 73b28>;7c<:d;33?>i6:m0;6)<:e;374>h5=m0:=65`13a94?"5=l0:8=5a24f957=<g88i6=4+24g9516<f;?o6<=4;n31e?6=,;?n6<:?;o06`?7332e:>44?:%06a?7382d99i4>5:9l51>=83.99h4>419m60b=9?10c<:8:18'60c=9=:0b?;k:058?j73>3:1(?;j:063?k42l3;376a>4483>!42m3;?<6`=5e82=>=h9=>1<7*=5d8205=i:<n1=l54o060>5<#:<o1=9>4n37g>4d<3f;?>7>5$37f>4273g8>h7?l;:m27`<72-8>i7?;0:l11a<6l21d=>=50;&11`<6<91e>8j51d98k44?290/>8k51528j73c28l07b?;e;29 73b28>o7c<:d;28?j73k3:1(?;j:06g?k42l3;07b?;b;29 73b28>o7c<:d;08?j73i3:1(?;j:06g?k42l3907b?:3;29 73b28?97c<:d;28?j7293:1(?;j:071?k42l3;07b?:0;29 73b28?97c<:d;08?j73n3:1(?;j:071?k42l3907plm7483>f4=83:p(?jj:3`g?M5712B9i?5U698`\7f70=:>0957<n:20976<4<39>6?j52d802?502td:>:4?;o37=?6<,;n<6<9m;%0ge?4<,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n=6?;8;%3g0?4<,8n>6?5+19`97>"60j087)?7d;18 4>b2:1/=5h53:&2=5<43-;o47?8b:&2`<<6?k1/>ok52458 7da2;?<7c<k1;38j7b5281/>i652458 4?62:1/=4<53:&1b4<5n91/>k<52g28j7`4281e>k:51:&1`g<53`<j6=44i7`94?=n?90;66g81;29?l70?3:17d<k3;29?l4c<3:17d?88;29?l4ck3:17d<kd;29?j0d2900c;j50;9j0d<72-8>i7:6;o06`?6<3`>36=4+24g90<=i:<n1=65f4683>!42m3>27c<:d;08?l21290/>8k5489m60b=;21b884?:%06a?2>3g8>h7:4;h70>5<#:<o1845a24f91>=n=;0;6)<:e;6:?k42l3<07d;>:18'60c=<01e>8j57:9j15<72-8>i7:6;o06`?><3`>m6=4+24g90<=i:<n1565f4d83>!42m3>27c<:d;c8?l2c290/>8k5489m60b=j21b8n4?:%06a?2>3g8>h7m4;h6a>5<#:<o1845a24f9`>=n<=0;6)<:e;6:?k42l3o07d;n:18'60c==01e>8j50:9j1=<72-8>i7;6;o06`?7<3`?<6=4+24g91<=i:<n1>65f5783>!42m3?27c<:d;18?l32290/>8k5589m60b=<21b:>4?:%06a?3>3g8>h7;4;h41>5<#:<o1945a24f92>=n>80;6)<:e;7:?k42l3=07d8?:18'60c==01e>8j58:9j1c<72-8>i7;6;o06`??<3`?n6=4+24g91<=i:<n1m65f5e83>!42m3?27c<:d;`8?l3d290/>8k5589m60b=k21b9o4?:%06a?3>3g8>h7j4;h77>5<#:<o1945a24f9a>=nj;0;6)<:e;`2?k42l3:07dl?:18'60c=j81e>8j51:9je`<72-8>i7l>;o06`?4<3`ko6=4+24g9f4=i:<n1?65fab83>!42m3h:7c<:d;68?lge290/>8k5b09m60b==21bml4?:%06a?d63g8>h784;hc:>5<#:<o1n<5a24f93>=ni10;6)<:e;`2?k42l3207do8:18'60c=j81e>8j59:9je3<72-8>i7l>;o06`?g<3`k>6=4+24g9f4=i:<n1n65fa283>!42m3h:7c<:d;a8?lg5290/>8k5b09m60b=l21bm<4?:%06a?d63g8>h7k4;hc3>5<#:<o1n<5a24f9b>=n1o0;6)<:e;`2?k42l3;;76g6e;29 73b2k;0b?;k:038?l?c290/>8k5b09m60b=9;10e4m50;&11`<e92d99i4>3:9j=g<72-8>i7l>;o06`?7332c2m7>5$37f>g7<f;?o6<;4;h`:>5<#:<o1n<5a24f953=<ak21<7*=5d8a5>h5=m0:;65fb683>!42m3h:7c<:d;3;?>oe>3:1(?;j:c38j73c28307dl::18'60c=j81e>8j51`98mg2=83.99h4m1:l11a<6j21bn>4?:%06a?d63g8>h7?l;:kbb?6=,;?n6o?4n37g>4b<3`k?6=4+24g9f4=i:<n1=h54i8;94?"5=l0i=6`=5e82b>=nl:0;6)<:e;f1?k42l3:07dj>:18'60c=l;1e>8j51:9jgc<72-8>i7j=;o06`?4<3`in6=4+24g9`7=i:<n1?65fce83>!42m3n97c<:d;68?led290/>8k5d39m60b==21boo4?:%06a?b53g8>h784;hab>5<#:<o1h?5a24f93>=nk00;6)<:e;f1?k42l3207dm7:18'60c=l;1e>8j59:9jg2<72-8>i7j=;o06`?g<3`i=6=4+24g9`7=i:<n1n65fc583>!42m3n97c<:d;a8?le4290/>8k5d39m60b=l21bo?4?:%06a?b53g8>h7k4;ha2>5<#:<o1h?5a24f9b>=nk90;6)<:e;f1?k42l3;;76gmf;29 73b2m80b?;k:038?ldb290/>8k5d39m60b=9;10eoj50;&11`<c:2d99i4>3:9jff<72-8>i7j=;o06`?7332cin7>5$37f>a4<f;?o6<;4;hfb>5<#:<o1h?5a24f953=<am31<7*=5d8g6>h5=m0:;65fd983>!42m3n97c<:d;3;?>oc?3:1(?;j:e08j73c28307dj9:18'60c=l;1e>8j51`98ma3=83.99h4k2:l11a<6j21bh94?:%06a?b53g8>h7?l;:kg4?6=,;?n6i<4n37g>4b<3`i>6=4+24g9`7=i:<n1=h54icc94?"5=l0o>6`=5e82b>=n99:1<7*=5d8eb>h5=m0;76gie;29 73b2ol0b?;k:098mcb=83.99h4if:l11a<532cmo7>5$37f>c`<f;?o6>54i026>5<#:<o1==:4n37g>5=<a8:86=4+24g9552<f;?o6<54i021>5<#:<o1==:4n37g>7=<a8::6=4+24g9552<f;?o6>54i3gb>5<#:<o1>h74n37g>5=<a;o36=4+24g96`?<f;?o6<54i3g4>5<#:<o1>h74n37g>7=<a;o=6=4+24g96`?<f;?o6>54i3ge>5<#:<o1>hk4n37g>5=<a;oo6=4+24g96`c<f;?o6<54i3g`>5<#:<o1>hk4n37g>7=<a;oi6=4+24g96`c<f;?o6>54o03e>5<#:<o1=<k4n37g>5=<g8;o6=4+24g954c<f;?o6<54o03a>5<#:<o1=<k4n37g>7=<g8;j6=4+24g954c<f;?o6>54o03:>5<#:<o1=<k4n37g>1=<g8;36=4+24g954c<f;?o6854o034>5<#:<o1=<k4n37g>3=<g8;=6=4+24g954c<f;?o6:54o036>5<#:<o1=<k4n37g>==<g8;?6=4+24g954c<f;?o6454o030>5<#:<o1=<k4n37g>d=<g8;96=4+24g954c<f;?o6o54o033>5<#:<o1=<k4n37g>f=<g8:m6=4+24g954c<f;?o6i54o02f>5<#:<o1=<k4n37g>`=<g8:o6=4+24g954c<f;?o6k54o02`>5<#:<o1=<k4n37g>46<3f;;n7>5$37f>47b3g8>h7?>;:m24d<72-8>i7?>e:l11a<6:21d==750;&11`<69l1e>8j51298k46?290/>8k510g8j73c28>07b??7;29 73b28;n7c<:d;36?>i6:?0;6)<:e;32a>h5=m0::65`13794?"5=l0:=h5a24f952=<g88?6=4+24g954c<f;?o6<64;n317?6=,;?n6<?j;o06`?7>32e:>?4?:%06a?76m2d99i4>a:9l577=83.99h4>1d9m60b=9k10c<<?:18'60c=98o0b?;k:0a8?j76k3:1(?;j:03f?k42l3;o76a>1083>!42m3;:i6`=5e82a>=h99<1<7*=5d825`=i:<n1=k54o062>5<#:<o1=9>4n37g>5=<g89m6=4+24g9516<f;?o6<54o01g>5<#:<o1=9>4n37g>7=<g89h6=4+24g9516<f;?o6>54o01a>5<#:<o1=9>4n37g>1=<g89j6=4+24g9516<f;?o6854o01:>5<#:<o1=9>4n37g>3=<g8936=4+24g9516<f;?o6:54o014>5<#:<o1=9>4n37g>==<g89=6=4+24g9516<f;?o6454o016>5<#:<o1=9>4n37g>d=<g89?6=4+24g9516<f;?o6o54o011>5<#:<o1=9>4n37g>f=<g89:6=4+24g9516<f;?o6i54o013>5<#:<o1=9>4n37g>`=<g88m6=4+24g9516<f;?o6k54o00f>5<#:<o1=9>4n37g>46<3f;9h7>5$37f>4273g8>h7?>;:m26f<72-8>i7?;0:l11a<6:21d=?l50;&11`<6<91e>8j51298k44f290/>8k51528j73c28>07b?=9;29 73b28>;7c<:d;36?>i6<10;6)<:e;374>h5=m0::65`15594?"5=l0:8=5a24f952=<g8>=6=4+24g9516<f;?o6<64;n371?6=,;?n6<:?;o06`?7>32e:894?:%06a?7382d99i4>a:9l515=83.99h4>419m60b=9k10c<:=:18'60c=9=:0b?;k:0a8?j74m3:1(?;j:063?k42l3;o76a>3283>!42m3;?<6`=5e82a>=h9;21<7*=5d8205=i:<n1=k54o06f>5<#:<o1=9j4n37g>5=<g8>h6=4+24g951b<f;?o6<54o06a>5<#:<o1=9j4n37g>7=<g8>j6=4+24g951b<f;?o6>54o070>5<#:<o1=8<4n37g>5=<g8?:6=4+24g9504<f;?o6<54o073>5<#:<o1=8<4n37g>7=<g8>m6=4+24g9504<f;?o6>54}c`42?6=k;0;6=u+2eg96gb<@::27E<j2:X5<?e|:?09;7<6:3c977<4;39?6>;52e81a?512:=1qc?=7;28j42>291/>i9516`8 7bf2;1/=4:53:&2=0<43-;2:7=4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i852458 4b32;1/=i;52:&2<g<43-;3o7=4$0:g>6=#91o1?6*>8g80?!7>8390(<j7:05a?!7c13;<n6*=bd8112=#:kl1>894n3f2>4=i:m81=6*=d98112=#90;1?6*>9380?!4a938m<6*=f381b5=i:o91=6`=f582?!4cj380e;o50;9j2g<722c<<7>5;h52>5<<a8=<6=44i3f0>5<<a;n?6=44i05;>5<<a;nh6=44i3fg>5<<g?i1<75`6e83>>o3i3:1(?;j:5;8j73c2910e9650;&11`<312d99i4>;:k73?6=,;?n6974n37g>7=<a=<1<7*=5d87=>h5=m0876g;5;29 73b2=30b?;k:598m05=83.99h4;9:l11a<232c>>7>5$37f>1?<f;?o6;54i4394?"5=l0?56`=5e84?>o283:1(?;j:5;8j73c2110e9h50;&11`<312d99i46;:k7a?6=,;?n6974n37g>d=<a=n1<7*=5d87=>h5=m0i76g;c;29 73b2=30b?;k:b98m1d=83.99h4;9:l11a<c32c?87>5$37f>1?<f;?o6h54i4c94?"5=l0>56`=5e83?>o203:1(?;j:4;8j73c2810e8950;&11`<212d99i4=;:k62?6=,;?n6874n37g>6=<a<?1<7*=5d86=>h5=m0?76g93;29 73b2<30b?;k:498m34=83.99h4:9:l11a<132c==7>5$37f>0?<f;?o6:54i7294?"5=l0>56`=5e8;?>o2n3:1(?;j:4;8j73c2010e8k50;&11`<212d99i4n;:k6`?6=,;?n6874n37g>g=<a<i1<7*=5d86=>h5=m0h76g:b;29 73b2<30b?;k:e98m02=83.99h4:9:l11a<b32ci>7>5$37f>g7<f;?o6=54ic294?"5=l0i=6`=5e82?>ofm3:1(?;j:c38j73c2;10elj50;&11`<e92d99i4<;:kbg?6=,;?n6o?4n37g>1=<ahh1<7*=5d8a5>h5=m0>76gna;29 73b2k;0b?;k:798md?=83.99h4m1:l11a<032cj47>5$37f>g7<f;?o6554i`594?"5=l0i=6`=5e8:?>of>3:1(?;j:c38j73c2h10el;50;&11`<e92d99i4m;:kb7?6=,;?n6o?4n37g>f=<ah81<7*=5d8a5>h5=m0o76gn1;29 73b2k;0b?;k:d98md6=83.99h4m1:l11a<a32c2j7>5$37f>g7<f;?o6<>4;h;f>5<#:<o1n<5a24f954=<a0n1<7*=5d8a5>h5=m0:>65f9b83>!42m3h:7c<:d;30?>o>j3:1(?;j:c38j73c28>07d7n:18'60c=j81e>8j51498mg?=83.99h4m1:l11a<6>21bn54?:%06a?d63g8>h7?8;:ka3?6=,;?n6o?4n37g>4><3`h=6=4+24g9f4=i:<n1=454ic794?"5=l0i=6`=5e82e>=nj=0;6)<:e;`2?k42l3;i76gm3;29 73b2k;0b?;k:0a8?lga290/>8k5b09m60b=9m10el:50;&11`<e92d99i4>e:9j=<<72-8>i7l>;o06`?7a32co?7>5$37f>a4<f;?o6=54ie394?"5=l0o>6`=5e82?>odn3:1(?;j:e08j73c2;10enk50;&11`<c:2d99i4<;:k``?6=,;?n6i<4n37g>1=<aji1<7*=5d8g6>h5=m0>76glb;29 73b2m80b?;k:798mfg=83.99h4k2:l11a<032ch57>5$37f>a4<f;?o6554ib:94?"5=l0o>6`=5e8:?>od?3:1(?;j:e08j73c2h10en850;&11`<c:2d99i4m;:k`0?6=,;?n6i<4n37g>f=<aj91<7*=5d8g6>h5=m0o76gl2;29 73b2m80b?;k:d98mf7=83.99h4k2:l11a<a32ch<7>5$37f>a4<f;?o6<>4;h`e>5<#:<o1h?5a24f954=<ako1<7*=5d8g6>h5=m0:>65fbe83>!42m3n97c<:d;30?>oek3:1(?;j:e08j73c28>07dlm:18'60c=l;1e>8j51498mag=83.99h4k2:l11a<6>21bh44?:%06a?b53g8>h7?8;:kg<?6=,;?n6i<4n37g>4><3`n<6=4+24g9`7=i:<n1=454ie494?"5=l0o>6`=5e82e>=nl<0;6)<:e;f1?k42l3;i76gk4;29 73b2m80b?;k:0a8?lb7290/>8k5d39m60b=9m10en;50;&11`<c:2d99i4>e:9jfd<72-8>i7j=;o06`?7a32c:<=4?:%06a?`a3g8>h7>4;hdf>5<#:<o1jk5a24f95>=nnm0;6)<:e;de?k42l3807dhl:18'60c=no1e>8j53:9j553=83.99h4>059m60b=821b===50;&11`<68=1e>8j51:9j554=83.99h4>059m60b=:21b==?50;&11`<68=1e>8j53:9j6`g=83.99h4=e89m60b=821b>h650;&11`<5m01e>8j51:9j6`1=83.99h4=e89m60b=:21b>h850;&11`<5m01e>8j53:9j6``=83.99h4=ed9m60b=821b>hj50;&11`<5ml1e>8j51:9j6`e=83.99h4=ed9m60b=:21b>hl50;&11`<5ml1e>8j53:9l54`=83.99h4>1d9m60b=821d=<j50;&11`<69l1e>8j51:9l54d=83.99h4>1d9m60b=:21d=<o50;&11`<69l1e>8j53:9l54?=83.99h4>1d9m60b=<21d=<650;&11`<69l1e>8j55:9l541=83.99h4>1d9m60b=>21d=<850;&11`<69l1e>8j57:9l543=83.99h4>1d9m60b=021d=<:50;&11`<69l1e>8j59:9l545=83.99h4>1d9m60b=i21d=<<50;&11`<69l1e>8j5b:9l546=83.99h4>1d9m60b=k21d==h50;&11`<69l1e>8j5d:9l55c=83.99h4>1d9m60b=m21d==j50;&11`<69l1e>8j5f:9l55e=83.99h4>1d9m60b=9910c<>m:18'60c=98o0b?;k:038?j77i3:1(?;j:03f?k42l3;976a>0883>!42m3;:i6`=5e827>=h9921<7*=5d825`=i:<n1=954o024>5<#:<o1=<k4n37g>43<3f;9:7>5$37f>47b3g8>h7?9;:m260<72-8>i7?>e:l11a<6?21d=?:50;&11`<69l1e>8j51998k444290/>8k510g8j73c28307b?=2;29 73b28;n7c<:d;3b?>i6:80;6)<:e;32a>h5=m0:n65`13294?"5=l0:=h5a24f95f=<g8;h6=4+24g954c<f;?o6<j4;n325?6=,;?n6<?j;o06`?7b32e:<;4?:%06a?76m2d99i4>f:9l517=83.99h4>419m60b=821d=>h50;&11`<6<91e>8j51:9l56b=83.99h4>419m60b=:21d=>m50;&11`<6<91e>8j53:9l56d=83.99h4>419m60b=<21d=>o50;&11`<6<91e>8j55:9l56?=83.99h4>419m60b=>21d=>650;&11`<6<91e>8j57:9l561=83.99h4>419m60b=021d=>850;&11`<6<91e>8j59:9l563=83.99h4>419m60b=i21d=>:50;&11`<6<91e>8j5b:9l564=83.99h4>419m60b=k21d=>?50;&11`<6<91e>8j5d:9l566=83.99h4>419m60b=m21d=?h50;&11`<6<91e>8j5f:9l57c=83.99h4>419m60b=9910c<<k:18'60c=9=:0b?;k:038?j75k3:1(?;j:063?k42l3;976a>2c83>!42m3;?<6`=5e827>=h9;k1<7*=5d8205=i:<n1=954o00:>5<#:<o1=9>4n37g>43<3f;?47>5$37f>4273g8>h7?9;:m202<72-8>i7?;0:l11a<6?21d=9850;&11`<6<91e>8j51998k422290/>8k51528j73c28307b?;4;29 73b28>;7c<:d;3b?>i6<:0;6)<:e;374>h5=m0:n65`15094?"5=l0:8=5a24f95f=<g89n6=4+24g9516<f;?o6<j4;n307?6=,;?n6<:?;o06`?7b32e:>54?:%06a?7382d99i4>f:9l51c=83.99h4>4e9m60b=821d=9m50;&11`<6<m1e>8j51:9l51d=83.99h4>4e9m60b=:21d=9o50;&11`<6<m1e>8j53:9l505=83.99h4>539m60b=821d=8?50;&11`<6=;1e>8j51:9l506=83.99h4>539m60b=:21d=9h50;&11`<6=;1e>8j53:9~fg10290h>7>50z&1``<5jm1C?=74H3g1?_0?2jq9:7<8:3;96d<4:3986>:53481`?4b2:<1?:4rn004>5=i9=31<6*=d6823g=#:mk1>6*>9580?!7>=390(<79:29'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>a580?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d78112=#9m>1>6*>d481?!7?j390(<6l:29'5=b=;2.:4h4<;%3;b?5<,83;6>5+1e:952d<,8n26<9m;%0aa?42?2.9nk4=569m6a7=92d9h?4>;%0g<?42?2.:5<4<;%3:6?5<,;l:6?h?;%0e6?4a82d9j>4>;o0e0?7<,;ni6?5f6`83>>o1j3:17d9?:188m27=831b=:950;9j6a5=831b>i:50;9j52>=831b>im50;9j6ab=831d:n4?::m5`?6=3`>j6=4+24g90<=i:<n1<65f4983>!42m3>27c<:d;38?l20290/>8k5489m60b=:21b8;4?:%06a?2>3g8>h7=4;h66>5<#:<o1845a24f90>=n=:0;6)<:e;6:?k42l3?07d;=:18'60c=<01e>8j56:9j14<72-8>i7:6;o06`?1<3`?;6=4+24g90<=i:<n1465f4g83>!42m3>27c<:d;;8?l2b290/>8k5489m60b=i21b8i4?:%06a?2>3g8>h7l4;h6`>5<#:<o1845a24f9g>=n<k0;6)<:e;6:?k42l3n07d:;:18'60c=<01e>8j5e:9j1d<72-8>i7;6;o06`?6<3`?36=4+24g91<=i:<n1=65f5683>!42m3?27c<:d;08?l31290/>8k5589m60b=;21b984?:%06a?3>3g8>h7:4;h40>5<#:<o1945a24f91>=n>;0;6)<:e;7:?k42l3<07d8>:18'60c==01e>8j57:9j25<72-8>i7;6;o06`?><3`?m6=4+24g91<=i:<n1565f5d83>!42m3?27c<:d;c8?l3c290/>8k5589m60b=j21b9n4?:%06a?3>3g8>h7m4;h7a>5<#:<o1945a24f9`>=n==0;6)<:e;7:?k42l3o07dl=:18'60c=j81e>8j50:9jf5<72-8>i7l>;o06`?7<3`kn6=4+24g9f4=i:<n1>65fae83>!42m3h:7c<:d;18?lgd290/>8k5b09m60b=<21bmo4?:%06a?d63g8>h7;4;hcb>5<#:<o1n<5a24f92>=ni00;6)<:e;`2?k42l3=07do7:18'60c=j81e>8j58:9je2<72-8>i7l>;o06`??<3`k=6=4+24g9f4=i:<n1m65fa483>!42m3h:7c<:d;`8?lg4290/>8k5b09m60b=k21bm?4?:%06a?d63g8>h7j4;hc2>5<#:<o1n<5a24f9a>=ni90;6)<:e;`2?k42l3l07d7i:18'60c=j81e>8j51198m<c=83.99h4m1:l11a<6921b5i4?:%06a?d63g8>h7?=;:k:g?6=,;?n6o?4n37g>45<3`3i6=4+24g9f4=i:<n1=954i8c94?"5=l0i=6`=5e821>=nj00;6)<:e;`2?k42l3;=76gm8;29 73b2k;0b?;k:058?ld0290/>8k5b09m60b=9110eo850;&11`<e92d99i4>9:9jf0<72-8>i7l>;o06`?7f32ci87>5$37f>g7<f;?o6<l4;h`0>5<#:<o1n<5a24f95f=<ahl1<7*=5d8a5>h5=m0:h65fa583>!42m3h:7c<:d;3f?>o>13:1(?;j:c38j73c28l07dj<:18'60c=l;1e>8j50:9j`4<72-8>i7j=;o06`?7<3`im6=4+24g9`7=i:<n1>65fcd83>!42m3n97c<:d;18?lec290/>8k5d39m60b=<21bon4?:%06a?b53g8>h7;4;haa>5<#:<o1h?5a24f92>=nkh0;6)<:e;f1?k42l3=07dm6:18'60c=l;1e>8j58:9jg=<72-8>i7j=;o06`??<3`i<6=4+24g9`7=i:<n1m65fc783>!42m3n97c<:d;`8?le3290/>8k5d39m60b=k21bo>4?:%06a?b53g8>h7j4;ha1>5<#:<o1h?5a24f9a>=nk80;6)<:e;f1?k42l3l07dm?:18'60c=l;1e>8j51198mg`=83.99h4k2:l11a<6921bnh4?:%06a?b53g8>h7?=;:ka`?6=,;?n6i<4n37g>45<3`hh6=4+24g9`7=i:<n1=954ic`94?"5=l0o>6`=5e821>=nlh0;6)<:e;f1?k42l3;=76gk9;29 73b2m80b?;k:058?lb?290/>8k5d39m60b=9110ei950;&11`<c:2d99i4>9:9j`3<72-8>i7j=;o06`?7f32co97>5$37f>a4<f;?o6<l4;hf7>5<#:<o1h?5a24f95f=<am:1<7*=5d8g6>h5=m0:h65fc483>!42m3n97c<:d;3f?>oei3:1(?;j:e08j73c28l07d??0;29 73b2ol0b?;k:198mcc=83.99h4if:l11a<632cmh7>5$37f>c`<f;?o6?54iga94?"5=l0mj6`=5e80?>o68<0;6)<:e;330>h5=m0;76g>0283>!42m3;;86`=5e82?>o68;0;6)<:e;330>h5=m0976g>0083>!42m3;;86`=5e80?>o5mh0;6)<:e;0f=>h5=m0;76g=e983>!42m38n56`=5e82?>o5m>0;6)<:e;0f=>h5=m0976g=e783>!42m38n56`=5e80?>o5mo0;6)<:e;0fa>h5=m0;76g=ee83>!42m38ni6`=5e82?>o5mj0;6)<:e;0fa>h5=m0976g=ec83>!42m38ni6`=5e80?>i69o0;6)<:e;32a>h5=m0;76a>1e83>!42m3;:i6`=5e82?>i69k0;6)<:e;32a>h5=m0976a>1`83>!42m3;:i6`=5e80?>i6900;6)<:e;32a>h5=m0?76a>1983>!42m3;:i6`=5e86?>i69>0;6)<:e;32a>h5=m0=76a>1783>!42m3;:i6`=5e84?>i69<0;6)<:e;32a>h5=m0376a>1583>!42m3;:i6`=5e8:?>i69:0;6)<:e;32a>h5=m0j76a>1383>!42m3;:i6`=5e8a?>i6990;6)<:e;32a>h5=m0h76a>0g83>!42m3;:i6`=5e8g?>i68l0;6)<:e;32a>h5=m0n76a>0e83>!42m3;:i6`=5e8e?>i68j0;6)<:e;32a>h5=m0:<65`11`94?"5=l0:=h5a24f954=<g8:j6=4+24g954c<f;?o6<<4;n33=?6=,;?n6<?j;o06`?7432e:<54?:%06a?76m2d99i4>4:9l551=83.99h4>1d9m60b=9<10c<<9:18'60c=98o0b?;k:048?j75=3:1(?;j:03f?k42l3;<76a>2583>!42m3;:i6`=5e82<>=h9;91<7*=5d825`=i:<n1=454o001>5<#:<o1=<k4n37g>4g<3f;9=7>5$37f>47b3g8>h7?m;:m265<72-8>i7?>e:l11a<6k21d=<m50;&11`<69l1e>8j51e98k476290/>8k510g8j73c28o07b??6;29 73b28;n7c<:d;3e?>i6<80;6)<:e;374>h5=m0;76a>3g83>!42m3;?<6`=5e82?>i6;m0;6)<:e;374>h5=m0976a>3b83>!42m3;?<6`=5e80?>i6;k0;6)<:e;374>h5=m0?76a>3`83>!42m3;?<6`=5e86?>i6;00;6)<:e;374>h5=m0=76a>3983>!42m3;?<6`=5e84?>i6;>0;6)<:e;374>h5=m0376a>3783>!42m3;?<6`=5e8:?>i6;<0;6)<:e;374>h5=m0j76a>3583>!42m3;?<6`=5e8a?>i6;;0;6)<:e;374>h5=m0h76a>3083>!42m3;?<6`=5e8g?>i6;90;6)<:e;374>h5=m0n76a>2g83>!42m3;?<6`=5e8e?>i6:l0;6)<:e;374>h5=m0:<65`13f94?"5=l0:8=5a24f954=<g88h6=4+24g9516<f;?o6<<4;n31f?6=,;?n6<:?;o06`?7432e:>l4?:%06a?7382d99i4>4:9l57?=83.99h4>419m60b=9<10c<:7:18'60c=9=:0b?;k:048?j73?3:1(?;j:063?k42l3;<76a>4783>!42m3;?<6`=5e82<>=h9=?1<7*=5d8205=i:<n1=454o067>5<#:<o1=9>4n37g>4g<3f;??7>5$37f>4273g8>h7?m;:m207<72-8>i7?;0:l11a<6k21d=>k50;&11`<6<91e>8j51e98k454290/>8k51528j73c28o07b?=8;29 73b28>;7c<:d;3e?>i6<l0;6)<:e;37`>h5=m0;76a>4b83>!42m3;?h6`=5e82?>i6<k0;6)<:e;37`>h5=m0976a>4`83>!42m3;?h6`=5e80?>i6=:0;6)<:e;366>h5=m0;76a>5083>!42m3;>>6`=5e82?>i6=90;6)<:e;366>h5=m0976a>4g83>!42m3;>>6`=5e80?>{ej>21<7m=:183\7f!4cm38ih6F<089K6`4<R?21ov<9:3596<<5i3996>=535801?4c2;o1?;4<7;\7f'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d78112=#9m>1>6*>d481?!7?j390(<6l:29'5=b=;2.:4h4<;%3;b?5<,83;6>5+1e:952d<,8n26<9m;%0aa?42?2.9nk4=569m6a7=12d9h?46;%0g<?42?2.:5<4<;%3:6?5<,;l:6?h?;%0e6?4a82d9j>46;o0e0??<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1e=?950:l20<<73-8o;7?8b:&1`d<53-;287=4$0;6>6=#90<1?6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,83o6>5+18g97>"61o087)?n0;18 4g62:1/>il52:k5e?6=3`<i6=44i6294?=n?80;66g>7683>>o5l:0;66g=d583>>o6?10;66g=db83>>o5lm0;66a9c;29?j0c2900e9o50;&11`<312d99i4?;:k7<?6=,;?n6974n37g>4=<a==1<7*=5d87=>h5=m0976g;6;29 73b2=30b?;k:298m13=83.99h4;9:l11a<332c>?7>5$37f>1?<f;?o6854i4094?"5=l0?56`=5e85?>o293:1(?;j:5;8j73c2>10e8>50;&11`<312d99i47;:k7b?6=,;?n6974n37g><=<a=o1<7*=5d87=>h5=m0j76g;d;29 73b2=30b?;k:c98m1e=83.99h4;9:l11a<d32c?n7>5$37f>1?<f;?o6i54i5694?"5=l0?56`=5e8f?>o2i3:1(?;j:4;8j73c2910e8650;&11`<212d99i4>;:k63?6=,;?n6874n37g>7=<a<<1<7*=5d86=>h5=m0876g:5;29 73b2<30b?;k:598m35=83.99h4:9:l11a<232c=>7>5$37f>0?<f;?o6;54i7394?"5=l0>56`=5e84?>o183:1(?;j:4;8j73c2110e8h50;&11`<212d99i46;:k6a?6=,;?n6874n37g>d=<a<n1<7*=5d86=>h5=m0i76g:c;29 73b2<30b?;k:b98m0d=83.99h4:9:l11a<c32c>87>5$37f>0?<f;?o6h54ic094?"5=l0i=6`=5e83?>oe83:1(?;j:c38j73c2810elk50;&11`<e92d99i4=;:kb`?6=,;?n6o?4n37g>6=<ahi1<7*=5d8a5>h5=m0?76gnb;29 73b2k;0b?;k:498mdg=83.99h4m1:l11a<132cj57>5$37f>g7<f;?o6:54i`:94?"5=l0i=6`=5e8;?>of?3:1(?;j:c38j73c2010el850;&11`<e92d99i4n;:kb1?6=,;?n6o?4n37g>g=<ah91<7*=5d8a5>h5=m0h76gn2;29 73b2k;0b?;k:e98md7=83.99h4m1:l11a<b32cj<7>5$37f>g7<f;?o6k54i8d94?"5=l0i=6`=5e824>=n1l0;6)<:e;`2?k42l3;:76g6d;29 73b2k;0b?;k:008?l?d290/>8k5b09m60b=9:10e4l50;&11`<e92d99i4>4:9j=d<72-8>i7l>;o06`?7232ci57>5$37f>g7<f;?o6<84;h`;>5<#:<o1n<5a24f952=<ak=1<7*=5d8a5>h5=m0:465fb783>!42m3h:7c<:d;3:?>oe=3:1(?;j:c38j73c28k07dl;:18'60c=j81e>8j51c98mg5=83.99h4m1:l11a<6k21bmk4?:%06a?d63g8>h7?k;:kb0?6=,;?n6o?4n37g>4c<3`326=4+24g9f4=i:<n1=k54ie194?"5=l0o>6`=5e83?>oc93:1(?;j:e08j73c2810enh50;&11`<c:2d99i4=;:k`a?6=,;?n6i<4n37g>6=<ajn1<7*=5d8g6>h5=m0?76glc;29 73b2m80b?;k:498mfd=83.99h4k2:l11a<132chm7>5$37f>a4<f;?o6:54ib;94?"5=l0o>6`=5e8;?>od03:1(?;j:e08j73c2010en950;&11`<c:2d99i4n;:k`2?6=,;?n6i<4n37g>g=<aj>1<7*=5d8g6>h5=m0h76gl3;29 73b2m80b?;k:e98mf4=83.99h4k2:l11a<b32ch=7>5$37f>a4<f;?o6k54ib294?"5=l0o>6`=5e824>=njo0;6)<:e;f1?k42l3;:76gme;29 73b2m80b?;k:008?ldc290/>8k5d39m60b=9:10eom50;&11`<c:2d99i4>4:9jfg<72-8>i7j=;o06`?7232com7>5$37f>a4<f;?o6<84;hf:>5<#:<o1h?5a24f952=<am21<7*=5d8g6>h5=m0:465fd683>!42m3n97c<:d;3:?>oc>3:1(?;j:e08j73c28k07dj::18'60c=l;1e>8j51c98ma2=83.99h4k2:l11a<6k21bh=4?:%06a?b53g8>h7?k;:k`1?6=,;?n6i<4n37g>4c<3`hj6=4+24g9`7=i:<n1=k54i023>5<#:<o1jk5a24f94>=nnl0;6)<:e;de?k42l3;07dhk:18'60c=no1e>8j52:9jbf<72-8>i7hi;o06`?5<3`;;97>5$37f>4633g8>h7>4;h337?6=,;?n6<>;;o06`?7<3`;;>7>5$37f>4633g8>h7<4;h335?6=,;?n6<>;;o06`?5<3`8nm7>5$37f>7c>3g8>h7>4;h0f<?6=,;?n6?k6;o06`?7<3`8n;7>5$37f>7c>3g8>h7<4;h0f2?6=,;?n6?k6;o06`?5<3`8nj7>5$37f>7cb3g8>h7>4;h0f`?6=,;?n6?kj;o06`?7<3`8no7>5$37f>7cb3g8>h7<4;h0ff?6=,;?n6?kj;o06`?5<3f;:j7>5$37f>47b3g8>h7>4;n32`?6=,;?n6<?j;o06`?7<3f;:n7>5$37f>47b3g8>h7<4;n32e?6=,;?n6<?j;o06`?5<3f;:57>5$37f>47b3g8>h7:4;n32<?6=,;?n6<?j;o06`?3<3f;:;7>5$37f>47b3g8>h784;n322?6=,;?n6<?j;o06`?1<3f;:97>5$37f>47b3g8>h764;n320?6=,;?n6<?j;o06`??<3f;:?7>5$37f>47b3g8>h7o4;n326?6=,;?n6<?j;o06`?d<3f;:<7>5$37f>47b3g8>h7m4;n33b?6=,;?n6<?j;o06`?b<3f;;i7>5$37f>47b3g8>h7k4;n33`?6=,;?n6<?j;o06`?`<3f;;o7>5$37f>47b3g8>h7??;:m24g<72-8>i7?>e:l11a<6921d==o50;&11`<69l1e>8j51398k46>290/>8k510g8j73c28907b??8;29 73b28;n7c<:d;37?>i68>0;6)<:e;32a>h5=m0:965`13494?"5=l0:=h5a24f953=<g88>6=4+24g954c<f;?o6<94;n310?6=,;?n6<?j;o06`?7?32e:>>4?:%06a?76m2d99i4>9:9l574=83.99h4>1d9m60b=9h10c<<>:18'60c=98o0b?;k:0`8?j7583:1(?;j:03f?k42l3;h76a>1b83>!42m3;:i6`=5e82`>=h98;1<7*=5d825`=i:<n1=h54o025>5<#:<o1=<k4n37g>4`<3f;?=7>5$37f>4273g8>h7>4;n30b?6=,;?n6<:?;o06`?7<3f;8h7>5$37f>4273g8>h7<4;n30g?6=,;?n6<:?;o06`?5<3f;8n7>5$37f>4273g8>h7:4;n30e?6=,;?n6<:?;o06`?3<3f;857>5$37f>4273g8>h784;n30<?6=,;?n6<:?;o06`?1<3f;8;7>5$37f>4273g8>h764;n302?6=,;?n6<:?;o06`??<3f;897>5$37f>4273g8>h7o4;n300?6=,;?n6<:?;o06`?d<3f;8>7>5$37f>4273g8>h7m4;n305?6=,;?n6<:?;o06`?b<3f;8<7>5$37f>4273g8>h7k4;n31b?6=,;?n6<:?;o06`?`<3f;9i7>5$37f>4273g8>h7??;:m26a<72-8>i7?;0:l11a<6921d=?m50;&11`<6<91e>8j51398k44e290/>8k51528j73c28907b?=a;29 73b28>;7c<:d;37?>i6:00;6)<:e;374>h5=m0:965`15:94?"5=l0:8=5a24f953=<g8><6=4+24g9516<f;?o6<94;n372?6=,;?n6<:?;o06`?7?32e:884?:%06a?7382d99i4>9:9l512=83.99h4>419m60b=9h10c<:<:18'60c=9=:0b?;k:0`8?j73:3:1(?;j:063?k42l3;h76a>3d83>!42m3;?<6`=5e82`>=h9:91<7*=5d8205=i:<n1=h54o00;>5<#:<o1=9>4n37g>4`<3f;?i7>5$37f>42c3g8>h7>4;n37g?6=,;?n6<:k;o06`?7<3f;?n7>5$37f>42c3g8>h7<4;n37e?6=,;?n6<:k;o06`?5<3f;>?7>5$37f>4353g8>h7>4;n365?6=,;?n6<;=;o06`?7<3f;><7>5$37f>4353g8>h7<4;n37b?6=,;?n6<;=;o06`?5<3thi;44?:b094?6|,;nn6?lk;I13=>N5m;1Q:54l{34962<5138j6><532800?522;n1>h4<6;14>x"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g2?42?2.:h94=;%3g1?4<,82i6>5+19a97>"60m087)?7e;18 4>a2:1/=4>53:&2`=<6?k1/=i7516`8 7db2;?<7)<mf;063>h5l8027c<k2;;8 7b?2;?<7)?61;18 4?52:1/>k?52g28 7`52;l;7c<i3;;8j7`3201/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6`>2683?k7313:0(?j8:05a?!4ci380(<7;:29'5<3=;2.:5;4<;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*=dc81?l0f2900e;l50;9j35<722c<=7>5;h343?6=3`8o?7>5;h0g0?6=3`;<47>5;h0gg?6=3`8oh7>5;n4`>5<<g?n1<75f4`83>!42m3>27c<:d;28?l2?290/>8k5489m60b=921b8:4?:%06a?2>3g8>h7<4;h65>5<#:<o1845a24f97>=n<<0;6)<:e;6:?k42l3>07d;<:18'60c=<01e>8j55:9j17<72-8>i7:6;o06`?0<3`?:6=4+24g90<=i:<n1;65f5183>!42m3>27c<:d;:8?l2a290/>8k5489m60b=121b8h4?:%06a?2>3g8>h7o4;h6g>5<#:<o1845a24f9f>=n<j0;6)<:e;6:?k42l3i07d:m:18'60c=<01e>8j5d:9j01<72-8>i7:6;o06`?c<3`?j6=4+24g91<=i:<n1<65f5983>!42m3?27c<:d;38?l30290/>8k5589m60b=:21b9;4?:%06a?3>3g8>h7=4;h76>5<#:<o1945a24f90>=n>:0;6)<:e;7:?k42l3?07d8=:18'60c==01e>8j56:9j24<72-8>i7;6;o06`?1<3`<;6=4+24g91<=i:<n1465f5g83>!42m3?27c<:d;;8?l3b290/>8k5589m60b=i21b9i4?:%06a?3>3g8>h7l4;h7`>5<#:<o1945a24f9g>=n=k0;6)<:e;7:?k42l3n07d;;:18'60c==01e>8j5e:9jf7<72-8>i7l>;o06`?6<3`h;6=4+24g9f4=i:<n1=65fad83>!42m3h:7c<:d;08?lgc290/>8k5b09m60b=;21bmn4?:%06a?d63g8>h7:4;hca>5<#:<o1n<5a24f91>=nih0;6)<:e;`2?k42l3<07do6:18'60c=j81e>8j57:9je=<72-8>i7l>;o06`?><3`k<6=4+24g9f4=i:<n1565fa783>!42m3h:7c<:d;c8?lg2290/>8k5b09m60b=j21bm>4?:%06a?d63g8>h7m4;hc1>5<#:<o1n<5a24f9`>=ni80;6)<:e;`2?k42l3o07do?:18'60c=j81e>8j5f:9j=c<72-8>i7l>;o06`?7732c2i7>5$37f>g7<f;?o6<?4;h;g>5<#:<o1n<5a24f957=<a0i1<7*=5d8a5>h5=m0:?65f9c83>!42m3h:7c<:d;37?>o>i3:1(?;j:c38j73c28?07dl6:18'60c=j81e>8j51798mg>=83.99h4m1:l11a<6?21bn:4?:%06a?d63g8>h7?7;:ka2?6=,;?n6o?4n37g>4?<3`h>6=4+24g9f4=i:<n1=l54ic694?"5=l0i=6`=5e82f>=nj:0;6)<:e;`2?k42l3;h76gnf;29 73b2k;0b?;k:0f8?lg3290/>8k5b09m60b=9l10e4750;&11`<e92d99i4>f:9j`6<72-8>i7j=;o06`?6<3`n:6=4+24g9`7=i:<n1=65fcg83>!42m3n97c<:d;08?leb290/>8k5d39m60b=;21boi4?:%06a?b53g8>h7:4;ha`>5<#:<o1h?5a24f91>=nkk0;6)<:e;f1?k42l3<07dmn:18'60c=l;1e>8j57:9jg<<72-8>i7j=;o06`?><3`i36=4+24g9`7=i:<n1565fc683>!42m3n97c<:d;c8?le1290/>8k5d39m60b=j21bo94?:%06a?b53g8>h7m4;ha0>5<#:<o1h?5a24f9`>=nk;0;6)<:e;f1?k42l3o07dm>:18'60c=l;1e>8j5f:9jg5<72-8>i7j=;o06`?7732cij7>5$37f>a4<f;?o6<?4;h`f>5<#:<o1h?5a24f957=<akn1<7*=5d8g6>h5=m0:?65fbb83>!42m3n97c<:d;37?>oej3:1(?;j:e08j73c28?07djn:18'60c=l;1e>8j51798ma?=83.99h4k2:l11a<6?21bh54?:%06a?b53g8>h7?7;:kg3?6=,;?n6i<4n37g>4?<3`n=6=4+24g9`7=i:<n1=l54ie794?"5=l0o>6`=5e82f>=nl=0;6)<:e;f1?k42l3;h76gk0;29 73b2m80b?;k:0f8?le2290/>8k5d39m60b=9l10eoo50;&11`<c:2d99i4>f:9j556=83.99h4if:l11a<732cmi7>5$37f>c`<f;?o6<54igf94?"5=l0mj6`=5e81?>oak3:1(?;j:gd8j73c2:10e<>::18'60c=99>0b?;k:198m464290/>8k51168j73c2810e<>=:18'60c=99>0b?;k:398m466290/>8k51168j73c2:10e?kn:18'60c=:l30b?;k:198m7c?290/>8k52d;8j73c2810e?k8:18'60c=:l30b?;k:398m7c1290/>8k52d;8j73c2:10e?ki:18'60c=:lo0b?;k:198m7cc290/>8k52dg8j73c2810e?kl:18'60c=:lo0b?;k:398m7ce290/>8k52dg8j73c2:10c<?i:18'60c=98o0b?;k:198k47c290/>8k510g8j73c2810c<?m:18'60c=98o0b?;k:398k47f290/>8k510g8j73c2:10c<?6:18'60c=98o0b?;k:598k47?290/>8k510g8j73c2<10c<?8:18'60c=98o0b?;k:798k471290/>8k510g8j73c2>10c<?::18'60c=98o0b?;k:998k473290/>8k510g8j73c2010c<?<:18'60c=98o0b?;k:`98k475290/>8k510g8j73c2k10c<??:18'60c=98o0b?;k:b98k46a290/>8k510g8j73c2m10c<>j:18'60c=98o0b?;k:d98k46c290/>8k510g8j73c2o10c<>l:18'60c=98o0b?;k:028?j77j3:1(?;j:03f?k42l3;:76a>0`83>!42m3;:i6`=5e826>=h9931<7*=5d825`=i:<n1=>54o02;>5<#:<o1=<k4n37g>42<3f;;;7>5$37f>47b3g8>h7?:;:m263<72-8>i7?>e:l11a<6>21d=?;50;&11`<69l1e>8j51698k443290/>8k510g8j73c28207b?=3;29 73b28;n7c<:d;3:?>i6:;0;6)<:e;32a>h5=m0:m65`13394?"5=l0:=h5a24f95g=<g88;6=4+24g954c<f;?o6<m4;n32g?6=,;?n6<?j;o06`?7c32e:=<4?:%06a?76m2d99i4>e:9l550=83.99h4>1d9m60b=9o10c<:>:18'60c=9=:0b?;k:198k45a290/>8k51528j73c2810c<=k:18'60c=9=:0b?;k:398k45d290/>8k51528j73c2:10c<=m:18'60c=9=:0b?;k:598k45f290/>8k51528j73c2<10c<=6:18'60c=9=:0b?;k:798k45?290/>8k51528j73c2>10c<=8:18'60c=9=:0b?;k:998k451290/>8k51528j73c2010c<=::18'60c=9=:0b?;k:`98k453290/>8k51528j73c2k10c<==:18'60c=9=:0b?;k:b98k456290/>8k51528j73c2m10c<=?:18'60c=9=:0b?;k:d98k44a290/>8k51528j73c2o10c<<j:18'60c=9=:0b?;k:028?j75l3:1(?;j:063?k42l3;:76a>2b83>!42m3;?<6`=5e826>=h9;h1<7*=5d8205=i:<n1=>54o00b>5<#:<o1=9>4n37g>42<3f;957>5$37f>4273g8>h7?:;:m20=<72-8>i7?;0:l11a<6>21d=9950;&11`<6<91e>8j51698k421290/>8k51528j73c28207b?;5;29 73b28>;7c<:d;3:?>i6<=0;6)<:e;374>h5=m0:m65`15194?"5=l0:8=5a24f95g=<g8>96=4+24g9516<f;?o6<m4;n30a?6=,;?n6<:?;o06`?7c32e:?>4?:%06a?7382d99i4>e:9l57>=83.99h4>419m60b=9o10c<:j:18'60c=9=n0b?;k:198k42d290/>8k515f8j73c2810c<:m:18'60c=9=n0b?;k:398k42f290/>8k515f8j73c2:10c<;<:18'60c=9<80b?;k:198k436290/>8k51408j73c2810c<;?:18'60c=9<80b?;k:398k42a290/>8k51408j73c2:10qol8a;29g7<729q/>ik52cf8L66>3A8n>6T98;ax63<5?3826?o533807?532:?1>i4=e;15>61=u-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b12;?<7)?k4;08 4b22;1/=5l53:&2<f<43-;3h7=4$0:f>6=#91l1?6*>9180?!7c03;<n6*>d8823g=#:ko1>894$3`e>7303g8o=774n3f1><=#:m21>894$0;2>6=#9081?6*=f081b5=#:o81>k>4n3d0><=i:o>156*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;o313?6<f8>26=5+2e5952d<,;nj6?5+18697>"61<087)?66;18 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%0gf?4<a?k1<75f6c83>>o083:17d9>:188m4102900e?j<:188m7b32900e<97:188m7bd2900e?jk:188k3e=831d:i4?::k7e?6=,;?n6974n37g>5=<a=21<7*=5d87=>h5=m0:76g;7;29 73b2=30b?;k:398m10=83.99h4;9:l11a<432c?97>5$37f>1?<f;?o6954i4194?"5=l0?56`=5e86?>o2:3:1(?;j:5;8j73c2?10e8?50;&11`<312d99i48;:k64?6=,;?n6974n37g>==<a=l1<7*=5d87=>h5=m0276g;e;29 73b2=30b?;k:`98m1b=83.99h4;9:l11a<e32c?o7>5$37f>1?<f;?o6n54i5`94?"5=l0?56`=5e8g?>o3<3:1(?;j:5;8j73c2l10e8o50;&11`<212d99i4?;:k6<?6=,;?n6874n37g>4=<a<=1<7*=5d86=>h5=m0976g:6;29 73b2<30b?;k:298m03=83.99h4:9:l11a<332c=?7>5$37f>0?<f;?o6854i7094?"5=l0>56`=5e85?>o193:1(?;j:4;8j73c2>10e;>50;&11`<212d99i47;:k6b?6=,;?n6874n37g><=<a<o1<7*=5d86=>h5=m0j76g:d;29 73b2<30b?;k:c98m0e=83.99h4:9:l11a<d32c>n7>5$37f>0?<f;?o6i54i4694?"5=l0>56`=5e8f?>oe:3:1(?;j:c38j73c2910eo>50;&11`<e92d99i4>;:kba?6=,;?n6o?4n37g>7=<ahn1<7*=5d8a5>h5=m0876gnc;29 73b2k;0b?;k:598mdd=83.99h4m1:l11a<232cjm7>5$37f>g7<f;?o6;54i`;94?"5=l0i=6`=5e84?>of03:1(?;j:c38j73c2110el950;&11`<e92d99i46;:kb2?6=,;?n6o?4n37g>d=<ah?1<7*=5d8a5>h5=m0i76gn3;29 73b2k;0b?;k:b98md4=83.99h4m1:l11a<c32cj=7>5$37f>g7<f;?o6h54i`294?"5=l0i=6`=5e8e?>o>n3:1(?;j:c38j73c28:07d7j:18'60c=j81e>8j51098m<b=83.99h4m1:l11a<6:21b5n4?:%06a?d63g8>h7?<;:k:f?6=,;?n6o?4n37g>42<3`3j6=4+24g9f4=i:<n1=854ic;94?"5=l0i=6`=5e822>=nj10;6)<:e;`2?k42l3;<76gm7;29 73b2k;0b?;k:0:8?ld1290/>8k5b09m60b=9010eo;50;&11`<e92d99i4>a:9jf1<72-8>i7l>;o06`?7e32ci?7>5$37f>g7<f;?o6<m4;hce>5<#:<o1n<5a24f95a=<ah>1<7*=5d8a5>h5=m0:i65f9883>!42m3h:7c<:d;3e?>oc;3:1(?;j:e08j73c2910ei?50;&11`<c:2d99i4>;:k`b?6=,;?n6i<4n37g>7=<ajo1<7*=5d8g6>h5=m0876gld;29 73b2m80b?;k:598mfe=83.99h4k2:l11a<232chn7>5$37f>a4<f;?o6;54ibc94?"5=l0o>6`=5e84?>od13:1(?;j:e08j73c2110en650;&11`<c:2d99i46;:k`3?6=,;?n6i<4n37g>d=<aj<1<7*=5d8g6>h5=m0i76gl4;29 73b2m80b?;k:b98mf5=83.99h4k2:l11a<c32ch>7>5$37f>a4<f;?o6h54ib394?"5=l0o>6`=5e8e?>od83:1(?;j:e08j73c28:07dli:18'60c=l;1e>8j51098mgc=83.99h4k2:l11a<6:21bni4?:%06a?b53g8>h7?<;:kag?6=,;?n6i<4n37g>42<3`hi6=4+24g9`7=i:<n1=854iec94?"5=l0o>6`=5e822>=nl00;6)<:e;f1?k42l3;<76gk8;29 73b2m80b?;k:0:8?lb0290/>8k5d39m60b=9010ei850;&11`<c:2d99i4>a:9j`0<72-8>i7j=;o06`?7e32co87>5$37f>a4<f;?o6<m4;hf3>5<#:<o1h?5a24f95a=<aj?1<7*=5d8g6>h5=m0:i65fb`83>!42m3n97c<:d;3e?>o6890;6)<:e;de?k42l3:07dhj:18'60c=no1e>8j51:9jba<72-8>i7hi;o06`?4<3`lh6=4+24g9bc=i:<n1?65f11794?"5=l0:<95a24f94>=n9991<7*=5d8241=i:<n1=65f11094?"5=l0:<95a24f96>=n99;1<7*=5d8241=i:<n1?65f2dc94?"5=l09i45a24f94>=n:l21<7*=5d81a<=i:<n1=65f2d594?"5=l09i45a24f96>=n:l<1<7*=5d81a<=i:<n1?65f2dd94?"5=l09ih5a24f94>=n:ln1<7*=5d81a`=i:<n1=65f2da94?"5=l09ih5a24f96>=n:lh1<7*=5d81a`=i:<n1?65`10d94?"5=l0:=h5a24f94>=h98n1<7*=5d825`=i:<n1=65`10`94?"5=l0:=h5a24f96>=h98k1<7*=5d825`=i:<n1?65`10;94?"5=l0:=h5a24f90>=h9821<7*=5d825`=i:<n1965`10594?"5=l0:=h5a24f92>=h98<1<7*=5d825`=i:<n1;65`10794?"5=l0:=h5a24f9<>=h98>1<7*=5d825`=i:<n1565`10194?"5=l0:=h5a24f9e>=h9881<7*=5d825`=i:<n1n65`10294?"5=l0:=h5a24f9g>=h99l1<7*=5d825`=i:<n1h65`11g94?"5=l0:=h5a24f9a>=h99n1<7*=5d825`=i:<n1j65`11a94?"5=l0:=h5a24f955=<g8:i6=4+24g954c<f;?o6<?4;n33e?6=,;?n6<?j;o06`?7532e:<44?:%06a?76m2d99i4>3:9l55>=83.99h4>1d9m60b=9=10c<>8:18'60c=98o0b?;k:078?j75>3:1(?;j:03f?k42l3;=76a>2483>!42m3;:i6`=5e823>=h9;>1<7*=5d825`=i:<n1=554o000>5<#:<o1=<k4n37g>4?<3f;9>7>5$37f>47b3g8>h7?n;:m264<72-8>i7?>e:l11a<6j21d=?>50;&11`<69l1e>8j51b98k47d290/>8k510g8j73c28n07b?>1;29 73b28;n7c<:d;3f?>i68?0;6)<:e;32a>h5=m0:j65`15394?"5=l0:8=5a24f94>=h9:l1<7*=5d8205=i:<n1=65`12f94?"5=l0:8=5a24f96>=h9:i1<7*=5d8205=i:<n1?65`12`94?"5=l0:8=5a24f90>=h9:k1<7*=5d8205=i:<n1965`12;94?"5=l0:8=5a24f92>=h9:21<7*=5d8205=i:<n1;65`12594?"5=l0:8=5a24f9<>=h9:<1<7*=5d8205=i:<n1565`12794?"5=l0:8=5a24f9e>=h9:>1<7*=5d8205=i:<n1n65`12094?"5=l0:8=5a24f9g>=h9:;1<7*=5d8205=i:<n1h65`12294?"5=l0:8=5a24f9a>=h9;l1<7*=5d8205=i:<n1j65`13g94?"5=l0:8=5a24f955=<g88o6=4+24g9516<f;?o6<?4;n31g?6=,;?n6<:?;o06`?7532e:>o4?:%06a?7382d99i4>3:9l57g=83.99h4>419m60b=9=10c<<6:18'60c=9=:0b?;k:078?j7303:1(?;j:063?k42l3;=76a>4683>!42m3;?<6`=5e823>=h9=<1<7*=5d8205=i:<n1=554o066>5<#:<o1=9>4n37g>4?<3f;?87>5$37f>4273g8>h7?n;:m206<72-8>i7?;0:l11a<6j21d=9<50;&11`<6<91e>8j51b98k45b290/>8k51528j73c28n07b?<3;29 73b28>;7c<:d;3f?>i6:10;6)<:e;374>h5=m0:j65`15g94?"5=l0:8i5a24f94>=h9=i1<7*=5d820a=i:<n1=65`15`94?"5=l0:8i5a24f96>=h9=k1<7*=5d820a=i:<n1?65`14194?"5=l0:9?5a24f94>=h9<;1<7*=5d8217=i:<n1=65`14294?"5=l0:9?5a24f96>=h9=l1<7*=5d8217=i:<n1?65rbc5a>5<d:3:1<v*=dd81fa=O;930D?k=;[4;>f}5>38<6?752`806?542:>1?84=d;0f>60=;>0v(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m<1>894$0f7>7=#9m?1>6*>8c80?!7?k390(<6k:29'5=c=;2.:4k4<;%3:4?5<,8n36<9m;%3g=?70j2.9nh4=569'6g`=:<=0b?j>:89m6a4=12.9h54=569'5<7=;2.:5?4<;%0e5?4a82.9j?4=f19m6c5=12d9j946;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18j440291e=9750:&1`2<6?k1/>io52:&2=1<43-;297=4$0;5>6=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 7be2;1b:l4?::k5f?6=3`=;6=44i6394?=n9>=1<75f2e194?=n:m>1<75f16:94?=n:mi1<75f2ef94?=h>j0;66a9d;29?l2f290/>8k5489m60b=821b854?:%06a?2>3g8>h7?4;h64>5<#:<o1845a24f96>=n<?0;6)<:e;6:?k42l3907d:::18'60c=<01e>8j54:9j16<72-8>i7:6;o06`?3<3`?96=4+24g90<=i:<n1:65f5083>!42m3>27c<:d;58?l37290/>8k5489m60b=021b8k4?:%06a?2>3g8>h774;h6f>5<#:<o1845a24f9e>=n<m0;6)<:e;6:?k42l3h07d:l:18'60c=<01e>8j5c:9j0g<72-8>i7:6;o06`?b<3`>?6=4+24g90<=i:<n1i65f5`83>!42m3?27c<:d;28?l3?290/>8k5589m60b=921b9:4?:%06a?3>3g8>h7<4;h75>5<#:<o1945a24f97>=n=<0;6)<:e;7:?k42l3>07d8<:18'60c==01e>8j55:9j27<72-8>i7;6;o06`?0<3`<:6=4+24g91<=i:<n1;65f6183>!42m3?27c<:d;:8?l3a290/>8k5589m60b=121b9h4?:%06a?3>3g8>h7o4;h7g>5<#:<o1945a24f9f>=n=j0;6)<:e;7:?k42l3i07d;m:18'60c==01e>8j5d:9j11<72-8>i7;6;o06`?c<3`h96=4+24g9f4=i:<n1<65fb183>!42m3h:7c<:d;38?lgb290/>8k5b09m60b=:21bmi4?:%06a?d63g8>h7=4;hc`>5<#:<o1n<5a24f90>=nik0;6)<:e;`2?k42l3?07don:18'60c=j81e>8j56:9je<<72-8>i7l>;o06`?1<3`k36=4+24g9f4=i:<n1465fa683>!42m3h:7c<:d;;8?lg1290/>8k5b09m60b=i21bm84?:%06a?d63g8>h7l4;hc0>5<#:<o1n<5a24f9g>=ni;0;6)<:e;`2?k42l3n07do>:18'60c=j81e>8j5e:9je5<72-8>i7l>;o06`?`<3`3m6=4+24g9f4=i:<n1==54i8g94?"5=l0i=6`=5e825>=n1m0;6)<:e;`2?k42l3;976g6c;29 73b2k;0b?;k:018?l?e290/>8k5b09m60b=9=10e4o50;&11`<e92d99i4>5:9jf<<72-8>i7l>;o06`?7132ci47>5$37f>g7<f;?o6<94;h`4>5<#:<o1n<5a24f95==<ak<1<7*=5d8a5>h5=m0:565fb483>!42m3h:7c<:d;3b?>oe<3:1(?;j:c38j73c28h07dl<:18'60c=j81e>8j51b98md`=83.99h4m1:l11a<6l21bm94?:%06a?d63g8>h7?j;:k:=?6=,;?n6o?4n37g>4`<3`n86=4+24g9`7=i:<n1<65fd083>!42m3n97c<:d;38?lea290/>8k5d39m60b=:21boh4?:%06a?b53g8>h7=4;hag>5<#:<o1h?5a24f90>=nkj0;6)<:e;f1?k42l3?07dmm:18'60c=l;1e>8j56:9jgd<72-8>i7j=;o06`?1<3`i26=4+24g9`7=i:<n1465fc983>!42m3n97c<:d;;8?le0290/>8k5d39m60b=i21bo;4?:%06a?b53g8>h7l4;ha7>5<#:<o1h?5a24f9g>=nk:0;6)<:e;f1?k42l3n07dm=:18'60c=l;1e>8j5e:9jg4<72-8>i7j=;o06`?`<3`i;6=4+24g9`7=i:<n1==54icd94?"5=l0o>6`=5e825>=njl0;6)<:e;f1?k42l3;976gmd;29 73b2m80b?;k:018?ldd290/>8k5d39m60b=9=10eol50;&11`<c:2d99i4>5:9j`d<72-8>i7j=;o06`?7132co57>5$37f>a4<f;?o6<94;hf;>5<#:<o1h?5a24f95==<am=1<7*=5d8g6>h5=m0:565fd783>!42m3n97c<:d;3b?>oc=3:1(?;j:e08j73c28h07dj;:18'60c=l;1e>8j51b98ma6=83.99h4k2:l11a<6l21bo84?:%06a?b53g8>h7?j;:kae?6=,;?n6i<4n37g>4`<3`;;<7>5$37f>c`<f;?o6=54igg94?"5=l0mj6`=5e82?>oal3:1(?;j:gd8j73c2;10ekm50;&11`<an2d99i4<;:k240<72-8>i7??4:l11a<732c:<>4?:%06a?77<2d99i4>;:k247<72-8>i7??4:l11a<532c:<<4?:%06a?77<2d99i4<;:k1ad<72-8>i7<j9:l11a<732c9i54?:%06a?4b12d99i4>;:k1a2<72-8>i7<j9:l11a<532c9i;4?:%06a?4b12d99i4<;:k1ac<72-8>i7<je:l11a<732c9ii4?:%06a?4bm2d99i4>;:k1af<72-8>i7<je:l11a<532c9io4?:%06a?4bm2d99i4<;:m25c<72-8>i7?>e:l11a<732e:=i4?:%06a?76m2d99i4>;:m25g<72-8>i7?>e:l11a<532e:=l4?:%06a?76m2d99i4<;:m25<<72-8>i7?>e:l11a<332e:=54?:%06a?76m2d99i4:;:m252<72-8>i7?>e:l11a<132e:=;4?:%06a?76m2d99i48;:m250<72-8>i7?>e:l11a<?32e:=94?:%06a?76m2d99i46;:m256<72-8>i7?>e:l11a<f32e:=?4?:%06a?76m2d99i4m;:m255<72-8>i7?>e:l11a<d32e:<k4?:%06a?76m2d99i4k;:m24`<72-8>i7?>e:l11a<b32e:<i4?:%06a?76m2d99i4i;:m24f<72-8>i7?>e:l11a<6821d==l50;&11`<69l1e>8j51098k46f290/>8k510g8j73c28807b??9;29 73b28;n7c<:d;30?>i6810;6)<:e;32a>h5=m0:865`11594?"5=l0:=h5a24f950=<g88=6=4+24g954c<f;?o6<84;n311?6=,;?n6<?j;o06`?7032e:>94?:%06a?76m2d99i4>8:9l575=83.99h4>1d9m60b=9010c<<=:18'60c=98o0b?;k:0c8?j7593:1(?;j:03f?k42l3;i76a>2183>!42m3;:i6`=5e82g>=h98i1<7*=5d825`=i:<n1=i54o032>5<#:<o1=<k4n37g>4c<3f;;:7>5$37f>47b3g8>h7?i;:m204<72-8>i7?;0:l11a<732e:?k4?:%06a?7382d99i4>;:m27a<72-8>i7?;0:l11a<532e:?n4?:%06a?7382d99i4<;:m27g<72-8>i7?;0:l11a<332e:?l4?:%06a?7382d99i4:;:m27<<72-8>i7?;0:l11a<132e:?54?:%06a?7382d99i48;:m272<72-8>i7?;0:l11a<?32e:?;4?:%06a?7382d99i46;:m270<72-8>i7?;0:l11a<f32e:?94?:%06a?7382d99i4m;:m277<72-8>i7?;0:l11a<d32e:?<4?:%06a?7382d99i4k;:m275<72-8>i7?;0:l11a<b32e:>k4?:%06a?7382d99i4i;:m26`<72-8>i7?;0:l11a<6821d=?j50;&11`<6<91e>8j51098k44d290/>8k51528j73c28807b?=b;29 73b28>;7c<:d;30?>i6:h0;6)<:e;374>h5=m0:865`13;94?"5=l0:8=5a24f950=<g8>36=4+24g9516<f;?o6<84;n373?6=,;?n6<:?;o06`?7032e:8;4?:%06a?7382d99i4>8:9l513=83.99h4>419m60b=9010c<:;:18'60c=9=:0b?;k:0c8?j73;3:1(?;j:063?k42l3;i76a>4383>!42m3;?<6`=5e82g>=h9:o1<7*=5d8205=i:<n1=i54o010>5<#:<o1=9>4n37g>4c<3f;947>5$37f>4273g8>h7?i;:m20`<72-8>i7?;d:l11a<732e:8n4?:%06a?73l2d99i4>;:m20g<72-8>i7?;d:l11a<532e:8l4?:%06a?73l2d99i4<;:m216<72-8>i7?:2:l11a<732e:9<4?:%06a?72:2d99i4>;:m215<72-8>i7?:2:l11a<532e:8k4?:%06a?72:2d99i4<;:\7faf2e=83i96=4?{%0ga?4el2B8<45G2d08^3>=kr8=6?952881e?552:91?94<5;0g>7c=;?08;7s+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h;4=569'5a2=:2.:h84=;%3;f?5<,82h6>5+19f97>"60l087)?7f;18 4?72:1/=i6516`8 4b>28=i7)<me;063>"5jo099:5a2e39=>h5l;027)<k8;063>"618087)?62;18 7`62;l;7)<i2;0e4>h5n:027c<i4;;8 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=i9;=1<6`>4883?!4c?3;<n6*=d`81?!7><390(<7::29'5<0=;2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#:mh1>6g9a;29?l0e2900e:>50;9j34<722c:;:4?::k1`6<722c9h94?::k23=<722c9hn4?::k1`a<722e=o7>5;n4g>5<<a=k1<7*=5d87=>h5=m0;76g;8;29 73b2=30b?;k:098m11=83.99h4;9:l11a<532c?:7>5$37f>1?<f;?o6>54i5794?"5=l0?56`=5e87?>o2;3:1(?;j:5;8j73c2<10e8<50;&11`<312d99i49;:k65?6=,;?n6974n37g>2=<a<:1<7*=5d87=>h5=m0376g;f;29 73b2=30b?;k:898m1c=83.99h4;9:l11a<f32c?h7>5$37f>1?<f;?o6o54i5a94?"5=l0?56`=5e8`?>o3j3:1(?;j:5;8j73c2m10e9:50;&11`<312d99i4j;:k6e?6=,;?n6874n37g>5=<a<21<7*=5d86=>h5=m0:76g:7;29 73b2<30b?;k:398m00=83.99h4:9:l11a<432c>97>5$37f>0?<f;?o6954i7194?"5=l0>56`=5e86?>o1:3:1(?;j:4;8j73c2?10e;?50;&11`<212d99i48;:k54?6=,;?n6874n37g>==<a<l1<7*=5d86=>h5=m0276g:e;29 73b2<30b?;k:`98m0b=83.99h4:9:l11a<e32c>o7>5$37f>0?<f;?o6n54i4`94?"5=l0>56`=5e8g?>o2<3:1(?;j:4;8j73c2l10eo<50;&11`<e92d99i4?;:ka4?6=,;?n6o?4n37g>4=<aho1<7*=5d8a5>h5=m0976gnd;29 73b2k;0b?;k:298mde=83.99h4m1:l11a<332cjn7>5$37f>g7<f;?o6854i`c94?"5=l0i=6`=5e85?>of13:1(?;j:c38j73c2>10el650;&11`<e92d99i47;:kb3?6=,;?n6o?4n37g><=<ah<1<7*=5d8a5>h5=m0j76gn5;29 73b2k;0b?;k:c98md5=83.99h4m1:l11a<d32cj>7>5$37f>g7<f;?o6i54i`394?"5=l0i=6`=5e8f?>of83:1(?;j:c38j73c2o10e4h50;&11`<e92d99i4>0:9j=`<72-8>i7l>;o06`?7632c2h7>5$37f>g7<f;?o6<<4;h;`>5<#:<o1n<5a24f956=<a0h1<7*=5d8a5>h5=m0:865f9`83>!42m3h:7c<:d;36?>oe13:1(?;j:c38j73c28<07dl7:18'60c=j81e>8j51698mg1=83.99h4m1:l11a<6021bn;4?:%06a?d63g8>h7?6;:ka1?6=,;?n6o?4n37g>4g<3`h?6=4+24g9f4=i:<n1=o54ic194?"5=l0i=6`=5e82g>=nio0;6)<:e;`2?k42l3;o76gn4;29 73b2k;0b?;k:0g8?l?>290/>8k5b09m60b=9o10ei=50;&11`<c:2d99i4?;:kg5?6=,;?n6i<4n37g>4=<ajl1<7*=5d8g6>h5=m0976gle;29 73b2m80b?;k:298mfb=83.99h4k2:l11a<332cho7>5$37f>a4<f;?o6854ib`94?"5=l0o>6`=5e85?>odi3:1(?;j:e08j73c2>10en750;&11`<c:2d99i47;:k`<?6=,;?n6i<4n37g><=<aj=1<7*=5d8g6>h5=m0j76gl6;29 73b2m80b?;k:c98mf2=83.99h4k2:l11a<d32ch?7>5$37f>a4<f;?o6i54ib094?"5=l0o>6`=5e8f?>od93:1(?;j:e08j73c2o10en>50;&11`<c:2d99i4>0:9jfc<72-8>i7j=;o06`?7632cii7>5$37f>a4<f;?o6<<4;h`g>5<#:<o1h?5a24f956=<aki1<7*=5d8g6>h5=m0:865fbc83>!42m3n97c<:d;36?>oci3:1(?;j:e08j73c28<07dj6:18'60c=l;1e>8j51698ma>=83.99h4k2:l11a<6021bh:4?:%06a?b53g8>h7?6;:kg2?6=,;?n6i<4n37g>4g<3`n>6=4+24g9`7=i:<n1=o54ie694?"5=l0o>6`=5e82g>=nl90;6)<:e;f1?k42l3;o76gl5;29 73b2m80b?;k:0g8?ldf290/>8k5d39m60b=9o10e<>?:18'60c=no1e>8j50:9jb`<72-8>i7hi;o06`?7<3`lo6=4+24g9bc=i:<n1>65ffb83>!42m3lm7c<:d;18?l77=3:1(?;j:027?k42l3:07d??3;29 73b28:?7c<:d;38?l77:3:1(?;j:027?k42l3807d??1;29 73b28:?7c<:d;18?l4bi3:1(?;j:3g:?k42l3:07d<j8;29 73b2;o27c<:d;38?l4b?3:1(?;j:3g:?k42l3807d<j6;29 73b2;o27c<:d;18?l4bn3:1(?;j:3gf?k42l3:07d<jd;29 73b2;on7c<:d;38?l4bk3:1(?;j:3gf?k42l3807d<jb;29 73b2;on7c<:d;18?j76n3:1(?;j:03f?k42l3:07b?>d;29 73b28;n7c<:d;38?j76j3:1(?;j:03f?k42l3807b?>a;29 73b28;n7c<:d;18?j7613:1(?;j:03f?k42l3>07b?>8;29 73b28;n7c<:d;78?j76?3:1(?;j:03f?k42l3<07b?>6;29 73b28;n7c<:d;58?j76=3:1(?;j:03f?k42l3207b?>4;29 73b28;n7c<:d;;8?j76;3:1(?;j:03f?k42l3k07b?>2;29 73b28;n7c<:d;`8?j7683:1(?;j:03f?k42l3i07b??f;29 73b28;n7c<:d;f8?j77m3:1(?;j:03f?k42l3o07b??d;29 73b28;n7c<:d;d8?j77k3:1(?;j:03f?k42l3;;76a>0c83>!42m3;:i6`=5e825>=h99k1<7*=5d825`=i:<n1=?54o02:>5<#:<o1=<k4n37g>45<3f;;47>5$37f>47b3g8>h7?;;:m242<72-8>i7?>e:l11a<6=21d=?850;&11`<69l1e>8j51798k442290/>8k510g8j73c28=07b?=4;29 73b28;n7c<:d;3;?>i6::0;6)<:e;32a>h5=m0:565`13094?"5=l0:=h5a24f95d=<g88:6=4+24g954c<f;?o6<l4;n314?6=,;?n6<?j;o06`?7d32e:=n4?:%06a?76m2d99i4>d:9l547=83.99h4>1d9m60b=9l10c<>9:18'60c=98o0b?;k:0d8?j7393:1(?;j:063?k42l3:07b?<f;29 73b28>;7c<:d;38?j74l3:1(?;j:063?k42l3807b?<c;29 73b28>;7c<:d;18?j74j3:1(?;j:063?k42l3>07b?<a;29 73b28>;7c<:d;78?j7413:1(?;j:063?k42l3<07b?<8;29 73b28>;7c<:d;58?j74?3:1(?;j:063?k42l3207b?<6;29 73b28>;7c<:d;;8?j74=3:1(?;j:063?k42l3k07b?<4;29 73b28>;7c<:d;`8?j74:3:1(?;j:063?k42l3i07b?<1;29 73b28>;7c<:d;f8?j7483:1(?;j:063?k42l3o07b?=f;29 73b28>;7c<:d;d8?j75m3:1(?;j:063?k42l3;;76a>2e83>!42m3;?<6`=5e825>=h9;i1<7*=5d8205=i:<n1=?54o00a>5<#:<o1=9>4n37g>45<3f;9m7>5$37f>4273g8>h7?;;:m26<<72-8>i7?;0:l11a<6=21d=9650;&11`<6<91e>8j51798k420290/>8k51528j73c28=07b?;6;29 73b28>;7c<:d;3;?>i6<<0;6)<:e;374>h5=m0:565`15694?"5=l0:8=5a24f95d=<g8>86=4+24g9516<f;?o6<l4;n376?6=,;?n6<:?;o06`?7d32e:?h4?:%06a?7382d99i4>d:9l565=83.99h4>419m60b=9l10c<<7:18'60c=9=:0b?;k:0d8?j73m3:1(?;j:06g?k42l3:07b?;c;29 73b28>o7c<:d;38?j73j3:1(?;j:06g?k42l3807b?;a;29 73b28>o7c<:d;18?j72;3:1(?;j:071?k42l3:07b?:1;29 73b28?97c<:d;38?j7283:1(?;j:071?k42l3807b?;f;29 73b28?97c<:d;18?xde>80;6n<50;2x 7bb2;ho7E=?9:J1a7=]>10hw?852681=?4f2:81?>4<4;16>7b=:l08:7=8:|&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k6;063>"6l=097)?k5;08 4>e2:1/=5m53:&2<a<43-;3i7=4$0:e>6=#90:1?6*>d9823g=#9m31=:l4$3`f>7303-8ij7<:7:l1`4<>3g8o>774$3f;>7303-;2=7=4$0;1>6=#:o;1>k>4$3d1>7`73g8m?774n3d7><=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2d:>:4?;o37=?6<,;n<6<9m;%0ge?4<,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.9ho4=;h4b>5<<a?h1<75f7183>>o093:17d?87;29?l4c;3:17d<k4;29?l7003:17d<kc;29?l4cl3:17b8l:188k3b=831b8l4?:%06a?2>3g8>h7>4;h6;>5<#:<o1845a24f95>=n<>0;6)<:e;6:?k42l3807d:9:18'60c=<01e>8j53:9j00<72-8>i7:6;o06`?2<3`?86=4+24g90<=i:<n1965f5383>!42m3>27c<:d;48?l36290/>8k5489m60b=?21b9=4?:%06a?2>3g8>h764;h6e>5<#:<o1845a24f9=>=n<l0;6)<:e;6:?k42l3k07d:k:18'60c=<01e>8j5b:9j0f<72-8>i7:6;o06`?e<3`>i6=4+24g90<=i:<n1h65f4583>!42m3>27c<:d;g8?l3f290/>8k5589m60b=821b954?:%06a?3>3g8>h7?4;h74>5<#:<o1945a24f96>=n=?0;6)<:e;7:?k42l3907d;::18'60c==01e>8j54:9j26<72-8>i7;6;o06`?3<3`<96=4+24g91<=i:<n1:65f6083>!42m3?27c<:d;58?l07290/>8k5589m60b=021b9k4?:%06a?3>3g8>h774;h7f>5<#:<o1945a24f9e>=n=m0;6)<:e;7:?k42l3h07d;l:18'60c==01e>8j5c:9j1g<72-8>i7;6;o06`?b<3`??6=4+24g91<=i:<n1i65fb383>!42m3h:7c<:d;28?ld7290/>8k5b09m60b=921bmh4?:%06a?d63g8>h7<4;hcg>5<#:<o1n<5a24f97>=nij0;6)<:e;`2?k42l3>07dom:18'60c=j81e>8j55:9jed<72-8>i7l>;o06`?0<3`k26=4+24g9f4=i:<n1;65fa983>!42m3h:7c<:d;:8?lg0290/>8k5b09m60b=121bm;4?:%06a?d63g8>h7o4;hc6>5<#:<o1n<5a24f9f>=ni:0;6)<:e;`2?k42l3i07do=:18'60c=j81e>8j5d:9je4<72-8>i7l>;o06`?c<3`k;6=4+24g9f4=i:<n1j65f9g83>!42m3h:7c<:d;33?>o>m3:1(?;j:c38j73c28;07d7k:18'60c=j81e>8j51398m<e=83.99h4m1:l11a<6;21b5o4?:%06a?d63g8>h7?;;:k:e?6=,;?n6o?4n37g>43<3`h26=4+24g9f4=i:<n1=;54ic:94?"5=l0i=6`=5e823>=nj>0;6)<:e;`2?k42l3;376gm6;29 73b2k;0b?;k:0;8?ld2290/>8k5b09m60b=9h10eo:50;&11`<e92d99i4>b:9jf6<72-8>i7l>;o06`?7d32cjj7>5$37f>g7<f;?o6<j4;hc7>5<#:<o1n<5a24f95`=<a031<7*=5d8a5>h5=m0:j65fd283>!42m3n97c<:d;28?lb6290/>8k5d39m60b=921bok4?:%06a?b53g8>h7<4;haf>5<#:<o1h?5a24f97>=nkm0;6)<:e;f1?k42l3>07dml:18'60c=l;1e>8j55:9jgg<72-8>i7j=;o06`?0<3`ij6=4+24g9`7=i:<n1;65fc883>!42m3n97c<:d;:8?le?290/>8k5d39m60b=121bo:4?:%06a?b53g8>h7o4;ha5>5<#:<o1h?5a24f9f>=nk=0;6)<:e;f1?k42l3i07dm<:18'60c=l;1e>8j5d:9jg7<72-8>i7j=;o06`?c<3`i:6=4+24g9`7=i:<n1j65fc183>!42m3n97c<:d;33?>oen3:1(?;j:e08j73c28;07dlj:18'60c=l;1e>8j51398mgb=83.99h4k2:l11a<6;21bnn4?:%06a?b53g8>h7?;;:kaf?6=,;?n6i<4n37g>43<3`nj6=4+24g9`7=i:<n1=;54ie;94?"5=l0o>6`=5e823>=nl10;6)<:e;f1?k42l3;376gk7;29 73b2m80b?;k:0;8?lb1290/>8k5d39m60b=9h10ei;50;&11`<c:2d99i4>b:9j`1<72-8>i7j=;o06`?7d32co<7>5$37f>a4<f;?o6<j4;ha6>5<#:<o1h?5a24f95`=<akk1<7*=5d8g6>h5=m0:j65f11294?"5=l0mj6`=5e83?>oam3:1(?;j:gd8j73c2810ekj50;&11`<an2d99i4=;:keg?6=,;?n6kh4n37g>6=<a8:>6=4+24g9552<f;?o6=54i020>5<#:<o1==:4n37g>4=<a8:96=4+24g9552<f;?o6?54i022>5<#:<o1==:4n37g>6=<a;oj6=4+24g96`?<f;?o6=54i3g;>5<#:<o1>h74n37g>4=<a;o<6=4+24g96`?<f;?o6?54i3g5>5<#:<o1>h74n37g>6=<a;om6=4+24g96`c<f;?o6=54i3gg>5<#:<o1>hk4n37g>4=<a;oh6=4+24g96`c<f;?o6?54i3ga>5<#:<o1>hk4n37g>6=<g8;m6=4+24g954c<f;?o6=54o03g>5<#:<o1=<k4n37g>4=<g8;i6=4+24g954c<f;?o6?54o03b>5<#:<o1=<k4n37g>6=<g8;26=4+24g954c<f;?o6954o03;>5<#:<o1=<k4n37g>0=<g8;<6=4+24g954c<f;?o6;54o035>5<#:<o1=<k4n37g>2=<g8;>6=4+24g954c<f;?o6554o037>5<#:<o1=<k4n37g><=<g8;86=4+24g954c<f;?o6l54o031>5<#:<o1=<k4n37g>g=<g8;;6=4+24g954c<f;?o6n54o02e>5<#:<o1=<k4n37g>a=<g8:n6=4+24g954c<f;?o6h54o02g>5<#:<o1=<k4n37g>c=<g8:h6=4+24g954c<f;?o6<>4;n33f?6=,;?n6<?j;o06`?7632e:<l4?:%06a?76m2d99i4>2:9l55?=83.99h4>1d9m60b=9:10c<>7:18'60c=98o0b?;k:068?j77?3:1(?;j:03f?k42l3;>76a>2783>!42m3;:i6`=5e822>=h9;?1<7*=5d825`=i:<n1=:54o007>5<#:<o1=<k4n37g>4><3f;9?7>5$37f>47b3g8>h7?6;:m267<72-8>i7?>e:l11a<6i21d=??50;&11`<69l1e>8j51c98k447290/>8k510g8j73c28i07b?>c;29 73b28;n7c<:d;3g?>i6980;6)<:e;32a>h5=m0:i65`11494?"5=l0:=h5a24f95c=<g8>:6=4+24g9516<f;?o6=54o01e>5<#:<o1=9>4n37g>4=<g89o6=4+24g9516<f;?o6?54o01`>5<#:<o1=9>4n37g>6=<g89i6=4+24g9516<f;?o6954o01b>5<#:<o1=9>4n37g>0=<g8926=4+24g9516<f;?o6;54o01;>5<#:<o1=9>4n37g>2=<g89<6=4+24g9516<f;?o6554o015>5<#:<o1=9>4n37g><=<g89>6=4+24g9516<f;?o6l54o017>5<#:<o1=9>4n37g>g=<g8996=4+24g9516<f;?o6n54o012>5<#:<o1=9>4n37g>a=<g89;6=4+24g9516<f;?o6h54o00e>5<#:<o1=9>4n37g>c=<g88n6=4+24g9516<f;?o6<>4;n31`?6=,;?n6<:?;o06`?7632e:>n4?:%06a?7382d99i4>2:9l57d=83.99h4>419m60b=9:10c<<n:18'60c=9=:0b?;k:068?j7513:1(?;j:063?k42l3;>76a>4983>!42m3;?<6`=5e822>=h9==1<7*=5d8205=i:<n1=:54o065>5<#:<o1=9>4n37g>4><3f;?97>5$37f>4273g8>h7?6;:m201<72-8>i7?;0:l11a<6i21d=9=50;&11`<6<91e>8j51c98k425290/>8k51528j73c28i07b?<e;29 73b28>;7c<:d;3g?>i6;:0;6)<:e;374>h5=m0:i65`13:94?"5=l0:8=5a24f95c=<g8>n6=4+24g951b<f;?o6=54o06`>5<#:<o1=9j4n37g>4=<g8>i6=4+24g951b<f;?o6?54o06b>5<#:<o1=9j4n37g>6=<g8?86=4+24g9504<f;?o6=54o072>5<#:<o1=8<4n37g>4=<g8?;6=4+24g9504<f;?o6?54o06e>5<#:<o1=8<4n37g>6=<ukh=>7>5c383>5}#:mo1>oj4H22:?M4b:2P=47mt27813?4>2;k1??4<3;17>63=:m09i7=9:259y!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f5>7303-;o87<4$0f6>7=#91h1?6*>8b80?!7?l390(<6j:29'5=`=;2.:5=4<;%3g<?70j2.:h44>7c9'6gc=:<=0(?li:374?k4c9330b?j=:89'6a>=:<=0(<7>:29'5<4=;2.9j<4=f19'6c4=:o:0b?h<:89m6c2=12.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087c?=7;28j42>291/>i9516`8 7bf2;1/=4:53:&2=0<43-;2:7=4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)<kb;08m3g=831b:o4?::k44?6=3`=:6=44i054>5<<a;n86=44i3f7>5<<a8=36=44i3f`>5<<a;no6=44o7a94?=h>m0;66g;a;29 73b2=30b?;k:198m1>=83.99h4;9:l11a<632c?;7>5$37f>1?<f;?o6?54i5494?"5=l0?56`=5e80?>o3=3:1(?;j:5;8j73c2=10e8=50;&11`<312d99i4:;:k66?6=,;?n6974n37g>3=<a<;1<7*=5d87=>h5=m0<76g:0;29 73b2=30b?;k:998m1`=83.99h4;9:l11a<>32c?i7>5$37f>1?<f;?o6l54i5f94?"5=l0?56`=5e8a?>o3k3:1(?;j:5;8j73c2j10e9l50;&11`<312d99i4k;:k70?6=,;?n6974n37g>`=<a<k1<7*=5d86=>h5=m0;76g:8;29 73b2<30b?;k:098m01=83.99h4:9:l11a<532c>:7>5$37f>0?<f;?o6>54i4794?"5=l0>56`=5e87?>o1;3:1(?;j:4;8j73c2<10e;<50;&11`<212d99i49;:k55?6=,;?n6874n37g>2=<a?:1<7*=5d86=>h5=m0376g:f;29 73b2<30b?;k:898m0c=83.99h4:9:l11a<f32c>h7>5$37f>0?<f;?o6o54i4a94?"5=l0>56`=5e8`?>o2j3:1(?;j:4;8j73c2m10e8:50;&11`<212d99i4j;:ka6?6=,;?n6o?4n37g>5=<ak:1<7*=5d8a5>h5=m0:76gne;29 73b2k;0b?;k:398mdb=83.99h4m1:l11a<432cjo7>5$37f>g7<f;?o6954i``94?"5=l0i=6`=5e86?>ofi3:1(?;j:c38j73c2?10el750;&11`<e92d99i48;:kb<?6=,;?n6o?4n37g>==<ah=1<7*=5d8a5>h5=m0276gn6;29 73b2k;0b?;k:`98md3=83.99h4m1:l11a<e32cj?7>5$37f>g7<f;?o6n54i`094?"5=l0i=6`=5e8g?>of93:1(?;j:c38j73c2l10el>50;&11`<e92d99i4i;:k:b?6=,;?n6o?4n37g>46<3`3n6=4+24g9f4=i:<n1=<54i8f94?"5=l0i=6`=5e826>=n1j0;6)<:e;`2?k42l3;876g6b;29 73b2k;0b?;k:068?l?f290/>8k5b09m60b=9<10eo750;&11`<e92d99i4>6:9jf=<72-8>i7l>;o06`?7032ci;7>5$37f>g7<f;?o6<64;h`5>5<#:<o1n<5a24f95<=<ak?1<7*=5d8a5>h5=m0:m65fb583>!42m3h:7c<:d;3a?>oe;3:1(?;j:c38j73c28i07doi:18'60c=j81e>8j51e98md2=83.99h4m1:l11a<6m21b544?:%06a?d63g8>h7?i;:kg7?6=,;?n6i<4n37g>5=<am;1<7*=5d8g6>h5=m0:76glf;29 73b2m80b?;k:398mfc=83.99h4k2:l11a<432chh7>5$37f>a4<f;?o6954iba94?"5=l0o>6`=5e86?>odj3:1(?;j:e08j73c2?10eno50;&11`<c:2d99i48;:k`=?6=,;?n6i<4n37g>==<aj21<7*=5d8g6>h5=m0276gl7;29 73b2m80b?;k:`98mf0=83.99h4k2:l11a<e32ch87>5$37f>a4<f;?o6n54ib194?"5=l0o>6`=5e8g?>od:3:1(?;j:e08j73c2l10en?50;&11`<c:2d99i4i;:k`4?6=,;?n6i<4n37g>46<3`hm6=4+24g9`7=i:<n1=<54icg94?"5=l0o>6`=5e826>=njm0;6)<:e;f1?k42l3;876gmc;29 73b2m80b?;k:068?lde290/>8k5d39m60b=9<10eio50;&11`<c:2d99i4>6:9j`<<72-8>i7j=;o06`?7032co47>5$37f>a4<f;?o6<64;hf4>5<#:<o1h?5a24f95<=<am<1<7*=5d8g6>h5=m0:m65fd483>!42m3n97c<:d;3a?>oc<3:1(?;j:e08j73c28i07dj?:18'60c=l;1e>8j51e98mf3=83.99h4k2:l11a<6m21bnl4?:%06a?b53g8>h7?i;:k245<72-8>i7hi;o06`?6<3`ln6=4+24g9bc=i:<n1=65ffe83>!42m3lm7c<:d;08?l`d290/>8k5fg9m60b=;21b==;50;&11`<68=1e>8j50:9j555=83.99h4>059m60b=921b==<50;&11`<68=1e>8j52:9j557=83.99h4>059m60b=;21b>ho50;&11`<5m01e>8j50:9j6`>=83.99h4=e89m60b=921b>h950;&11`<5m01e>8j52:9j6`0=83.99h4=e89m60b=;21b>hh50;&11`<5ml1e>8j50:9j6`b=83.99h4=ed9m60b=921b>hm50;&11`<5ml1e>8j52:9j6`d=83.99h4=ed9m60b=;21d=<h50;&11`<69l1e>8j50:9l54b=83.99h4>1d9m60b=921d=<l50;&11`<69l1e>8j52:9l54g=83.99h4>1d9m60b=;21d=<750;&11`<69l1e>8j54:9l54>=83.99h4>1d9m60b==21d=<950;&11`<69l1e>8j56:9l540=83.99h4>1d9m60b=?21d=<;50;&11`<69l1e>8j58:9l542=83.99h4>1d9m60b=121d=<=50;&11`<69l1e>8j5a:9l544=83.99h4>1d9m60b=j21d=<>50;&11`<69l1e>8j5c:9l55`=83.99h4>1d9m60b=l21d==k50;&11`<69l1e>8j5e:9l55b=83.99h4>1d9m60b=n21d==m50;&11`<69l1e>8j51198k46e290/>8k510g8j73c28;07b??a;29 73b28;n7c<:d;31?>i6800;6)<:e;32a>h5=m0:?65`11:94?"5=l0:=h5a24f951=<g8:<6=4+24g954c<f;?o6<;4;n312?6=,;?n6<?j;o06`?7132e:>84?:%06a?76m2d99i4>7:9l572=83.99h4>1d9m60b=9110c<<<:18'60c=98o0b?;k:0;8?j75:3:1(?;j:03f?k42l3;j76a>2083>!42m3;:i6`=5e82f>=h9;:1<7*=5d825`=i:<n1=n54o03`>5<#:<o1=<k4n37g>4b<3f;:=7>5$37f>47b3g8>h7?j;:m243<72-8>i7?>e:l11a<6n21d=9?50;&11`<6<91e>8j50:9l56`=83.99h4>419m60b=921d=>j50;&11`<6<91e>8j52:9l56e=83.99h4>419m60b=;21d=>l50;&11`<6<91e>8j54:9l56g=83.99h4>419m60b==21d=>750;&11`<6<91e>8j56:9l56>=83.99h4>419m60b=?21d=>950;&11`<6<91e>8j58:9l560=83.99h4>419m60b=121d=>;50;&11`<6<91e>8j5a:9l562=83.99h4>419m60b=j21d=><50;&11`<6<91e>8j5c:9l567=83.99h4>419m60b=l21d=>>50;&11`<6<91e>8j5e:9l57`=83.99h4>419m60b=n21d=?k50;&11`<6<91e>8j51198k44c290/>8k51528j73c28;07b?=c;29 73b28>;7c<:d;31?>i6:k0;6)<:e;374>h5=m0:?65`13c94?"5=l0:8=5a24f951=<g8826=4+24g9516<f;?o6<;4;n37<?6=,;?n6<:?;o06`?7132e:8:4?:%06a?7382d99i4>7:9l510=83.99h4>419m60b=9110c<:::18'60c=9=:0b?;k:0;8?j73<3:1(?;j:063?k42l3;j76a>4283>!42m3;?<6`=5e82f>=h9=81<7*=5d8205=i:<n1=n54o01f>5<#:<o1=9>4n37g>4b<3f;8?7>5$37f>4273g8>h7?j;:m26=<72-8>i7?;0:l11a<6n21d=9k50;&11`<6<m1e>8j50:9l51e=83.99h4>4e9m60b=921d=9l50;&11`<6<m1e>8j52:9l51g=83.99h4>4e9m60b=;21d=8=50;&11`<6=;1e>8j50:9l507=83.99h4>539m60b=921d=8>50;&11`<6=;1e>8j52:9l51`=83.99h4>539m60b=;21vno8<:18`6?6=8r.9hh4=be9K75?<@;o97W87:by12?402;31>l4<2;10>62=;<09h7<j:24972<z,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a0=:<=0(<j;:39'5a3=:2.:4o4<;%3;g?5<,82o6>5+19g97>"60o087)?60;18 4b?28=i7)?k9;34f>"5jl099:5+2cd9601<f;n:645a2e09=>"5l1099:5+18397>"61;087)<i1;0e4>"5n;09j=5a2g19=>h5n=027)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4n004>5=i9=31<6*=d6823g=#:mk1>6*>9580?!7>=390(<79:29'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$3fa>7=n>h0;66g9b;29?l172900e:?50;9j521=831b>i=50;9j6a2=831b=:650;9j6ae=831b>ij50;9l2f<722e=h7>5;h6b>5<#:<o1845a24f94>=n<10;6)<:e;6:?k42l3;07d:8:18'60c=<01e>8j52:9j03<72-8>i7:6;o06`?5<3`>>6=4+24g90<=i:<n1865f5283>!42m3>27c<:d;78?l35290/>8k5489m60b=>21b9<4?:%06a?2>3g8>h794;h73>5<#:<o1845a24f9<>=n<o0;6)<:e;6:?k42l3307d:j:18'60c=<01e>8j5a:9j0a<72-8>i7:6;o06`?d<3`>h6=4+24g90<=i:<n1o65f4c83>!42m3>27c<:d;f8?l23290/>8k5489m60b=m21b9l4?:%06a?3>3g8>h7>4;h7;>5<#:<o1945a24f95>=n=>0;6)<:e;7:?k42l3807d;9:18'60c==01e>8j53:9j10<72-8>i7;6;o06`?2<3`<86=4+24g91<=i:<n1965f6383>!42m3?27c<:d;48?l06290/>8k5589m60b=?21b:=4?:%06a?3>3g8>h764;h7e>5<#:<o1945a24f9=>=n=l0;6)<:e;7:?k42l3k07d;k:18'60c==01e>8j5b:9j1f<72-8>i7;6;o06`?e<3`?i6=4+24g91<=i:<n1h65f5583>!42m3?27c<:d;g8?ld5290/>8k5b09m60b=821bn=4?:%06a?d63g8>h7?4;hcf>5<#:<o1n<5a24f96>=nim0;6)<:e;`2?k42l3907dol:18'60c=j81e>8j54:9jeg<72-8>i7l>;o06`?3<3`kj6=4+24g9f4=i:<n1:65fa883>!42m3h:7c<:d;58?lg?290/>8k5b09m60b=021bm:4?:%06a?d63g8>h774;hc5>5<#:<o1n<5a24f9e>=ni<0;6)<:e;`2?k42l3h07do<:18'60c=j81e>8j5c:9je7<72-8>i7l>;o06`?b<3`k:6=4+24g9f4=i:<n1i65fa183>!42m3h:7c<:d;d8?l?a290/>8k5b09m60b=9910e4k50;&11`<e92d99i4>1:9j=a<72-8>i7l>;o06`?7532c2o7>5$37f>g7<f;?o6<=4;h;a>5<#:<o1n<5a24f951=<a0k1<7*=5d8a5>h5=m0:965fb883>!42m3h:7c<:d;35?>oe03:1(?;j:c38j73c28=07dl8:18'60c=j81e>8j51998mg0=83.99h4m1:l11a<6121bn84?:%06a?d63g8>h7?n;:ka0?6=,;?n6o?4n37g>4d<3`h86=4+24g9f4=i:<n1=n54i`d94?"5=l0i=6`=5e82`>=ni=0;6)<:e;`2?k42l3;n76g69;29 73b2k;0b?;k:0d8?lb4290/>8k5d39m60b=821bh<4?:%06a?b53g8>h7?4;hae>5<#:<o1h?5a24f96>=nkl0;6)<:e;f1?k42l3907dmk:18'60c=l;1e>8j54:9jgf<72-8>i7j=;o06`?3<3`ii6=4+24g9`7=i:<n1:65fc`83>!42m3n97c<:d;58?le>290/>8k5d39m60b=021bo54?:%06a?b53g8>h774;ha4>5<#:<o1h?5a24f9e>=nk?0;6)<:e;f1?k42l3h07dm;:18'60c=l;1e>8j5c:9jg6<72-8>i7j=;o06`?b<3`i96=4+24g9`7=i:<n1i65fc083>!42m3n97c<:d;d8?le7290/>8k5d39m60b=9910eoh50;&11`<c:2d99i4>1:9jf`<72-8>i7j=;o06`?7532cih7>5$37f>a4<f;?o6<=4;h``>5<#:<o1h?5a24f951=<akh1<7*=5d8g6>h5=m0:965fd`83>!42m3n97c<:d;35?>oc13:1(?;j:e08j73c28=07dj7:18'60c=l;1e>8j51998ma1=83.99h4k2:l11a<6121bh;4?:%06a?b53g8>h7?n;:kg1?6=,;?n6i<4n37g>4d<3`n?6=4+24g9`7=i:<n1=n54ie294?"5=l0o>6`=5e82`>=nk<0;6)<:e;f1?k42l3;n76gma;29 73b2m80b?;k:0d8?l7783:1(?;j:gd8j73c2910ekk50;&11`<an2d99i4>;:ke`?6=,;?n6kh4n37g>7=<aoi1<7*=5d8eb>h5=m0876g>0483>!42m3;;86`=5e83?>o68:0;6)<:e;330>h5=m0:76g>0383>!42m3;;86`=5e81?>o6880;6)<:e;330>h5=m0876g=e`83>!42m38n56`=5e83?>o5m10;6)<:e;0f=>h5=m0:76g=e683>!42m38n56`=5e81?>o5m?0;6)<:e;0f=>h5=m0876g=eg83>!42m38ni6`=5e83?>o5mm0;6)<:e;0fa>h5=m0:76g=eb83>!42m38ni6`=5e81?>o5mk0;6)<:e;0fa>h5=m0876a>1g83>!42m3;:i6`=5e83?>i69m0;6)<:e;32a>h5=m0:76a>1c83>!42m3;:i6`=5e81?>i69h0;6)<:e;32a>h5=m0876a>1883>!42m3;:i6`=5e87?>i6910;6)<:e;32a>h5=m0>76a>1683>!42m3;:i6`=5e85?>i69?0;6)<:e;32a>h5=m0<76a>1483>!42m3;:i6`=5e8;?>i69=0;6)<:e;32a>h5=m0276a>1283>!42m3;:i6`=5e8b?>i69;0;6)<:e;32a>h5=m0i76a>1183>!42m3;:i6`=5e8`?>i68o0;6)<:e;32a>h5=m0o76a>0d83>!42m3;:i6`=5e8f?>i68m0;6)<:e;32a>h5=m0m76a>0b83>!42m3;:i6`=5e824>=h99h1<7*=5d825`=i:<n1=<54o02b>5<#:<o1=<k4n37g>44<3f;;57>5$37f>47b3g8>h7?<;:m24=<72-8>i7?>e:l11a<6<21d==950;&11`<69l1e>8j51498k441290/>8k510g8j73c28<07b?=5;29 73b28;n7c<:d;34?>i6:=0;6)<:e;32a>h5=m0:465`13194?"5=l0:=h5a24f95<=<g8896=4+24g954c<f;?o6<o4;n315?6=,;?n6<?j;o06`?7e32e:>=4?:%06a?76m2d99i4>c:9l54e=83.99h4>1d9m60b=9m10c<?>:18'60c=98o0b?;k:0g8?j77>3:1(?;j:03f?k42l3;m76a>4083>!42m3;?<6`=5e83?>i6;o0;6)<:e;374>h5=m0:76a>3e83>!42m3;?<6`=5e81?>i6;j0;6)<:e;374>h5=m0876a>3c83>!42m3;?<6`=5e87?>i6;h0;6)<:e;374>h5=m0>76a>3883>!42m3;?<6`=5e85?>i6;10;6)<:e;374>h5=m0<76a>3683>!42m3;?<6`=5e8;?>i6;?0;6)<:e;374>h5=m0276a>3483>!42m3;?<6`=5e8b?>i6;=0;6)<:e;374>h5=m0i76a>3383>!42m3;?<6`=5e8`?>i6;80;6)<:e;374>h5=m0o76a>3183>!42m3;?<6`=5e8f?>i6:o0;6)<:e;374>h5=m0m76a>2d83>!42m3;?<6`=5e824>=h9;n1<7*=5d8205=i:<n1=<54o00`>5<#:<o1=9>4n37g>44<3f;9n7>5$37f>4273g8>h7?<;:m26d<72-8>i7?;0:l11a<6<21d=?750;&11`<6<91e>8j51498k42?290/>8k51528j73c28<07b?;7;29 73b28>;7c<:d;34?>i6<?0;6)<:e;374>h5=m0:465`15794?"5=l0:8=5a24f95<=<g8>?6=4+24g9516<f;?o6<o4;n377?6=,;?n6<:?;o06`?7e32e:8?4?:%06a?7382d99i4>c:9l56c=83.99h4>419m60b=9m10c<=<:18'60c=9=:0b?;k:0g8?j7503:1(?;j:063?k42l3;m76a>4d83>!42m3;?h6`=5e83?>i6<j0;6)<:e;37`>h5=m0:76a>4c83>!42m3;?h6`=5e81?>i6<h0;6)<:e;37`>h5=m0876a>5283>!42m3;>>6`=5e83?>i6=80;6)<:e;366>h5=m0:76a>5183>!42m3;>>6`=5e81?>i6<o0;6)<:e;366>h5=m0876smb7694?e5290;w)<ke;0a`>N4801C>h<4Z7:9g~412;=1>44=a;11>65=;=0897<k:3g973<4?3w/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l?099:5+1e696>"6l<097)?7b;18 4>d2:1/=5j53:&2<`<43-;3j7=4$0;3>6=#9m21=:l4$0f:>41e3-8ii7<:7:&1fc<5=>1e>i?59:l1`7<>3-8o47<:7:&2=4<43-;2>7=4$3d2>7`73-8m>7<i0:l1b6<>3g8m8774$0c1>6=#9h91?6*>a580?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29m571=82d:844?;%0g3?70j2.9hl4=;%3:0?5<,83>6>5+18497>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'6ad=:2c=m7>5;h4a>5<<a>:1<75f7083>>o6?>0;66g=d283>>o5l=0;66g>7983>>o5lj0;66g=de83>>i1k3:17b8k:188m1g=83.99h4;9:l11a<732c?47>5$37f>1?<f;?o6<54i5594?"5=l0?56`=5e81?>o3>3:1(?;j:5;8j73c2:10e9;50;&11`<312d99i4;;:k67?6=,;?n6974n37g>0=<a<81<7*=5d87=>h5=m0=76g:1;29 73b2=30b?;k:698m06=83.99h4;9:l11a<?32c?j7>5$37f>1?<f;?o6454i5g94?"5=l0?56`=5e8b?>o3l3:1(?;j:5;8j73c2k10e9m50;&11`<312d99i4l;:k7f?6=,;?n6974n37g>a=<a=>1<7*=5d87=>h5=m0n76g:a;29 73b2<30b?;k:198m0>=83.99h4:9:l11a<632c>;7>5$37f>0?<f;?o6?54i4494?"5=l0>56`=5e80?>o2=3:1(?;j:4;8j73c2=10e;=50;&11`<212d99i4:;:k56?6=,;?n6874n37g>3=<a?;1<7*=5d86=>h5=m0<76g90;29 73b2<30b?;k:998m0`=83.99h4:9:l11a<>32c>i7>5$37f>0?<f;?o6l54i4f94?"5=l0>56`=5e8a?>o2k3:1(?;j:4;8j73c2j10e8l50;&11`<212d99i4k;:k60?6=,;?n6874n37g>`=<ak81<7*=5d8a5>h5=m0;76gm0;29 73b2k;0b?;k:098mdc=83.99h4m1:l11a<532cjh7>5$37f>g7<f;?o6>54i`a94?"5=l0i=6`=5e87?>ofj3:1(?;j:c38j73c2<10elo50;&11`<e92d99i49;:kb=?6=,;?n6o?4n37g>2=<ah21<7*=5d8a5>h5=m0376gn7;29 73b2k;0b?;k:898md0=83.99h4m1:l11a<f32cj97>5$37f>g7<f;?o6o54i`194?"5=l0i=6`=5e8`?>of:3:1(?;j:c38j73c2m10el?50;&11`<e92d99i4j;:kb4?6=,;?n6o?4n37g>c=<a0l1<7*=5d8a5>h5=m0:<65f9d83>!42m3h:7c<:d;32?>o>l3:1(?;j:c38j73c28807d7l:18'60c=j81e>8j51298m<d=83.99h4m1:l11a<6<21b5l4?:%06a?d63g8>h7?:;:ka=?6=,;?n6o?4n37g>40<3`h36=4+24g9f4=i:<n1=:54ic594?"5=l0i=6`=5e82<>=nj?0;6)<:e;`2?k42l3;276gm5;29 73b2k;0b?;k:0c8?ld3290/>8k5b09m60b=9k10eo=50;&11`<e92d99i4>c:9jec<72-8>i7l>;o06`?7c32cj87>5$37f>g7<f;?o6<k4;h;:>5<#:<o1n<5a24f95c=<am91<7*=5d8g6>h5=m0;76gk1;29 73b2m80b?;k:098mf`=83.99h4k2:l11a<532chi7>5$37f>a4<f;?o6>54ibf94?"5=l0o>6`=5e87?>odk3:1(?;j:e08j73c2<10enl50;&11`<c:2d99i49;:k`e?6=,;?n6i<4n37g>2=<aj31<7*=5d8g6>h5=m0376gl8;29 73b2m80b?;k:898mf1=83.99h4k2:l11a<f32ch:7>5$37f>a4<f;?o6o54ib694?"5=l0o>6`=5e8`?>od;3:1(?;j:e08j73c2m10en<50;&11`<c:2d99i4j;:k`5?6=,;?n6i<4n37g>c=<aj:1<7*=5d8g6>h5=m0:<65fbg83>!42m3n97c<:d;32?>oem3:1(?;j:e08j73c28807dlk:18'60c=l;1e>8j51298mge=83.99h4k2:l11a<6<21bno4?:%06a?b53g8>h7?:;:kge?6=,;?n6i<4n37g>40<3`n26=4+24g9`7=i:<n1=:54ie:94?"5=l0o>6`=5e82<>=nl>0;6)<:e;f1?k42l3;276gk6;29 73b2m80b?;k:0c8?lb2290/>8k5d39m60b=9k10ei:50;&11`<c:2d99i4>c:9j`5<72-8>i7j=;o06`?7c32ch97>5$37f>a4<f;?o6<k4;h`b>5<#:<o1h?5a24f95c=<a8:;6=4+24g9bc=i:<n1<65ffd83>!42m3lm7c<:d;38?l`c290/>8k5fg9m60b=:21bjn4?:%06a?`a3g8>h7=4;h331?6=,;?n6<>;;o06`?6<3`;;?7>5$37f>4633g8>h7?4;h336?6=,;?n6<>;;o06`?4<3`;;=7>5$37f>4633g8>h7=4;h0fe?6=,;?n6?k6;o06`?6<3`8n47>5$37f>7c>3g8>h7?4;h0f3?6=,;?n6?k6;o06`?4<3`8n:7>5$37f>7c>3g8>h7=4;h0fb?6=,;?n6?kj;o06`?6<3`8nh7>5$37f>7cb3g8>h7?4;h0fg?6=,;?n6?kj;o06`?4<3`8nn7>5$37f>7cb3g8>h7=4;n32b?6=,;?n6<?j;o06`?6<3f;:h7>5$37f>47b3g8>h7?4;n32f?6=,;?n6<?j;o06`?4<3f;:m7>5$37f>47b3g8>h7=4;n32=?6=,;?n6<?j;o06`?2<3f;:47>5$37f>47b3g8>h7;4;n323?6=,;?n6<?j;o06`?0<3f;::7>5$37f>47b3g8>h794;n321?6=,;?n6<?j;o06`?><3f;:87>5$37f>47b3g8>h774;n327?6=,;?n6<?j;o06`?g<3f;:>7>5$37f>47b3g8>h7l4;n324?6=,;?n6<?j;o06`?e<3f;;j7>5$37f>47b3g8>h7j4;n33a?6=,;?n6<?j;o06`?c<3f;;h7>5$37f>47b3g8>h7h4;n33g?6=,;?n6<?j;o06`?7732e:<o4?:%06a?76m2d99i4>1:9l55g=83.99h4>1d9m60b=9;10c<>6:18'60c=98o0b?;k:018?j7703:1(?;j:03f?k42l3;?76a>0683>!42m3;:i6`=5e821>=h9;<1<7*=5d825`=i:<n1=;54o006>5<#:<o1=<k4n37g>41<3f;987>5$37f>47b3g8>h7?7;:m266<72-8>i7?>e:l11a<6121d=?<50;&11`<69l1e>8j51`98k446290/>8k510g8j73c28h07b?=0;29 73b28;n7c<:d;3`?>i69j0;6)<:e;32a>h5=m0:h65`10394?"5=l0:=h5a24f95`=<g8:=6=4+24g954c<f;?o6<h4;n375?6=,;?n6<:?;o06`?6<3f;8j7>5$37f>4273g8>h7?4;n30`?6=,;?n6<:?;o06`?4<3f;8o7>5$37f>4273g8>h7=4;n30f?6=,;?n6<:?;o06`?2<3f;8m7>5$37f>4273g8>h7;4;n30=?6=,;?n6<:?;o06`?0<3f;847>5$37f>4273g8>h794;n303?6=,;?n6<:?;o06`?><3f;8:7>5$37f>4273g8>h774;n301?6=,;?n6<:?;o06`?g<3f;887>5$37f>4273g8>h7l4;n306?6=,;?n6<:?;o06`?e<3f;8=7>5$37f>4273g8>h7j4;n304?6=,;?n6<:?;o06`?c<3f;9j7>5$37f>4273g8>h7h4;n31a?6=,;?n6<:?;o06`?7732e:>i4?:%06a?7382d99i4>1:9l57e=83.99h4>419m60b=9;10c<<m:18'60c=9=:0b?;k:018?j75i3:1(?;j:063?k42l3;?76a>2883>!42m3;?<6`=5e821>=h9=21<7*=5d8205=i:<n1=;54o064>5<#:<o1=9>4n37g>41<3f;?:7>5$37f>4273g8>h7?7;:m200<72-8>i7?;0:l11a<6121d=9:50;&11`<6<91e>8j51`98k424290/>8k51528j73c28h07b?;2;29 73b28>;7c<:d;3`?>i6;l0;6)<:e;374>h5=m0:h65`12194?"5=l0:8=5a24f95`=<g8836=4+24g9516<f;?o6<h4;n37a?6=,;?n6<:k;o06`?6<3f;?o7>5$37f>42c3g8>h7?4;n37f?6=,;?n6<:k;o06`?4<3f;?m7>5$37f>42c3g8>h7=4;n367?6=,;?n6<;=;o06`?6<3f;>=7>5$37f>4353g8>h7?4;n364?6=,;?n6<;=;o06`?4<3f;?j7>5$37f>4353g8>h7=4;|`a20<72j81<7>t$3ff>7dc3A9;56F=e39Y2=<ds;<1>:4=9;0b>64=;:0887=::3f96`<4>39<6p*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o:7<:7:&2`1<53-;o97<4$0:a>6=#91i1?6*>8e80?!7?m390(<6i:29'5<6=;2.:h54>7c9'5a?=9>h0(?lj:374?!4en38>;6`=d08:?k4c:330(?j7:374?!7>9390(<7=:29'6c7=:o:0(?h=:3d3?k4a;330b?h;:89'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>h6:>0;7c?;9;28 7b028=i7)<ka;08 4?32:1/=4;53:&2=3<43-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5<b=;2.:5h4<;%3:b?5<,8k;6>5+1`397>"5lk097d8n:188m3d=831b;=4?::k45?6=3`;<;7>5;h0g7?6=3`8o87>5;h34<?6=3`8oo7>5;h0g`?6=3f<h6=44o7f94?=n<h0;6)<:e;6:?k42l3:07d:7:18'60c=<01e>8j51:9j02<72-8>i7:6;o06`?4<3`>=6=4+24g90<=i:<n1?65f4483>!42m3>27c<:d;68?l34290/>8k5489m60b==21b9?4?:%06a?2>3g8>h784;h72>5<#:<o1845a24f93>=n=90;6)<:e;6:?k42l3207d:i:18'60c=<01e>8j59:9j0`<72-8>i7:6;o06`?g<3`>o6=4+24g90<=i:<n1n65f4b83>!42m3>27c<:d;a8?l2e290/>8k5489m60b=l21b894?:%06a?2>3g8>h7k4;h7b>5<#:<o1945a24f94>=n=10;6)<:e;7:?k42l3;07d;8:18'60c==01e>8j52:9j13<72-8>i7;6;o06`?5<3`?>6=4+24g91<=i:<n1865f6283>!42m3?27c<:d;78?l05290/>8k5589m60b=>21b:<4?:%06a?3>3g8>h794;h43>5<#:<o1945a24f9<>=n=o0;6)<:e;7:?k42l3307d;j:18'60c==01e>8j5a:9j1a<72-8>i7;6;o06`?d<3`?h6=4+24g91<=i:<n1o65f5c83>!42m3?27c<:d;f8?l33290/>8k5589m60b=m21bn?4?:%06a?d63g8>h7>4;h`3>5<#:<o1n<5a24f95>=nil0;6)<:e;`2?k42l3807dok:18'60c=j81e>8j53:9jef<72-8>i7l>;o06`?2<3`ki6=4+24g9f4=i:<n1965fa`83>!42m3h:7c<:d;48?lg>290/>8k5b09m60b=?21bm54?:%06a?d63g8>h764;hc4>5<#:<o1n<5a24f9=>=ni?0;6)<:e;`2?k42l3k07do::18'60c=j81e>8j5b:9je6<72-8>i7l>;o06`?e<3`k96=4+24g9f4=i:<n1h65fa083>!42m3h:7c<:d;g8?lg7290/>8k5b09m60b=n21b5k4?:%06a?d63g8>h7??;:k:a?6=,;?n6o?4n37g>47<3`3o6=4+24g9f4=i:<n1=?54i8a94?"5=l0i=6`=5e827>=n1k0;6)<:e;`2?k42l3;?76g6a;29 73b2k;0b?;k:078?ld>290/>8k5b09m60b=9?10eo650;&11`<e92d99i4>7:9jf2<72-8>i7l>;o06`?7?32ci:7>5$37f>g7<f;?o6<74;h`6>5<#:<o1n<5a24f95d=<ak>1<7*=5d8a5>h5=m0:n65fb283>!42m3h:7c<:d;3`?>ofn3:1(?;j:c38j73c28n07do;:18'60c=j81e>8j51d98m<?=83.99h4m1:l11a<6n21bh>4?:%06a?b53g8>h7>4;hf2>5<#:<o1h?5a24f95>=nko0;6)<:e;f1?k42l3807dmj:18'60c=l;1e>8j53:9jga<72-8>i7j=;o06`?2<3`ih6=4+24g9`7=i:<n1965fcc83>!42m3n97c<:d;48?lef290/>8k5d39m60b=?21bo44?:%06a?b53g8>h764;ha;>5<#:<o1h?5a24f9=>=nk>0;6)<:e;f1?k42l3k07dm9:18'60c=l;1e>8j5b:9jg1<72-8>i7j=;o06`?e<3`i86=4+24g9`7=i:<n1h65fc383>!42m3n97c<:d;g8?le6290/>8k5d39m60b=n21bo=4?:%06a?b53g8>h7??;:kab?6=,;?n6i<4n37g>47<3`hn6=4+24g9`7=i:<n1=?54icf94?"5=l0o>6`=5e827>=njj0;6)<:e;f1?k42l3;?76gmb;29 73b2m80b?;k:078?lbf290/>8k5d39m60b=9?10ei750;&11`<c:2d99i4>7:9j`=<72-8>i7j=;o06`?7?32co;7>5$37f>a4<f;?o6<74;hf5>5<#:<o1h?5a24f95d=<am?1<7*=5d8g6>h5=m0:n65fd583>!42m3n97c<:d;3`?>oc83:1(?;j:e08j73c28n07dm::18'60c=l;1e>8j51d98mgg=83.99h4k2:l11a<6n21b==>50;&11`<an2d99i4?;:kea?6=,;?n6kh4n37g>4=<aon1<7*=5d8eb>h5=m0976gic;29 73b2ol0b?;k:298m462290/>8k51168j73c2910e<><:18'60c=99>0b?;k:098m465290/>8k51168j73c2;10e<>>:18'60c=99>0b?;k:298m7cf290/>8k52d;8j73c2910e?k7:18'60c=:l30b?;k:098m7c0290/>8k52d;8j73c2;10e?k9:18'60c=:l30b?;k:298m7ca290/>8k52dg8j73c2910e?kk:18'60c=:lo0b?;k:098m7cd290/>8k52dg8j73c2;10e?km:18'60c=:lo0b?;k:298k47a290/>8k510g8j73c2910c<?k:18'60c=98o0b?;k:098k47e290/>8k510g8j73c2;10c<?n:18'60c=98o0b?;k:298k47>290/>8k510g8j73c2=10c<?7:18'60c=98o0b?;k:498k470290/>8k510g8j73c2?10c<?9:18'60c=98o0b?;k:698k472290/>8k510g8j73c2110c<?;:18'60c=98o0b?;k:898k474290/>8k510g8j73c2h10c<?=:18'60c=98o0b?;k:c98k477290/>8k510g8j73c2j10c<>i:18'60c=98o0b?;k:e98k46b290/>8k510g8j73c2l10c<>k:18'60c=98o0b?;k:g98k46d290/>8k510g8j73c28:07b??b;29 73b28;n7c<:d;32?>i68h0;6)<:e;32a>h5=m0:>65`11;94?"5=l0:=h5a24f956=<g8:36=4+24g954c<f;?o6<:4;n333?6=,;?n6<?j;o06`?7232e:>;4?:%06a?76m2d99i4>6:9l573=83.99h4>1d9m60b=9>10c<<;:18'60c=98o0b?;k:0:8?j75;3:1(?;j:03f?k42l3;276a>2383>!42m3;:i6`=5e82e>=h9;;1<7*=5d825`=i:<n1=o54o003>5<#:<o1=<k4n37g>4e<3f;:o7>5$37f>47b3g8>h7?k;:m254<72-8>i7?>e:l11a<6m21d==850;&11`<69l1e>8j51g98k426290/>8k51528j73c2910c<=i:18'60c=9=:0b?;k:098k45c290/>8k51528j73c2;10c<=l:18'60c=9=:0b?;k:298k45e290/>8k51528j73c2=10c<=n:18'60c=9=:0b?;k:498k45>290/>8k51528j73c2?10c<=7:18'60c=9=:0b?;k:698k450290/>8k51528j73c2110c<=9:18'60c=9=:0b?;k:898k452290/>8k51528j73c2h10c<=;:18'60c=9=:0b?;k:c98k455290/>8k51528j73c2j10c<=>:18'60c=9=:0b?;k:e98k457290/>8k51528j73c2l10c<<i:18'60c=9=:0b?;k:g98k44b290/>8k51528j73c28:07b?=d;29 73b28>;7c<:d;32?>i6:j0;6)<:e;374>h5=m0:>65`13`94?"5=l0:8=5a24f956=<g88j6=4+24g9516<f;?o6<:4;n31=?6=,;?n6<:?;o06`?7232e:854?:%06a?7382d99i4>6:9l511=83.99h4>419m60b=9>10c<:9:18'60c=9=:0b?;k:0:8?j73=3:1(?;j:063?k42l3;276a>4583>!42m3;?<6`=5e82e>=h9=91<7*=5d8205=i:<n1=o54o061>5<#:<o1=9>4n37g>4e<3f;8i7>5$37f>4273g8>h7?k;:m276<72-8>i7?;0:l11a<6m21d=?650;&11`<6<91e>8j51g98k42b290/>8k515f8j73c2910c<:l:18'60c=9=n0b?;k:098k42e290/>8k515f8j73c2;10c<:n:18'60c=9=n0b?;k:298k434290/>8k51408j73c2910c<;>:18'60c=9<80b?;k:098k437290/>8k51408j73c2;10c<:i:18'60c=9<80b?;k:298ygd1>3:1o?4?:1y'6ac=:kn0D>>6;I0f6>\103ip>;4=7;0:>7g=;;08?7=;:2796a<5m39=6>95}%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j9:374?!7c<380(<j::39'5=d=;2.:4n4<;%3;`?5<,82n6>5+19d97>"619087)?k8;34f>"6l00:;o5+2cg9601<,;hm6?;8;o0g5??<f;n9645+2e:9601<,83:6>5+18097>"5n809j=5+2g096c6<f;l8645a2g69=>"6i;087)?n3;18 4g32:1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43g;9;7>4n06:>5=#:m=1=:l4$3fb>7=#90>1?6*>9480?!7>>390(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-8on7<4i7c94?=n>k0;66g80;29?l162900e<98:188m7b42900e?j;:188m41?2900e?jl:188m7bc2900c;m50;9l2a<722c?m7>5$37f>1?<f;?o6=54i5:94?"5=l0?56`=5e82?>o3?3:1(?;j:5;8j73c2;10e9850;&11`<312d99i4<;:k71?6=,;?n6974n37g>1=<a<91<7*=5d87=>h5=m0>76g:2;29 73b2=30b?;k:798m07=83.99h4;9:l11a<032c><7>5$37f>1?<f;?o6554i5d94?"5=l0?56`=5e8:?>o3m3:1(?;j:5;8j73c2h10e9j50;&11`<312d99i4m;:k7g?6=,;?n6974n37g>f=<a=h1<7*=5d87=>h5=m0o76g;4;29 73b2=30b?;k:d98m0g=83.99h4:9:l11a<732c>47>5$37f>0?<f;?o6<54i4594?"5=l0>56`=5e81?>o2>3:1(?;j:4;8j73c2:10e8;50;&11`<212d99i4;;:k57?6=,;?n6874n37g>0=<a?81<7*=5d86=>h5=m0=76g91;29 73b2<30b?;k:698m36=83.99h4:9:l11a<?32c>j7>5$37f>0?<f;?o6454i4g94?"5=l0>56`=5e8b?>o2l3:1(?;j:4;8j73c2k10e8m50;&11`<212d99i4l;:k6f?6=,;?n6874n37g>a=<a<>1<7*=5d86=>h5=m0n76gm2;29 73b2k;0b?;k:198mg6=83.99h4m1:l11a<632cji7>5$37f>g7<f;?o6?54i`f94?"5=l0i=6`=5e80?>ofk3:1(?;j:c38j73c2=10ell50;&11`<e92d99i4:;:kbe?6=,;?n6o?4n37g>3=<ah31<7*=5d8a5>h5=m0<76gn8;29 73b2k;0b?;k:998md1=83.99h4m1:l11a<>32cj:7>5$37f>g7<f;?o6l54i`794?"5=l0i=6`=5e8a?>of;3:1(?;j:c38j73c2j10el<50;&11`<e92d99i4k;:kb5?6=,;?n6o?4n37g>`=<ah:1<7*=5d8a5>h5=m0m76g6f;29 73b2k;0b?;k:028?l?b290/>8k5b09m60b=9810e4j50;&11`<e92d99i4>2:9j=f<72-8>i7l>;o06`?7432c2n7>5$37f>g7<f;?o6<:4;h;b>5<#:<o1n<5a24f950=<ak31<7*=5d8a5>h5=m0::65fb983>!42m3h:7c<:d;34?>oe?3:1(?;j:c38j73c28207dl9:18'60c=j81e>8j51898mg3=83.99h4m1:l11a<6i21bn94?:%06a?d63g8>h7?m;:ka7?6=,;?n6o?4n37g>4e<3`km6=4+24g9f4=i:<n1=i54i`694?"5=l0i=6`=5e82a>=n100;6)<:e;`2?k42l3;m76gk3;29 73b2m80b?;k:198ma7=83.99h4k2:l11a<632chj7>5$37f>a4<f;?o6?54ibg94?"5=l0o>6`=5e80?>odl3:1(?;j:e08j73c2=10enm50;&11`<c:2d99i4:;:k`f?6=,;?n6i<4n37g>3=<ajk1<7*=5d8g6>h5=m0<76gl9;29 73b2m80b?;k:998mf>=83.99h4k2:l11a<>32ch;7>5$37f>a4<f;?o6l54ib494?"5=l0o>6`=5e8a?>od<3:1(?;j:e08j73c2j10en=50;&11`<c:2d99i4k;:k`6?6=,;?n6i<4n37g>`=<aj;1<7*=5d8g6>h5=m0m76gl0;29 73b2m80b?;k:028?lda290/>8k5d39m60b=9810eok50;&11`<c:2d99i4>2:9jfa<72-8>i7j=;o06`?7432cio7>5$37f>a4<f;?o6<:4;h`a>5<#:<o1h?5a24f950=<amk1<7*=5d8g6>h5=m0::65fd883>!42m3n97c<:d;34?>oc03:1(?;j:e08j73c28207dj8:18'60c=l;1e>8j51898ma0=83.99h4k2:l11a<6i21bh84?:%06a?b53g8>h7?m;:kg0?6=,;?n6i<4n37g>4e<3`n;6=4+24g9`7=i:<n1=i54ib794?"5=l0o>6`=5e82a>=njh0;6)<:e;f1?k42l3;m76g>0183>!42m3lm7c<:d;28?l`b290/>8k5fg9m60b=921bji4?:%06a?`a3g8>h7<4;hd`>5<#:<o1jk5a24f97>=n99?1<7*=5d8241=i:<n1<65f11194?"5=l0:<95a24f95>=n9981<7*=5d8241=i:<n1>65f11394?"5=l0:<95a24f97>=n:lk1<7*=5d81a<=i:<n1<65f2d:94?"5=l09i45a24f95>=n:l=1<7*=5d81a<=i:<n1>65f2d494?"5=l09i45a24f97>=n:ll1<7*=5d81a`=i:<n1<65f2df94?"5=l09ih5a24f95>=n:li1<7*=5d81a`=i:<n1>65f2d`94?"5=l09ih5a24f97>=h98l1<7*=5d825`=i:<n1<65`10f94?"5=l0:=h5a24f95>=h98h1<7*=5d825`=i:<n1>65`10c94?"5=l0:=h5a24f97>=h9831<7*=5d825`=i:<n1865`10:94?"5=l0:=h5a24f91>=h98=1<7*=5d825`=i:<n1:65`10494?"5=l0:=h5a24f93>=h98?1<7*=5d825`=i:<n1465`10694?"5=l0:=h5a24f9=>=h9891<7*=5d825`=i:<n1m65`10094?"5=l0:=h5a24f9f>=h98:1<7*=5d825`=i:<n1o65`11d94?"5=l0:=h5a24f9`>=h99o1<7*=5d825`=i:<n1i65`11f94?"5=l0:=h5a24f9b>=h99i1<7*=5d825`=i:<n1==54o02a>5<#:<o1=<k4n37g>47<3f;;m7>5$37f>47b3g8>h7?=;:m24<<72-8>i7?>e:l11a<6;21d==650;&11`<69l1e>8j51598k460290/>8k510g8j73c28?07b?=6;29 73b28;n7c<:d;35?>i6:<0;6)<:e;32a>h5=m0:;65`13694?"5=l0:=h5a24f95==<g8886=4+24g954c<f;?o6<74;n316?6=,;?n6<?j;o06`?7f32e:><4?:%06a?76m2d99i4>b:9l576=83.99h4>1d9m60b=9j10c<?l:18'60c=98o0b?;k:0f8?j7693:1(?;j:03f?k42l3;n76a>0783>!42m3;:i6`=5e82b>=h9=;1<7*=5d8205=i:<n1<65`12d94?"5=l0:8=5a24f95>=h9:n1<7*=5d8205=i:<n1>65`12a94?"5=l0:8=5a24f97>=h9:h1<7*=5d8205=i:<n1865`12c94?"5=l0:8=5a24f91>=h9:31<7*=5d8205=i:<n1:65`12:94?"5=l0:8=5a24f93>=h9:=1<7*=5d8205=i:<n1465`12494?"5=l0:8=5a24f9=>=h9:?1<7*=5d8205=i:<n1m65`12694?"5=l0:8=5a24f9f>=h9:81<7*=5d8205=i:<n1o65`12394?"5=l0:8=5a24f9`>=h9::1<7*=5d8205=i:<n1i65`13d94?"5=l0:8=5a24f9b>=h9;o1<7*=5d8205=i:<n1==54o00g>5<#:<o1=9>4n37g>47<3f;9o7>5$37f>4273g8>h7?=;:m26g<72-8>i7?;0:l11a<6;21d=?o50;&11`<6<91e>8j51598k44>290/>8k51528j73c28?07b?;8;29 73b28>;7c<:d;35?>i6<>0;6)<:e;374>h5=m0:;65`15494?"5=l0:8=5a24f95==<g8>>6=4+24g9516<f;?o6<74;n370?6=,;?n6<:?;o06`?7f32e:8>4?:%06a?7382d99i4>b:9l514=83.99h4>419m60b=9j10c<=j:18'60c=9=:0b?;k:0f8?j74;3:1(?;j:063?k42l3;n76a>2983>!42m3;?<6`=5e82b>=h9=o1<7*=5d820a=i:<n1<65`15a94?"5=l0:8i5a24f95>=h9=h1<7*=5d820a=i:<n1>65`15c94?"5=l0:8i5a24f97>=h9<91<7*=5d8217=i:<n1<65`14394?"5=l0:9?5a24f95>=h9<:1<7*=5d8217=i:<n1>65`15d94?"5=l0:9?5a24f97>=zjk<<6=4l2;294~"5ll09ni5G31;8L7c53S<36nu=6;04>7?=:h08>7=<:26970<5l38n6>85368~ 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e49601<,8n?6?5+1e796>"60k087)?7c;18 4>c2:1/=5k53:&2<c<43-;2<7=4$0f;>41e3-;o57?8b:&1f`<5=>1/>oh52458j7b6201e>i<59:&1`=<5=>1/=4?53:&2=7<43-8m=7<i0:&1b7<5n91e>k=59:l1b1<>3-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390b<<8:19m51?=82.9h:4>7c9'6ag=:2.:594<;%3:1?5<,83=6>5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;2h7=4$0;f>6=#90l1?6*>a180?!7f9390(?jm:39j2d<722c=n7>5;h53>5<<a>;1<75f16594?=n:m91<75f2e694?=n9>21<75f2ea94?=n:mn1<75`6b83>>i1l3:17d:n:18'60c=<01e>8j50:9j0=<72-8>i7:6;o06`?7<3`><6=4+24g90<=i:<n1>65f4783>!42m3>27c<:d;18?l22290/>8k5489m60b=<21b9>4?:%06a?2>3g8>h7;4;h71>5<#:<o1845a24f92>=n=80;6)<:e;6:?k42l3=07d;?:18'60c=<01e>8j58:9j0c<72-8>i7:6;o06`??<3`>n6=4+24g90<=i:<n1m65f4e83>!42m3>27c<:d;`8?l2d290/>8k5489m60b=k21b8o4?:%06a?2>3g8>h7j4;h67>5<#:<o1845a24f9a>=n=h0;6)<:e;7:?k42l3:07d;7:18'60c==01e>8j51:9j12<72-8>i7;6;o06`?4<3`?=6=4+24g91<=i:<n1?65f5483>!42m3?27c<:d;68?l04290/>8k5589m60b==21b:?4?:%06a?3>3g8>h784;h42>5<#:<o1945a24f93>=n>90;6)<:e;7:?k42l3207d;i:18'60c==01e>8j59:9j1`<72-8>i7;6;o06`?g<3`?o6=4+24g91<=i:<n1n65f5b83>!42m3?27c<:d;a8?l3e290/>8k5589m60b=l21b994?:%06a?3>3g8>h7k4;h`1>5<#:<o1n<5a24f94>=nj90;6)<:e;`2?k42l3;07doj:18'60c=j81e>8j52:9jea<72-8>i7l>;o06`?5<3`kh6=4+24g9f4=i:<n1865fac83>!42m3h:7c<:d;78?lgf290/>8k5b09m60b=>21bm44?:%06a?d63g8>h794;hc;>5<#:<o1n<5a24f9<>=ni>0;6)<:e;`2?k42l3307do9:18'60c=j81e>8j5a:9je0<72-8>i7l>;o06`?d<3`k86=4+24g9f4=i:<n1o65fa383>!42m3h:7c<:d;f8?lg6290/>8k5b09m60b=m21bm=4?:%06a?d63g8>h7h4;h;e>5<#:<o1n<5a24f955=<a0o1<7*=5d8a5>h5=m0:=65f9e83>!42m3h:7c<:d;31?>o>k3:1(?;j:c38j73c28907d7m:18'60c=j81e>8j51598m<g=83.99h4m1:l11a<6=21bn44?:%06a?d63g8>h7?9;:ka<?6=,;?n6o?4n37g>41<3`h<6=4+24g9f4=i:<n1=554ic494?"5=l0i=6`=5e82=>=nj<0;6)<:e;`2?k42l3;j76gm4;29 73b2k;0b?;k:0`8?ld4290/>8k5b09m60b=9j10elh50;&11`<e92d99i4>d:9je1<72-8>i7l>;o06`?7b32c257>5$37f>g7<f;?o6<h4;hf0>5<#:<o1h?5a24f94>=nl80;6)<:e;f1?k42l3;07dmi:18'60c=l;1e>8j52:9jg`<72-8>i7j=;o06`?5<3`io6=4+24g9`7=i:<n1865fcb83>!42m3n97c<:d;78?lee290/>8k5d39m60b=>21bol4?:%06a?b53g8>h794;ha:>5<#:<o1h?5a24f9<>=nk10;6)<:e;f1?k42l3307dm8:18'60c=l;1e>8j5a:9jg3<72-8>i7j=;o06`?d<3`i?6=4+24g9`7=i:<n1o65fc283>!42m3n97c<:d;f8?le5290/>8k5d39m60b=m21bo<4?:%06a?b53g8>h7h4;ha3>5<#:<o1h?5a24f955=<akl1<7*=5d8g6>h5=m0:=65fbd83>!42m3n97c<:d;31?>oel3:1(?;j:e08j73c28907dll:18'60c=l;1e>8j51598mgd=83.99h4k2:l11a<6=21bhl4?:%06a?b53g8>h7?9;:kg=?6=,;?n6i<4n37g>41<3`n36=4+24g9`7=i:<n1=554ie594?"5=l0o>6`=5e82=>=nl?0;6)<:e;f1?k42l3;j76gk5;29 73b2m80b?;k:0`8?lb3290/>8k5d39m60b=9j10ei>50;&11`<c:2d99i4>d:9jg0<72-8>i7j=;o06`?7b32cim7>5$37f>a4<f;?o6<h4;h334?6=,;?n6kh4n37g>5=<aoo1<7*=5d8eb>h5=m0:76gid;29 73b2ol0b?;k:398mce=83.99h4if:l11a<432c:<84?:%06a?77<2d99i4?;:k246<72-8>i7??4:l11a<632c:<?4?:%06a?77<2d99i4=;:k244<72-8>i7??4:l11a<432c9il4?:%06a?4b12d99i4?;:k1a=<72-8>i7<j9:l11a<632c9i:4?:%06a?4b12d99i4=;:k1a3<72-8>i7<j9:l11a<432c9ik4?:%06a?4bm2d99i4?;:k1aa<72-8>i7<je:l11a<632c9in4?:%06a?4bm2d99i4=;:k1ag<72-8>i7<je:l11a<432e:=k4?:%06a?76m2d99i4?;:m25a<72-8>i7?>e:l11a<632e:=o4?:%06a?76m2d99i4=;:m25d<72-8>i7?>e:l11a<432e:=44?:%06a?76m2d99i4;;:m25=<72-8>i7?>e:l11a<232e:=:4?:%06a?76m2d99i49;:m253<72-8>i7?>e:l11a<032e:=84?:%06a?76m2d99i47;:m251<72-8>i7?>e:l11a<>32e:=>4?:%06a?76m2d99i4n;:m257<72-8>i7?>e:l11a<e32e:==4?:%06a?76m2d99i4l;:m24c<72-8>i7?>e:l11a<c32e:<h4?:%06a?76m2d99i4j;:m24a<72-8>i7?>e:l11a<a32e:<n4?:%06a?76m2d99i4>0:9l55d=83.99h4>1d9m60b=9810c<>n:18'60c=98o0b?;k:008?j7713:1(?;j:03f?k42l3;876a>0983>!42m3;:i6`=5e820>=h99=1<7*=5d825`=i:<n1=854o005>5<#:<o1=<k4n37g>40<3f;997>5$37f>47b3g8>h7?8;:m261<72-8>i7?>e:l11a<6021d=?=50;&11`<69l1e>8j51898k445290/>8k510g8j73c28k07b?=1;29 73b28;n7c<:d;3a?>i6:90;6)<:e;32a>h5=m0:o65`10a94?"5=l0:=h5a24f95a=<g8;:6=4+24g954c<f;?o6<k4;n332?6=,;?n6<?j;o06`?7a32e:8<4?:%06a?7382d99i4?;:m27c<72-8>i7?;0:l11a<632e:?i4?:%06a?7382d99i4=;:m27f<72-8>i7?;0:l11a<432e:?o4?:%06a?7382d99i4;;:m27d<72-8>i7?;0:l11a<232e:?44?:%06a?7382d99i49;:m27=<72-8>i7?;0:l11a<032e:?:4?:%06a?7382d99i47;:m273<72-8>i7?;0:l11a<>32e:?84?:%06a?7382d99i4n;:m271<72-8>i7?;0:l11a<e32e:??4?:%06a?7382d99i4l;:m274<72-8>i7?;0:l11a<c32e:?=4?:%06a?7382d99i4j;:m26c<72-8>i7?;0:l11a<a32e:>h4?:%06a?7382d99i4>0:9l57b=83.99h4>419m60b=9810c<<l:18'60c=9=:0b?;k:008?j75j3:1(?;j:063?k42l3;876a>2`83>!42m3;?<6`=5e820>=h9;31<7*=5d8205=i:<n1=854o06;>5<#:<o1=9>4n37g>40<3f;?;7>5$37f>4273g8>h7?8;:m203<72-8>i7?;0:l11a<6021d=9;50;&11`<6<91e>8j51898k423290/>8k51528j73c28k07b?;3;29 73b28>;7c<:d;3a?>i6<;0;6)<:e;374>h5=m0:o65`12g94?"5=l0:8=5a24f95a=<g8986=4+24g9516<f;?o6<k4;n31<?6=,;?n6<:?;o06`?7a32e:8h4?:%06a?73l2d99i4?;:m20f<72-8>i7?;d:l11a<632e:8o4?:%06a?73l2d99i4=;:m20d<72-8>i7?;d:l11a<432e:9>4?:%06a?72:2d99i4?;:m214<72-8>i7?:2:l11a<632e:9=4?:%06a?72:2d99i4=;:m20c<72-8>i7?:2:l11a<432win;650;a1>5<7s-8oi7<md:J04<=O:l80V;65cz05>71=:009m7==:21971<4=38o6?k537803?{#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`3<5=>1/=i:52:&2`0<53-;3n7=4$0:`>6=#91n1?6*>8d80?!7?n390(<7?:29'5a>=9>h0(<j6:05a?!4em38>;6*=bg8112=i:m;156`=d38:?!4c038>;6*>9080?!7>:390(?h>:3d3?!4a:38m<6`=f28:?k4a<330(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5a13594>h6<00;7)<k7;34f>"5lh097)?64;18 4?22:1/=4853:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+2e`96>o1i3:17d8m:188m26=831b;<4?::k232<722c9h>4?::k1`1<722c:;54?::k1`f<722c9hi4?::m5g?6=3f<o6=44i5c94?"5=l0?56`=5e83?>o303:1(?;j:5;8j73c2810e9950;&11`<312d99i4=;:k72?6=,;?n6974n37g>6=<a=?1<7*=5d87=>h5=m0?76g:3;29 73b2=30b?;k:498m04=83.99h4;9:l11a<132c>=7>5$37f>1?<f;?o6:54i4294?"5=l0?56`=5e8;?>o3n3:1(?;j:5;8j73c2010e9k50;&11`<312d99i4n;:k7`?6=,;?n6974n37g>g=<a=i1<7*=5d87=>h5=m0h76g;b;29 73b2=30b?;k:e98m12=83.99h4;9:l11a<b32c>m7>5$37f>0?<f;?o6=54i4:94?"5=l0>56`=5e82?>o2?3:1(?;j:4;8j73c2;10e8850;&11`<212d99i4<;:k61?6=,;?n6874n37g>1=<a?91<7*=5d86=>h5=m0>76g92;29 73b2<30b?;k:798m37=83.99h4:9:l11a<032c=<7>5$37f>0?<f;?o6554i4d94?"5=l0>56`=5e8:?>o2m3:1(?;j:4;8j73c2h10e8j50;&11`<212d99i4m;:k6g?6=,;?n6874n37g>f=<a<h1<7*=5d86=>h5=m0o76g:4;29 73b2<30b?;k:d98mg4=83.99h4m1:l11a<732ci<7>5$37f>g7<f;?o6<54i`g94?"5=l0i=6`=5e81?>ofl3:1(?;j:c38j73c2:10elm50;&11`<e92d99i4;;:kbf?6=,;?n6o?4n37g>0=<ahk1<7*=5d8a5>h5=m0=76gn9;29 73b2k;0b?;k:698md>=83.99h4m1:l11a<?32cj;7>5$37f>g7<f;?o6454i`494?"5=l0i=6`=5e8b?>of=3:1(?;j:c38j73c2k10el=50;&11`<e92d99i4l;:kb6?6=,;?n6o?4n37g>a=<ah;1<7*=5d8a5>h5=m0n76gn0;29 73b2k;0b?;k:g98m<`=83.99h4m1:l11a<6821b5h4?:%06a?d63g8>h7?>;:k:`?6=,;?n6o?4n37g>44<3`3h6=4+24g9f4=i:<n1=>54i8`94?"5=l0i=6`=5e820>=n1h0;6)<:e;`2?k42l3;>76gm9;29 73b2k;0b?;k:048?ld?290/>8k5b09m60b=9>10eo950;&11`<e92d99i4>8:9jf3<72-8>i7l>;o06`?7>32ci97>5$37f>g7<f;?o6<o4;h`7>5<#:<o1n<5a24f95g=<ak91<7*=5d8a5>h5=m0:o65fag83>!42m3h:7c<:d;3g?>of<3:1(?;j:c38j73c28o07d76:18'60c=j81e>8j51g98ma5=83.99h4k2:l11a<732co=7>5$37f>a4<f;?o6<54ibd94?"5=l0o>6`=5e81?>odm3:1(?;j:e08j73c2:10enj50;&11`<c:2d99i4;;:k`g?6=,;?n6i<4n37g>0=<ajh1<7*=5d8g6>h5=m0=76gla;29 73b2m80b?;k:698mf?=83.99h4k2:l11a<?32ch47>5$37f>a4<f;?o6454ib594?"5=l0o>6`=5e8b?>od>3:1(?;j:e08j73c2k10en:50;&11`<c:2d99i4l;:k`7?6=,;?n6i<4n37g>a=<aj81<7*=5d8g6>h5=m0n76gl1;29 73b2m80b?;k:g98mf6=83.99h4k2:l11a<6821bnk4?:%06a?b53g8>h7?>;:kaa?6=,;?n6i<4n37g>44<3`ho6=4+24g9`7=i:<n1=>54ica94?"5=l0o>6`=5e820>=njk0;6)<:e;f1?k42l3;>76gka;29 73b2m80b?;k:048?lb>290/>8k5d39m60b=9>10ei650;&11`<c:2d99i4>8:9j`2<72-8>i7j=;o06`?7>32co:7>5$37f>a4<f;?o6<o4;hf6>5<#:<o1h?5a24f95g=<am>1<7*=5d8g6>h5=m0:o65fd183>!42m3n97c<:d;3g?>od=3:1(?;j:e08j73c28o07dln:18'60c=l;1e>8j51g98m467290/>8k5fg9m60b=821bjh4?:%06a?`a3g8>h7?4;hdg>5<#:<o1jk5a24f96>=nnj0;6)<:e;de?k42l3907d??5;29 73b28:?7c<:d;28?l77;3:1(?;j:027?k42l3;07d??2;29 73b28:?7c<:d;08?l7793:1(?;j:027?k42l3907d<ja;29 73b2;o27c<:d;28?l4b03:1(?;j:3g:?k42l3;07d<j7;29 73b2;o27c<:d;08?l4b>3:1(?;j:3g:?k42l3907d<jf;29 73b2;on7c<:d;28?l4bl3:1(?;j:3gf?k42l3;07d<jc;29 73b2;on7c<:d;08?l4bj3:1(?;j:3gf?k42l3907b?>f;29 73b28;n7c<:d;28?j76l3:1(?;j:03f?k42l3;07b?>b;29 73b28;n7c<:d;08?j76i3:1(?;j:03f?k42l3907b?>9;29 73b28;n7c<:d;68?j7603:1(?;j:03f?k42l3?07b?>7;29 73b28;n7c<:d;48?j76>3:1(?;j:03f?k42l3=07b?>5;29 73b28;n7c<:d;:8?j76<3:1(?;j:03f?k42l3307b?>3;29 73b28;n7c<:d;c8?j76:3:1(?;j:03f?k42l3h07b?>0;29 73b28;n7c<:d;a8?j77n3:1(?;j:03f?k42l3n07b??e;29 73b28;n7c<:d;g8?j77l3:1(?;j:03f?k42l3l07b??c;29 73b28;n7c<:d;33?>i68k0;6)<:e;32a>h5=m0:=65`11c94?"5=l0:=h5a24f957=<g8:26=4+24g954c<f;?o6<=4;n33<?6=,;?n6<?j;o06`?7332e:<:4?:%06a?76m2d99i4>5:9l570=83.99h4>1d9m60b=9?10c<<::18'60c=98o0b?;k:058?j75<3:1(?;j:03f?k42l3;376a>2283>!42m3;:i6`=5e82=>=h9;81<7*=5d825`=i:<n1=l54o002>5<#:<o1=<k4n37g>4d<3f;9<7>5$37f>47b3g8>h7?l;:m25f<72-8>i7?>e:l11a<6l21d=<?50;&11`<69l1e>8j51d98k461290/>8k510g8j73c28l07b?;1;29 73b28>;7c<:d;28?j74n3:1(?;j:063?k42l3;07b?<d;29 73b28>;7c<:d;08?j74k3:1(?;j:063?k42l3907b?<b;29 73b28>;7c<:d;68?j74i3:1(?;j:063?k42l3?07b?<9;29 73b28>;7c<:d;48?j7403:1(?;j:063?k42l3=07b?<7;29 73b28>;7c<:d;:8?j74>3:1(?;j:063?k42l3307b?<5;29 73b28>;7c<:d;c8?j74<3:1(?;j:063?k42l3h07b?<2;29 73b28>;7c<:d;a8?j7493:1(?;j:063?k42l3n07b?<0;29 73b28>;7c<:d;g8?j75n3:1(?;j:063?k42l3l07b?=e;29 73b28>;7c<:d;33?>i6:m0;6)<:e;374>h5=m0:=65`13a94?"5=l0:8=5a24f957=<g88i6=4+24g9516<f;?o6<=4;n31e?6=,;?n6<:?;o06`?7332e:>44?:%06a?7382d99i4>5:9l51>=83.99h4>419m60b=9?10c<:8:18'60c=9=:0b?;k:058?j73>3:1(?;j:063?k42l3;376a>4483>!42m3;?<6`=5e82=>=h9=>1<7*=5d8205=i:<n1=l54o060>5<#:<o1=9>4n37g>4d<3f;?>7>5$37f>4273g8>h7?l;:m27`<72-8>i7?;0:l11a<6l21d=>=50;&11`<6<91e>8j51d98k44?290/>8k51528j73c28l07b?;e;29 73b28>o7c<:d;28?j73k3:1(?;j:06g?k42l3;07b?;b;29 73b28>o7c<:d;08?j73i3:1(?;j:06g?k42l3907b?:3;29 73b28?97c<:d;28?j7293:1(?;j:071?k42l3;07b?:0;29 73b28?97c<:d;08?j73n3:1(?;j:071?k42l3907plm6883>f4=83:p(?jj:3`g?M5712B9i?5U698`\7f70=:>0957<n:20976<4<39>6?j52d802?502t.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c>38>;6*>d581?!7c=380(<6m:29'5=e=;2.:4i4<;%3;a?5<,82m6>5+18297>"6l10:;o5+1e;952d<,;hn6?;8;%0ab?42?2d9h<46;o0g6??<,;n36?;8;%3:5?5<,8396>5+2g396c6<,;l96?h?;o0e7??<f;l?645+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:l262<73g;?57>4$3f4>41e3-8om7<4$0;7>6=#90?1?6*>9780?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&1`g<53`<j6=44i7`94?=n?90;66g81;29?l70?3:17d<k3;29?l4c<3:17d?88;29?l4ck3:17d<kd;29?j0d2900c;j50;9j0d<72-8>i7:6;o06`?6<3`>36=4+24g90<=i:<n1=65f4683>!42m3>27c<:d;08?l21290/>8k5489m60b=;21b884?:%06a?2>3g8>h7:4;h70>5<#:<o1845a24f91>=n=;0;6)<:e;6:?k42l3<07d;>:18'60c=<01e>8j57:9j15<72-8>i7:6;o06`?><3`>m6=4+24g90<=i:<n1565f4d83>!42m3>27c<:d;c8?l2c290/>8k5489m60b=j21b8n4?:%06a?2>3g8>h7m4;h6a>5<#:<o1845a24f9`>=n<=0;6)<:e;6:?k42l3o07d;n:18'60c==01e>8j50:9j1=<72-8>i7;6;o06`?7<3`?<6=4+24g91<=i:<n1>65f5783>!42m3?27c<:d;18?l32290/>8k5589m60b=<21b:>4?:%06a?3>3g8>h7;4;h41>5<#:<o1945a24f92>=n>80;6)<:e;7:?k42l3=07d8?:18'60c==01e>8j58:9j1c<72-8>i7;6;o06`??<3`?n6=4+24g91<=i:<n1m65f5e83>!42m3?27c<:d;`8?l3d290/>8k5589m60b=k21b9o4?:%06a?3>3g8>h7j4;h77>5<#:<o1945a24f9a>=nj;0;6)<:e;`2?k42l3:07dl?:18'60c=j81e>8j51:9je`<72-8>i7l>;o06`?4<3`ko6=4+24g9f4=i:<n1?65fab83>!42m3h:7c<:d;68?lge290/>8k5b09m60b==21bml4?:%06a?d63g8>h784;hc:>5<#:<o1n<5a24f93>=ni10;6)<:e;`2?k42l3207do8:18'60c=j81e>8j59:9je3<72-8>i7l>;o06`?g<3`k>6=4+24g9f4=i:<n1n65fa283>!42m3h:7c<:d;a8?lg5290/>8k5b09m60b=l21bm<4?:%06a?d63g8>h7k4;hc3>5<#:<o1n<5a24f9b>=n1o0;6)<:e;`2?k42l3;;76g6e;29 73b2k;0b?;k:038?l?c290/>8k5b09m60b=9;10e4m50;&11`<e92d99i4>3:9j=g<72-8>i7l>;o06`?7332c2m7>5$37f>g7<f;?o6<;4;h`:>5<#:<o1n<5a24f953=<ak21<7*=5d8a5>h5=m0:;65fb683>!42m3h:7c<:d;3;?>oe>3:1(?;j:c38j73c28307dl::18'60c=j81e>8j51`98mg2=83.99h4m1:l11a<6j21bn>4?:%06a?d63g8>h7?l;:kbb?6=,;?n6o?4n37g>4b<3`k?6=4+24g9f4=i:<n1=h54i8;94?"5=l0i=6`=5e82b>=nl:0;6)<:e;f1?k42l3:07dj>:18'60c=l;1e>8j51:9jgc<72-8>i7j=;o06`?4<3`in6=4+24g9`7=i:<n1?65fce83>!42m3n97c<:d;68?led290/>8k5d39m60b==21boo4?:%06a?b53g8>h784;hab>5<#:<o1h?5a24f93>=nk00;6)<:e;f1?k42l3207dm7:18'60c=l;1e>8j59:9jg2<72-8>i7j=;o06`?g<3`i=6=4+24g9`7=i:<n1n65fc583>!42m3n97c<:d;a8?le4290/>8k5d39m60b=l21bo?4?:%06a?b53g8>h7k4;ha2>5<#:<o1h?5a24f9b>=nk90;6)<:e;f1?k42l3;;76gmf;29 73b2m80b?;k:038?ldb290/>8k5d39m60b=9;10eoj50;&11`<c:2d99i4>3:9jff<72-8>i7j=;o06`?7332cin7>5$37f>a4<f;?o6<;4;hfb>5<#:<o1h?5a24f953=<am31<7*=5d8g6>h5=m0:;65fd983>!42m3n97c<:d;3;?>oc?3:1(?;j:e08j73c28307dj9:18'60c=l;1e>8j51`98ma3=83.99h4k2:l11a<6j21bh94?:%06a?b53g8>h7?l;:kg4?6=,;?n6i<4n37g>4b<3`i>6=4+24g9`7=i:<n1=h54icc94?"5=l0o>6`=5e82b>=n99:1<7*=5d8eb>h5=m0;76gie;29 73b2ol0b?;k:098mcb=83.99h4if:l11a<532cmo7>5$37f>c`<f;?o6>54i026>5<#:<o1==:4n37g>5=<a8:86=4+24g9552<f;?o6<54i021>5<#:<o1==:4n37g>7=<a8::6=4+24g9552<f;?o6>54i3gb>5<#:<o1>h74n37g>5=<a;o36=4+24g96`?<f;?o6<54i3g4>5<#:<o1>h74n37g>7=<a;o=6=4+24g96`?<f;?o6>54i3ge>5<#:<o1>hk4n37g>5=<a;oo6=4+24g96`c<f;?o6<54i3g`>5<#:<o1>hk4n37g>7=<a;oi6=4+24g96`c<f;?o6>54o03e>5<#:<o1=<k4n37g>5=<g8;o6=4+24g954c<f;?o6<54o03a>5<#:<o1=<k4n37g>7=<g8;j6=4+24g954c<f;?o6>54o03:>5<#:<o1=<k4n37g>1=<g8;36=4+24g954c<f;?o6854o034>5<#:<o1=<k4n37g>3=<g8;=6=4+24g954c<f;?o6:54o036>5<#:<o1=<k4n37g>==<g8;?6=4+24g954c<f;?o6454o030>5<#:<o1=<k4n37g>d=<g8;96=4+24g954c<f;?o6o54o033>5<#:<o1=<k4n37g>f=<g8:m6=4+24g954c<f;?o6i54o02f>5<#:<o1=<k4n37g>`=<g8:o6=4+24g954c<f;?o6k54o02`>5<#:<o1=<k4n37g>46<3f;;n7>5$37f>47b3g8>h7?>;:m24d<72-8>i7?>e:l11a<6:21d==750;&11`<69l1e>8j51298k46?290/>8k510g8j73c28>07b??7;29 73b28;n7c<:d;36?>i6:?0;6)<:e;32a>h5=m0::65`13794?"5=l0:=h5a24f952=<g88?6=4+24g954c<f;?o6<64;n317?6=,;?n6<?j;o06`?7>32e:>?4?:%06a?76m2d99i4>a:9l577=83.99h4>1d9m60b=9k10c<<?:18'60c=98o0b?;k:0a8?j76k3:1(?;j:03f?k42l3;o76a>1083>!42m3;:i6`=5e82a>=h99<1<7*=5d825`=i:<n1=k54o062>5<#:<o1=9>4n37g>5=<g89m6=4+24g9516<f;?o6<54o01g>5<#:<o1=9>4n37g>7=<g89h6=4+24g9516<f;?o6>54o01a>5<#:<o1=9>4n37g>1=<g89j6=4+24g9516<f;?o6854o01:>5<#:<o1=9>4n37g>3=<g8936=4+24g9516<f;?o6:54o014>5<#:<o1=9>4n37g>==<g89=6=4+24g9516<f;?o6454o016>5<#:<o1=9>4n37g>d=<g89?6=4+24g9516<f;?o6o54o011>5<#:<o1=9>4n37g>f=<g89:6=4+24g9516<f;?o6i54o013>5<#:<o1=9>4n37g>`=<g88m6=4+24g9516<f;?o6k54o00f>5<#:<o1=9>4n37g>46<3f;9h7>5$37f>4273g8>h7?>;:m26f<72-8>i7?;0:l11a<6:21d=?l50;&11`<6<91e>8j51298k44f290/>8k51528j73c28>07b?=9;29 73b28>;7c<:d;36?>i6<10;6)<:e;374>h5=m0::65`15594?"5=l0:8=5a24f952=<g8>=6=4+24g9516<f;?o6<64;n371?6=,;?n6<:?;o06`?7>32e:894?:%06a?7382d99i4>a:9l515=83.99h4>419m60b=9k10c<:=:18'60c=9=:0b?;k:0a8?j74m3:1(?;j:063?k42l3;o76a>3283>!42m3;?<6`=5e82a>=h9;21<7*=5d8205=i:<n1=k54o06f>5<#:<o1=9j4n37g>5=<g8>h6=4+24g951b<f;?o6<54o06a>5<#:<o1=9j4n37g>7=<g8>j6=4+24g951b<f;?o6>54o070>5<#:<o1=8<4n37g>5=<g8?:6=4+24g9504<f;?o6<54o073>5<#:<o1=8<4n37g>7=<g8>m6=4+24g9504<f;?o6>54}c`5e?6=k;0;6=u+2eg96gb<@::27E<j2:X5<?e|:?09;7<6:3c977<4;39?6>;52e81a?512:=1q)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n=6?;8;%3g0?4<,8n>6?5+19`97>"60j087)?7d;18 4>b2:1/=5h53:&2=5<43-;o47?8b:&2`<<6?k1/>ok52458 7da2;?<7c<k1;;8j7b5201/>i652458 4?62:1/=4<53:&1b4<5n91/>k<52g28j7`4201e>k:59:&2e7<43-;j?7=4$0c7>6=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?k75?3:0b<:6:19'6a1=9>h0(?jn:39'5<2=;2.:584<;%3:2?5<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!4cj380e;o50;9j2g<722c<<7>5;h52>5<<a8=<6=44i3f0>5<<a;n?6=44i05;>5<<a;nh6=44i3fg>5<<g?i1<75`6e83>>o3i3:1(?;j:5;8j73c2910e9650;&11`<312d99i4>;:k73?6=,;?n6974n37g>7=<a=<1<7*=5d87=>h5=m0876g;5;29 73b2=30b?;k:598m05=83.99h4;9:l11a<232c>>7>5$37f>1?<f;?o6;54i4394?"5=l0?56`=5e84?>o283:1(?;j:5;8j73c2110e9h50;&11`<312d99i46;:k7a?6=,;?n6974n37g>d=<a=n1<7*=5d87=>h5=m0i76g;c;29 73b2=30b?;k:b98m1d=83.99h4;9:l11a<c32c?87>5$37f>1?<f;?o6h54i4c94?"5=l0>56`=5e83?>o203:1(?;j:4;8j73c2810e8950;&11`<212d99i4=;:k62?6=,;?n6874n37g>6=<a<?1<7*=5d86=>h5=m0?76g93;29 73b2<30b?;k:498m34=83.99h4:9:l11a<132c==7>5$37f>0?<f;?o6:54i7294?"5=l0>56`=5e8;?>o2n3:1(?;j:4;8j73c2010e8k50;&11`<212d99i4n;:k6`?6=,;?n6874n37g>g=<a<i1<7*=5d86=>h5=m0h76g:b;29 73b2<30b?;k:e98m02=83.99h4:9:l11a<b32ci>7>5$37f>g7<f;?o6=54ic294?"5=l0i=6`=5e82?>ofm3:1(?;j:c38j73c2;10elj50;&11`<e92d99i4<;:kbg?6=,;?n6o?4n37g>1=<ahh1<7*=5d8a5>h5=m0>76gna;29 73b2k;0b?;k:798md?=83.99h4m1:l11a<032cj47>5$37f>g7<f;?o6554i`594?"5=l0i=6`=5e8:?>of>3:1(?;j:c38j73c2h10el;50;&11`<e92d99i4m;:kb7?6=,;?n6o?4n37g>f=<ah81<7*=5d8a5>h5=m0o76gn1;29 73b2k;0b?;k:d98md6=83.99h4m1:l11a<a32c2j7>5$37f>g7<f;?o6<>4;h;f>5<#:<o1n<5a24f954=<a0n1<7*=5d8a5>h5=m0:>65f9b83>!42m3h:7c<:d;30?>o>j3:1(?;j:c38j73c28>07d7n:18'60c=j81e>8j51498mg?=83.99h4m1:l11a<6>21bn54?:%06a?d63g8>h7?8;:ka3?6=,;?n6o?4n37g>4><3`h=6=4+24g9f4=i:<n1=454ic794?"5=l0i=6`=5e82e>=nj=0;6)<:e;`2?k42l3;i76gm3;29 73b2k;0b?;k:0a8?lga290/>8k5b09m60b=9m10el:50;&11`<e92d99i4>e:9j=<<72-8>i7l>;o06`?7a32co?7>5$37f>a4<f;?o6=54ie394?"5=l0o>6`=5e82?>odn3:1(?;j:e08j73c2;10enk50;&11`<c:2d99i4<;:k``?6=,;?n6i<4n37g>1=<aji1<7*=5d8g6>h5=m0>76glb;29 73b2m80b?;k:798mfg=83.99h4k2:l11a<032ch57>5$37f>a4<f;?o6554ib:94?"5=l0o>6`=5e8:?>od?3:1(?;j:e08j73c2h10en850;&11`<c:2d99i4m;:k`0?6=,;?n6i<4n37g>f=<aj91<7*=5d8g6>h5=m0o76gl2;29 73b2m80b?;k:d98mf7=83.99h4k2:l11a<a32ch<7>5$37f>a4<f;?o6<>4;h`e>5<#:<o1h?5a24f954=<ako1<7*=5d8g6>h5=m0:>65fbe83>!42m3n97c<:d;30?>oek3:1(?;j:e08j73c28>07dlm:18'60c=l;1e>8j51498mag=83.99h4k2:l11a<6>21bh44?:%06a?b53g8>h7?8;:kg<?6=,;?n6i<4n37g>4><3`n<6=4+24g9`7=i:<n1=454ie494?"5=l0o>6`=5e82e>=nl<0;6)<:e;f1?k42l3;i76gk4;29 73b2m80b?;k:0a8?lb7290/>8k5d39m60b=9m10en;50;&11`<c:2d99i4>e:9jfd<72-8>i7j=;o06`?7a32c:<=4?:%06a?`a3g8>h7>4;hdf>5<#:<o1jk5a24f95>=nnm0;6)<:e;de?k42l3807dhl:18'60c=no1e>8j53:9j553=83.99h4>059m60b=821b===50;&11`<68=1e>8j51:9j554=83.99h4>059m60b=:21b==?50;&11`<68=1e>8j53:9j6`g=83.99h4=e89m60b=821b>h650;&11`<5m01e>8j51:9j6`1=83.99h4=e89m60b=:21b>h850;&11`<5m01e>8j53:9j6``=83.99h4=ed9m60b=821b>hj50;&11`<5ml1e>8j51:9j6`e=83.99h4=ed9m60b=:21b>hl50;&11`<5ml1e>8j53:9l54`=83.99h4>1d9m60b=821d=<j50;&11`<69l1e>8j51:9l54d=83.99h4>1d9m60b=:21d=<o50;&11`<69l1e>8j53:9l54?=83.99h4>1d9m60b=<21d=<650;&11`<69l1e>8j55:9l541=83.99h4>1d9m60b=>21d=<850;&11`<69l1e>8j57:9l543=83.99h4>1d9m60b=021d=<:50;&11`<69l1e>8j59:9l545=83.99h4>1d9m60b=i21d=<<50;&11`<69l1e>8j5b:9l546=83.99h4>1d9m60b=k21d==h50;&11`<69l1e>8j5d:9l55c=83.99h4>1d9m60b=m21d==j50;&11`<69l1e>8j5f:9l55e=83.99h4>1d9m60b=9910c<>m:18'60c=98o0b?;k:038?j77i3:1(?;j:03f?k42l3;976a>0883>!42m3;:i6`=5e827>=h9921<7*=5d825`=i:<n1=954o024>5<#:<o1=<k4n37g>43<3f;9:7>5$37f>47b3g8>h7?9;:m260<72-8>i7?>e:l11a<6?21d=?:50;&11`<69l1e>8j51998k444290/>8k510g8j73c28307b?=2;29 73b28;n7c<:d;3b?>i6:80;6)<:e;32a>h5=m0:n65`13294?"5=l0:=h5a24f95f=<g8;h6=4+24g954c<f;?o6<j4;n325?6=,;?n6<?j;o06`?7b32e:<;4?:%06a?76m2d99i4>f:9l517=83.99h4>419m60b=821d=>h50;&11`<6<91e>8j51:9l56b=83.99h4>419m60b=:21d=>m50;&11`<6<91e>8j53:9l56d=83.99h4>419m60b=<21d=>o50;&11`<6<91e>8j55:9l56?=83.99h4>419m60b=>21d=>650;&11`<6<91e>8j57:9l561=83.99h4>419m60b=021d=>850;&11`<6<91e>8j59:9l563=83.99h4>419m60b=i21d=>:50;&11`<6<91e>8j5b:9l564=83.99h4>419m60b=k21d=>?50;&11`<6<91e>8j5d:9l566=83.99h4>419m60b=m21d=?h50;&11`<6<91e>8j5f:9l57c=83.99h4>419m60b=9910c<<k:18'60c=9=:0b?;k:038?j75k3:1(?;j:063?k42l3;976a>2c83>!42m3;?<6`=5e827>=h9;k1<7*=5d8205=i:<n1=954o00:>5<#:<o1=9>4n37g>43<3f;?47>5$37f>4273g8>h7?9;:m202<72-8>i7?;0:l11a<6?21d=9850;&11`<6<91e>8j51998k422290/>8k51528j73c28307b?;4;29 73b28>;7c<:d;3b?>i6<:0;6)<:e;374>h5=m0:n65`15094?"5=l0:8=5a24f95f=<g89n6=4+24g9516<f;?o6<j4;n307?6=,;?n6<:?;o06`?7b32e:>54?:%06a?7382d99i4>f:9l51c=83.99h4>4e9m60b=821d=9m50;&11`<6<m1e>8j51:9l51d=83.99h4>4e9m60b=:21d=9o50;&11`<6<m1e>8j53:9l505=83.99h4>539m60b=821d=8?50;&11`<6=;1e>8j51:9l506=83.99h4>539m60b=:21d=9h50;&11`<6=;1e>8j53:9~fg0d290h>7>50z&1``<5jm1C?=74H3g1?_0?2jq9:7<8:3;96d<4:3986>:53481`?4b2:<1?:4r$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i852458 4b32;1/=i;52:&2<g<43-;3o7=4$0:g>6=#91o1?6*>8g80?!7>8390(<j7:05a?!7c13;<n6*=bd8112=#:kl1>894n3f2><=i:m8156*=d98112=#90;1?6*>9380?!4a938m<6*=f381b5=i:o9156`=f58:?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<f88<6=5a15;94>"5l>0:;o5+2ec96>"61=087)?65;18 4?12:1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,;ni6?5f6`83>>o1j3:17d9?:188m27=831b=:950;9j6a5=831b>i:50;9j52>=831b>im50;9j6ab=831d:n4?::m5`?6=3`>j6=4+24g90<=i:<n1<65f4983>!42m3>27c<:d;38?l20290/>8k5489m60b=:21b8;4?:%06a?2>3g8>h7=4;h66>5<#:<o1845a24f90>=n=:0;6)<:e;6:?k42l3?07d;=:18'60c=<01e>8j56:9j14<72-8>i7:6;o06`?1<3`?;6=4+24g90<=i:<n1465f4g83>!42m3>27c<:d;;8?l2b290/>8k5489m60b=i21b8i4?:%06a?2>3g8>h7l4;h6`>5<#:<o1845a24f9g>=n<k0;6)<:e;6:?k42l3n07d:;:18'60c=<01e>8j5e:9j1d<72-8>i7;6;o06`?6<3`?36=4+24g91<=i:<n1=65f5683>!42m3?27c<:d;08?l31290/>8k5589m60b=;21b984?:%06a?3>3g8>h7:4;h40>5<#:<o1945a24f91>=n>;0;6)<:e;7:?k42l3<07d8>:18'60c==01e>8j57:9j25<72-8>i7;6;o06`?><3`?m6=4+24g91<=i:<n1565f5d83>!42m3?27c<:d;c8?l3c290/>8k5589m60b=j21b9n4?:%06a?3>3g8>h7m4;h7a>5<#:<o1945a24f9`>=n==0;6)<:e;7:?k42l3o07dl=:18'60c=j81e>8j50:9jf5<72-8>i7l>;o06`?7<3`kn6=4+24g9f4=i:<n1>65fae83>!42m3h:7c<:d;18?lgd290/>8k5b09m60b=<21bmo4?:%06a?d63g8>h7;4;hcb>5<#:<o1n<5a24f92>=ni00;6)<:e;`2?k42l3=07do7:18'60c=j81e>8j58:9je2<72-8>i7l>;o06`??<3`k=6=4+24g9f4=i:<n1m65fa483>!42m3h:7c<:d;`8?lg4290/>8k5b09m60b=k21bm?4?:%06a?d63g8>h7j4;hc2>5<#:<o1n<5a24f9a>=ni90;6)<:e;`2?k42l3l07d7i:18'60c=j81e>8j51198m<c=83.99h4m1:l11a<6921b5i4?:%06a?d63g8>h7?=;:k:g?6=,;?n6o?4n37g>45<3`3i6=4+24g9f4=i:<n1=954i8c94?"5=l0i=6`=5e821>=nj00;6)<:e;`2?k42l3;=76gm8;29 73b2k;0b?;k:058?ld0290/>8k5b09m60b=9110eo850;&11`<e92d99i4>9:9jf0<72-8>i7l>;o06`?7f32ci87>5$37f>g7<f;?o6<l4;h`0>5<#:<o1n<5a24f95f=<ahl1<7*=5d8a5>h5=m0:h65fa583>!42m3h:7c<:d;3f?>o>13:1(?;j:c38j73c28l07dj<:18'60c=l;1e>8j50:9j`4<72-8>i7j=;o06`?7<3`im6=4+24g9`7=i:<n1>65fcd83>!42m3n97c<:d;18?lec290/>8k5d39m60b=<21bon4?:%06a?b53g8>h7;4;haa>5<#:<o1h?5a24f92>=nkh0;6)<:e;f1?k42l3=07dm6:18'60c=l;1e>8j58:9jg=<72-8>i7j=;o06`??<3`i<6=4+24g9`7=i:<n1m65fc783>!42m3n97c<:d;`8?le3290/>8k5d39m60b=k21bo>4?:%06a?b53g8>h7j4;ha1>5<#:<o1h?5a24f9a>=nk80;6)<:e;f1?k42l3l07dm?:18'60c=l;1e>8j51198mg`=83.99h4k2:l11a<6921bnh4?:%06a?b53g8>h7?=;:ka`?6=,;?n6i<4n37g>45<3`hh6=4+24g9`7=i:<n1=954ic`94?"5=l0o>6`=5e821>=nlh0;6)<:e;f1?k42l3;=76gk9;29 73b2m80b?;k:058?lb?290/>8k5d39m60b=9110ei950;&11`<c:2d99i4>9:9j`3<72-8>i7j=;o06`?7f32co97>5$37f>a4<f;?o6<l4;hf7>5<#:<o1h?5a24f95f=<am:1<7*=5d8g6>h5=m0:h65fc483>!42m3n97c<:d;3f?>oei3:1(?;j:e08j73c28l07d??0;29 73b2ol0b?;k:198mcc=83.99h4if:l11a<632cmh7>5$37f>c`<f;?o6?54iga94?"5=l0mj6`=5e80?>o68<0;6)<:e;330>h5=m0;76g>0283>!42m3;;86`=5e82?>o68;0;6)<:e;330>h5=m0976g>0083>!42m3;;86`=5e80?>o5mh0;6)<:e;0f=>h5=m0;76g=e983>!42m38n56`=5e82?>o5m>0;6)<:e;0f=>h5=m0976g=e783>!42m38n56`=5e80?>o5mo0;6)<:e;0fa>h5=m0;76g=ee83>!42m38ni6`=5e82?>o5mj0;6)<:e;0fa>h5=m0976g=ec83>!42m38ni6`=5e80?>i69o0;6)<:e;32a>h5=m0;76a>1e83>!42m3;:i6`=5e82?>i69k0;6)<:e;32a>h5=m0976a>1`83>!42m3;:i6`=5e80?>i6900;6)<:e;32a>h5=m0?76a>1983>!42m3;:i6`=5e86?>i69>0;6)<:e;32a>h5=m0=76a>1783>!42m3;:i6`=5e84?>i69<0;6)<:e;32a>h5=m0376a>1583>!42m3;:i6`=5e8:?>i69:0;6)<:e;32a>h5=m0j76a>1383>!42m3;:i6`=5e8a?>i6990;6)<:e;32a>h5=m0h76a>0g83>!42m3;:i6`=5e8g?>i68l0;6)<:e;32a>h5=m0n76a>0e83>!42m3;:i6`=5e8e?>i68j0;6)<:e;32a>h5=m0:<65`11`94?"5=l0:=h5a24f954=<g8:j6=4+24g954c<f;?o6<<4;n33=?6=,;?n6<?j;o06`?7432e:<54?:%06a?76m2d99i4>4:9l551=83.99h4>1d9m60b=9<10c<<9:18'60c=98o0b?;k:048?j75=3:1(?;j:03f?k42l3;<76a>2583>!42m3;:i6`=5e82<>=h9;91<7*=5d825`=i:<n1=454o001>5<#:<o1=<k4n37g>4g<3f;9=7>5$37f>47b3g8>h7?m;:m265<72-8>i7?>e:l11a<6k21d=<m50;&11`<69l1e>8j51e98k476290/>8k510g8j73c28o07b??6;29 73b28;n7c<:d;3e?>i6<80;6)<:e;374>h5=m0;76a>3g83>!42m3;?<6`=5e82?>i6;m0;6)<:e;374>h5=m0976a>3b83>!42m3;?<6`=5e80?>i6;k0;6)<:e;374>h5=m0?76a>3`83>!42m3;?<6`=5e86?>i6;00;6)<:e;374>h5=m0=76a>3983>!42m3;?<6`=5e84?>i6;>0;6)<:e;374>h5=m0376a>3783>!42m3;?<6`=5e8:?>i6;<0;6)<:e;374>h5=m0j76a>3583>!42m3;?<6`=5e8a?>i6;;0;6)<:e;374>h5=m0h76a>3083>!42m3;?<6`=5e8g?>i6;90;6)<:e;374>h5=m0n76a>2g83>!42m3;?<6`=5e8e?>i6:l0;6)<:e;374>h5=m0:<65`13f94?"5=l0:8=5a24f954=<g88h6=4+24g9516<f;?o6<<4;n31f?6=,;?n6<:?;o06`?7432e:>l4?:%06a?7382d99i4>4:9l57?=83.99h4>419m60b=9<10c<:7:18'60c=9=:0b?;k:048?j73?3:1(?;j:063?k42l3;<76a>4783>!42m3;?<6`=5e82<>=h9=?1<7*=5d8205=i:<n1=454o067>5<#:<o1=9>4n37g>4g<3f;??7>5$37f>4273g8>h7?m;:m207<72-8>i7?;0:l11a<6k21d=>k50;&11`<6<91e>8j51e98k454290/>8k51528j73c28o07b?=8;29 73b28>;7c<:d;3e?>i6<l0;6)<:e;37`>h5=m0;76a>4b83>!42m3;?h6`=5e82?>i6<k0;6)<:e;37`>h5=m0976a>4`83>!42m3;?h6`=5e80?>i6=:0;6)<:e;366>h5=m0;76a>5083>!42m3;>>6`=5e82?>i6=90;6)<:e;366>h5=m0976a>4g83>!42m3;>>6`=5e80?>{ej?n1<7m=:183\7f!4cm38ih6F<089K6`4<R?21ov<9:3596<<5i3996>=535801?4c2;o1?;4<7;\7f'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d78112=#9m>1>6*>d481?!7?j390(<6l:29'5=b=;2.:4h4<;%3;b?5<,83;6>5+1e:952d<,8n26<9m;%0aa?42?2.9nk4=569m6a7=12d9h?46;%0g<?42?2.:5<4<;%3:6?5<,;l:6?h?;%0e6?4a82d9j>46;o0e0??<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1e=?950:l20<<73-8o;7?8b:&1`d<53-;287=4$0;6>6=#90<1?6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,83o6>5+18g97>"61o087)?n0;18 4g62:1/>il52:k5e?6=3`<i6=44i6294?=n?80;66g>7683>>o5l:0;66g=d583>>o6?10;66g=db83>>o5lm0;66a9c;29?j0c2900e9o50;&11`<312d99i4?;:k7<?6=,;?n6974n37g>4=<a==1<7*=5d87=>h5=m0976g;6;29 73b2=30b?;k:298m13=83.99h4;9:l11a<332c>?7>5$37f>1?<f;?o6854i4094?"5=l0?56`=5e85?>o293:1(?;j:5;8j73c2>10e8>50;&11`<312d99i47;:k7b?6=,;?n6974n37g><=<a=o1<7*=5d87=>h5=m0j76g;d;29 73b2=30b?;k:c98m1e=83.99h4;9:l11a<d32c?n7>5$37f>1?<f;?o6i54i5694?"5=l0?56`=5e8f?>o2i3:1(?;j:4;8j73c2910e8650;&11`<212d99i4>;:k63?6=,;?n6874n37g>7=<a<<1<7*=5d86=>h5=m0876g:5;29 73b2<30b?;k:598m35=83.99h4:9:l11a<232c=>7>5$37f>0?<f;?o6;54i7394?"5=l0>56`=5e84?>o183:1(?;j:4;8j73c2110e8h50;&11`<212d99i46;:k6a?6=,;?n6874n37g>d=<a<n1<7*=5d86=>h5=m0i76g:c;29 73b2<30b?;k:b98m0d=83.99h4:9:l11a<c32c>87>5$37f>0?<f;?o6h54ic094?"5=l0i=6`=5e83?>oe83:1(?;j:c38j73c2810elk50;&11`<e92d99i4=;:kb`?6=,;?n6o?4n37g>6=<ahi1<7*=5d8a5>h5=m0?76gnb;29 73b2k;0b?;k:498mdg=83.99h4m1:l11a<132cj57>5$37f>g7<f;?o6:54i`:94?"5=l0i=6`=5e8;?>of?3:1(?;j:c38j73c2010el850;&11`<e92d99i4n;:kb1?6=,;?n6o?4n37g>g=<ah91<7*=5d8a5>h5=m0h76gn2;29 73b2k;0b?;k:e98md7=83.99h4m1:l11a<b32cj<7>5$37f>g7<f;?o6k54i8d94?"5=l0i=6`=5e824>=n1l0;6)<:e;`2?k42l3;:76g6d;29 73b2k;0b?;k:008?l?d290/>8k5b09m60b=9:10e4l50;&11`<e92d99i4>4:9j=d<72-8>i7l>;o06`?7232ci57>5$37f>g7<f;?o6<84;h`;>5<#:<o1n<5a24f952=<ak=1<7*=5d8a5>h5=m0:465fb783>!42m3h:7c<:d;3:?>oe=3:1(?;j:c38j73c28k07dl;:18'60c=j81e>8j51c98mg5=83.99h4m1:l11a<6k21bmk4?:%06a?d63g8>h7?k;:kb0?6=,;?n6o?4n37g>4c<3`326=4+24g9f4=i:<n1=k54ie194?"5=l0o>6`=5e83?>oc93:1(?;j:e08j73c2810enh50;&11`<c:2d99i4=;:k`a?6=,;?n6i<4n37g>6=<ajn1<7*=5d8g6>h5=m0?76glc;29 73b2m80b?;k:498mfd=83.99h4k2:l11a<132chm7>5$37f>a4<f;?o6:54ib;94?"5=l0o>6`=5e8;?>od03:1(?;j:e08j73c2010en950;&11`<c:2d99i4n;:k`2?6=,;?n6i<4n37g>g=<aj>1<7*=5d8g6>h5=m0h76gl3;29 73b2m80b?;k:e98mf4=83.99h4k2:l11a<b32ch=7>5$37f>a4<f;?o6k54ib294?"5=l0o>6`=5e824>=njo0;6)<:e;f1?k42l3;:76gme;29 73b2m80b?;k:008?ldc290/>8k5d39m60b=9:10eom50;&11`<c:2d99i4>4:9jfg<72-8>i7j=;o06`?7232com7>5$37f>a4<f;?o6<84;hf:>5<#:<o1h?5a24f952=<am21<7*=5d8g6>h5=m0:465fd683>!42m3n97c<:d;3:?>oc>3:1(?;j:e08j73c28k07dj::18'60c=l;1e>8j51c98ma2=83.99h4k2:l11a<6k21bh=4?:%06a?b53g8>h7?k;:k`1?6=,;?n6i<4n37g>4c<3`hj6=4+24g9`7=i:<n1=k54i023>5<#:<o1jk5a24f94>=nnl0;6)<:e;de?k42l3;07dhk:18'60c=no1e>8j52:9jbf<72-8>i7hi;o06`?5<3`;;97>5$37f>4633g8>h7>4;h337?6=,;?n6<>;;o06`?7<3`;;>7>5$37f>4633g8>h7<4;h335?6=,;?n6<>;;o06`?5<3`8nm7>5$37f>7c>3g8>h7>4;h0f<?6=,;?n6?k6;o06`?7<3`8n;7>5$37f>7c>3g8>h7<4;h0f2?6=,;?n6?k6;o06`?5<3`8nj7>5$37f>7cb3g8>h7>4;h0f`?6=,;?n6?kj;o06`?7<3`8no7>5$37f>7cb3g8>h7<4;h0ff?6=,;?n6?kj;o06`?5<3f;:j7>5$37f>47b3g8>h7>4;n32`?6=,;?n6<?j;o06`?7<3f;:n7>5$37f>47b3g8>h7<4;n32e?6=,;?n6<?j;o06`?5<3f;:57>5$37f>47b3g8>h7:4;n32<?6=,;?n6<?j;o06`?3<3f;:;7>5$37f>47b3g8>h784;n322?6=,;?n6<?j;o06`?1<3f;:97>5$37f>47b3g8>h764;n320?6=,;?n6<?j;o06`??<3f;:?7>5$37f>47b3g8>h7o4;n326?6=,;?n6<?j;o06`?d<3f;:<7>5$37f>47b3g8>h7m4;n33b?6=,;?n6<?j;o06`?b<3f;;i7>5$37f>47b3g8>h7k4;n33`?6=,;?n6<?j;o06`?`<3f;;o7>5$37f>47b3g8>h7??;:m24g<72-8>i7?>e:l11a<6921d==o50;&11`<69l1e>8j51398k46>290/>8k510g8j73c28907b??8;29 73b28;n7c<:d;37?>i68>0;6)<:e;32a>h5=m0:965`13494?"5=l0:=h5a24f953=<g88>6=4+24g954c<f;?o6<94;n310?6=,;?n6<?j;o06`?7?32e:>>4?:%06a?76m2d99i4>9:9l574=83.99h4>1d9m60b=9h10c<<>:18'60c=98o0b?;k:0`8?j7583:1(?;j:03f?k42l3;h76a>1b83>!42m3;:i6`=5e82`>=h98;1<7*=5d825`=i:<n1=h54o025>5<#:<o1=<k4n37g>4`<3f;?=7>5$37f>4273g8>h7>4;n30b?6=,;?n6<:?;o06`?7<3f;8h7>5$37f>4273g8>h7<4;n30g?6=,;?n6<:?;o06`?5<3f;8n7>5$37f>4273g8>h7:4;n30e?6=,;?n6<:?;o06`?3<3f;857>5$37f>4273g8>h784;n30<?6=,;?n6<:?;o06`?1<3f;8;7>5$37f>4273g8>h764;n302?6=,;?n6<:?;o06`??<3f;897>5$37f>4273g8>h7o4;n300?6=,;?n6<:?;o06`?d<3f;8>7>5$37f>4273g8>h7m4;n305?6=,;?n6<:?;o06`?b<3f;8<7>5$37f>4273g8>h7k4;n31b?6=,;?n6<:?;o06`?`<3f;9i7>5$37f>4273g8>h7??;:m26a<72-8>i7?;0:l11a<6921d=?m50;&11`<6<91e>8j51398k44e290/>8k51528j73c28907b?=a;29 73b28>;7c<:d;37?>i6:00;6)<:e;374>h5=m0:965`15:94?"5=l0:8=5a24f953=<g8><6=4+24g9516<f;?o6<94;n372?6=,;?n6<:?;o06`?7?32e:884?:%06a?7382d99i4>9:9l512=83.99h4>419m60b=9h10c<:<:18'60c=9=:0b?;k:0`8?j73:3:1(?;j:063?k42l3;h76a>3d83>!42m3;?<6`=5e82`>=h9:91<7*=5d8205=i:<n1=h54o00;>5<#:<o1=9>4n37g>4`<3f;?i7>5$37f>42c3g8>h7>4;n37g?6=,;?n6<:k;o06`?7<3f;?n7>5$37f>42c3g8>h7<4;n37e?6=,;?n6<:k;o06`?5<3f;>?7>5$37f>4353g8>h7>4;n365?6=,;?n6<;=;o06`?7<3f;><7>5$37f>4353g8>h7<4;n37b?6=,;?n6<;=;o06`?5<3thi:h4?:b094?6|,;nn6?lk;I13=>N5m;1Q:54l{34962<5138j6><532800?522;n1>h4<6;14>x"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g2?42?2.:h94=;%3g1?4<,82i6>5+19a97>"60m087)?7e;18 4>a2:1/=4>53:&2`=<6?k1/=i7516`8 7db2;?<7)<mf;063>h5l8027c<k2;;8 7b?2;?<7)?61;18 4?52:1/>k?52g28 7`52;l;7c<i3;;8j7`3201/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6`>2683?k7313:0(?j8:05a?!4ci380(<7;:29'5<3=;2.:5;4<;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*=dc81?l0f2900e;l50;9j35<722c<=7>5;h343?6=3`8o?7>5;h0g0?6=3`;<47>5;h0gg?6=3`8oh7>5;n4`>5<<g?n1<75f4`83>!42m3>27c<:d;28?l2?290/>8k5489m60b=921b8:4?:%06a?2>3g8>h7<4;h65>5<#:<o1845a24f97>=n<<0;6)<:e;6:?k42l3>07d;<:18'60c=<01e>8j55:9j17<72-8>i7:6;o06`?0<3`?:6=4+24g90<=i:<n1;65f5183>!42m3>27c<:d;:8?l2a290/>8k5489m60b=121b8h4?:%06a?2>3g8>h7o4;h6g>5<#:<o1845a24f9f>=n<j0;6)<:e;6:?k42l3i07d:m:18'60c=<01e>8j5d:9j01<72-8>i7:6;o06`?c<3`?j6=4+24g91<=i:<n1<65f5983>!42m3?27c<:d;38?l30290/>8k5589m60b=:21b9;4?:%06a?3>3g8>h7=4;h76>5<#:<o1945a24f90>=n>:0;6)<:e;7:?k42l3?07d8=:18'60c==01e>8j56:9j24<72-8>i7;6;o06`?1<3`<;6=4+24g91<=i:<n1465f5g83>!42m3?27c<:d;;8?l3b290/>8k5589m60b=i21b9i4?:%06a?3>3g8>h7l4;h7`>5<#:<o1945a24f9g>=n=k0;6)<:e;7:?k42l3n07d;;:18'60c==01e>8j5e:9jf7<72-8>i7l>;o06`?6<3`h;6=4+24g9f4=i:<n1=65fad83>!42m3h:7c<:d;08?lgc290/>8k5b09m60b=;21bmn4?:%06a?d63g8>h7:4;hca>5<#:<o1n<5a24f91>=nih0;6)<:e;`2?k42l3<07do6:18'60c=j81e>8j57:9je=<72-8>i7l>;o06`?><3`k<6=4+24g9f4=i:<n1565fa783>!42m3h:7c<:d;c8?lg2290/>8k5b09m60b=j21bm>4?:%06a?d63g8>h7m4;hc1>5<#:<o1n<5a24f9`>=ni80;6)<:e;`2?k42l3o07do?:18'60c=j81e>8j5f:9j=c<72-8>i7l>;o06`?7732c2i7>5$37f>g7<f;?o6<?4;h;g>5<#:<o1n<5a24f957=<a0i1<7*=5d8a5>h5=m0:?65f9c83>!42m3h:7c<:d;37?>o>i3:1(?;j:c38j73c28?07dl6:18'60c=j81e>8j51798mg>=83.99h4m1:l11a<6?21bn:4?:%06a?d63g8>h7?7;:ka2?6=,;?n6o?4n37g>4?<3`h>6=4+24g9f4=i:<n1=l54ic694?"5=l0i=6`=5e82f>=nj:0;6)<:e;`2?k42l3;h76gnf;29 73b2k;0b?;k:0f8?lg3290/>8k5b09m60b=9l10e4750;&11`<e92d99i4>f:9j`6<72-8>i7j=;o06`?6<3`n:6=4+24g9`7=i:<n1=65fcg83>!42m3n97c<:d;08?leb290/>8k5d39m60b=;21boi4?:%06a?b53g8>h7:4;ha`>5<#:<o1h?5a24f91>=nkk0;6)<:e;f1?k42l3<07dmn:18'60c=l;1e>8j57:9jg<<72-8>i7j=;o06`?><3`i36=4+24g9`7=i:<n1565fc683>!42m3n97c<:d;c8?le1290/>8k5d39m60b=j21bo94?:%06a?b53g8>h7m4;ha0>5<#:<o1h?5a24f9`>=nk;0;6)<:e;f1?k42l3o07dm>:18'60c=l;1e>8j5f:9jg5<72-8>i7j=;o06`?7732cij7>5$37f>a4<f;?o6<?4;h`f>5<#:<o1h?5a24f957=<akn1<7*=5d8g6>h5=m0:?65fbb83>!42m3n97c<:d;37?>oej3:1(?;j:e08j73c28?07djn:18'60c=l;1e>8j51798ma?=83.99h4k2:l11a<6?21bh54?:%06a?b53g8>h7?7;:kg3?6=,;?n6i<4n37g>4?<3`n=6=4+24g9`7=i:<n1=l54ie794?"5=l0o>6`=5e82f>=nl=0;6)<:e;f1?k42l3;h76gk0;29 73b2m80b?;k:0f8?le2290/>8k5d39m60b=9l10eoo50;&11`<c:2d99i4>f:9j556=83.99h4if:l11a<732cmi7>5$37f>c`<f;?o6<54igf94?"5=l0mj6`=5e81?>oak3:1(?;j:gd8j73c2:10e<>::18'60c=99>0b?;k:198m464290/>8k51168j73c2810e<>=:18'60c=99>0b?;k:398m466290/>8k51168j73c2:10e?kn:18'60c=:l30b?;k:198m7c?290/>8k52d;8j73c2810e?k8:18'60c=:l30b?;k:398m7c1290/>8k52d;8j73c2:10e?ki:18'60c=:lo0b?;k:198m7cc290/>8k52dg8j73c2810e?kl:18'60c=:lo0b?;k:398m7ce290/>8k52dg8j73c2:10c<?i:18'60c=98o0b?;k:198k47c290/>8k510g8j73c2810c<?m:18'60c=98o0b?;k:398k47f290/>8k510g8j73c2:10c<?6:18'60c=98o0b?;k:598k47?290/>8k510g8j73c2<10c<?8:18'60c=98o0b?;k:798k471290/>8k510g8j73c2>10c<?::18'60c=98o0b?;k:998k473290/>8k510g8j73c2010c<?<:18'60c=98o0b?;k:`98k475290/>8k510g8j73c2k10c<??:18'60c=98o0b?;k:b98k46a290/>8k510g8j73c2m10c<>j:18'60c=98o0b?;k:d98k46c290/>8k510g8j73c2o10c<>l:18'60c=98o0b?;k:028?j77j3:1(?;j:03f?k42l3;:76a>0`83>!42m3;:i6`=5e826>=h9931<7*=5d825`=i:<n1=>54o02;>5<#:<o1=<k4n37g>42<3f;;;7>5$37f>47b3g8>h7?:;:m263<72-8>i7?>e:l11a<6>21d=?;50;&11`<69l1e>8j51698k443290/>8k510g8j73c28207b?=3;29 73b28;n7c<:d;3:?>i6:;0;6)<:e;32a>h5=m0:m65`13394?"5=l0:=h5a24f95g=<g88;6=4+24g954c<f;?o6<m4;n32g?6=,;?n6<?j;o06`?7c32e:=<4?:%06a?76m2d99i4>e:9l550=83.99h4>1d9m60b=9o10c<:>:18'60c=9=:0b?;k:198k45a290/>8k51528j73c2810c<=k:18'60c=9=:0b?;k:398k45d290/>8k51528j73c2:10c<=m:18'60c=9=:0b?;k:598k45f290/>8k51528j73c2<10c<=6:18'60c=9=:0b?;k:798k45?290/>8k51528j73c2>10c<=8:18'60c=9=:0b?;k:998k451290/>8k51528j73c2010c<=::18'60c=9=:0b?;k:`98k453290/>8k51528j73c2k10c<==:18'60c=9=:0b?;k:b98k456290/>8k51528j73c2m10c<=?:18'60c=9=:0b?;k:d98k44a290/>8k51528j73c2o10c<<j:18'60c=9=:0b?;k:028?j75l3:1(?;j:063?k42l3;:76a>2b83>!42m3;?<6`=5e826>=h9;h1<7*=5d8205=i:<n1=>54o00b>5<#:<o1=9>4n37g>42<3f;957>5$37f>4273g8>h7?:;:m20=<72-8>i7?;0:l11a<6>21d=9950;&11`<6<91e>8j51698k421290/>8k51528j73c28207b?;5;29 73b28>;7c<:d;3:?>i6<=0;6)<:e;374>h5=m0:m65`15194?"5=l0:8=5a24f95g=<g8>96=4+24g9516<f;?o6<m4;n30a?6=,;?n6<:?;o06`?7c32e:?>4?:%06a?7382d99i4>e:9l57>=83.99h4>419m60b=9o10c<:j:18'60c=9=n0b?;k:198k42d290/>8k515f8j73c2810c<:m:18'60c=9=n0b?;k:398k42f290/>8k515f8j73c2:10c<;<:18'60c=9<80b?;k:198k436290/>8k51408j73c2810c<;?:18'60c=9<80b?;k:398k42a290/>8k51408j73c2:10qol9f;29g7<729q/>ik52cf8L66>3A8n>6T98;ax63<5?3826?o533807?532:?1>i4=e;15>61=u-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b12;?<7)?k4;08 4b22;1/=5l53:&2<f<43-;3h7=4$0:f>6=#91l1?6*>9180?!7c03;<n6*>d8823g=#:ko1>894$3`e>7303g8o=774n3f1><=#:m21>894$0;2>6=#9081?6*=f081b5=#:o81>k>4n3d0><=i:o>156*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;o313?6<f8>26=5+2e5952d<,;nj6?5+18697>"61<087)?66;18 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%0gf?4<a?k1<75f6c83>>o083:17d9>:188m4102900e?j<:188m7b32900e<97:188m7bd2900e?jk:188k3e=831d:i4?::k7e?6=,;?n6974n37g>5=<a=21<7*=5d87=>h5=m0:76g;7;29 73b2=30b?;k:398m10=83.99h4;9:l11a<432c?97>5$37f>1?<f;?o6954i4194?"5=l0?56`=5e86?>o2:3:1(?;j:5;8j73c2?10e8?50;&11`<312d99i48;:k64?6=,;?n6974n37g>==<a=l1<7*=5d87=>h5=m0276g;e;29 73b2=30b?;k:`98m1b=83.99h4;9:l11a<e32c?o7>5$37f>1?<f;?o6n54i5`94?"5=l0?56`=5e8g?>o3<3:1(?;j:5;8j73c2l10e8o50;&11`<212d99i4?;:k6<?6=,;?n6874n37g>4=<a<=1<7*=5d86=>h5=m0976g:6;29 73b2<30b?;k:298m03=83.99h4:9:l11a<332c=?7>5$37f>0?<f;?o6854i7094?"5=l0>56`=5e85?>o193:1(?;j:4;8j73c2>10e;>50;&11`<212d99i47;:k6b?6=,;?n6874n37g><=<a<o1<7*=5d86=>h5=m0j76g:d;29 73b2<30b?;k:c98m0e=83.99h4:9:l11a<d32c>n7>5$37f>0?<f;?o6i54i4694?"5=l0>56`=5e8f?>oe:3:1(?;j:c38j73c2910eo>50;&11`<e92d99i4>;:kba?6=,;?n6o?4n37g>7=<ahn1<7*=5d8a5>h5=m0876gnc;29 73b2k;0b?;k:598mdd=83.99h4m1:l11a<232cjm7>5$37f>g7<f;?o6;54i`;94?"5=l0i=6`=5e84?>of03:1(?;j:c38j73c2110el950;&11`<e92d99i46;:kb2?6=,;?n6o?4n37g>d=<ah?1<7*=5d8a5>h5=m0i76gn3;29 73b2k;0b?;k:b98md4=83.99h4m1:l11a<c32cj=7>5$37f>g7<f;?o6h54i`294?"5=l0i=6`=5e8e?>o>n3:1(?;j:c38j73c28:07d7j:18'60c=j81e>8j51098m<b=83.99h4m1:l11a<6:21b5n4?:%06a?d63g8>h7?<;:k:f?6=,;?n6o?4n37g>42<3`3j6=4+24g9f4=i:<n1=854ic;94?"5=l0i=6`=5e822>=nj10;6)<:e;`2?k42l3;<76gm7;29 73b2k;0b?;k:0:8?ld1290/>8k5b09m60b=9010eo;50;&11`<e92d99i4>a:9jf1<72-8>i7l>;o06`?7e32ci?7>5$37f>g7<f;?o6<m4;hce>5<#:<o1n<5a24f95a=<ah>1<7*=5d8a5>h5=m0:i65f9883>!42m3h:7c<:d;3e?>oc;3:1(?;j:e08j73c2910ei?50;&11`<c:2d99i4>;:k`b?6=,;?n6i<4n37g>7=<ajo1<7*=5d8g6>h5=m0876gld;29 73b2m80b?;k:598mfe=83.99h4k2:l11a<232chn7>5$37f>a4<f;?o6;54ibc94?"5=l0o>6`=5e84?>od13:1(?;j:e08j73c2110en650;&11`<c:2d99i46;:k`3?6=,;?n6i<4n37g>d=<aj<1<7*=5d8g6>h5=m0i76gl4;29 73b2m80b?;k:b98mf5=83.99h4k2:l11a<c32ch>7>5$37f>a4<f;?o6h54ib394?"5=l0o>6`=5e8e?>od83:1(?;j:e08j73c28:07dli:18'60c=l;1e>8j51098mgc=83.99h4k2:l11a<6:21bni4?:%06a?b53g8>h7?<;:kag?6=,;?n6i<4n37g>42<3`hi6=4+24g9`7=i:<n1=854iec94?"5=l0o>6`=5e822>=nl00;6)<:e;f1?k42l3;<76gk8;29 73b2m80b?;k:0:8?lb0290/>8k5d39m60b=9010ei850;&11`<c:2d99i4>a:9j`0<72-8>i7j=;o06`?7e32co87>5$37f>a4<f;?o6<m4;hf3>5<#:<o1h?5a24f95a=<aj?1<7*=5d8g6>h5=m0:i65fb`83>!42m3n97c<:d;3e?>o6890;6)<:e;de?k42l3:07dhj:18'60c=no1e>8j51:9jba<72-8>i7hi;o06`?4<3`lh6=4+24g9bc=i:<n1?65f11794?"5=l0:<95a24f94>=n9991<7*=5d8241=i:<n1=65f11094?"5=l0:<95a24f96>=n99;1<7*=5d8241=i:<n1?65f2dc94?"5=l09i45a24f94>=n:l21<7*=5d81a<=i:<n1=65f2d594?"5=l09i45a24f96>=n:l<1<7*=5d81a<=i:<n1?65f2dd94?"5=l09ih5a24f94>=n:ln1<7*=5d81a`=i:<n1=65f2da94?"5=l09ih5a24f96>=n:lh1<7*=5d81a`=i:<n1?65`10d94?"5=l0:=h5a24f94>=h98n1<7*=5d825`=i:<n1=65`10`94?"5=l0:=h5a24f96>=h98k1<7*=5d825`=i:<n1?65`10;94?"5=l0:=h5a24f90>=h9821<7*=5d825`=i:<n1965`10594?"5=l0:=h5a24f92>=h98<1<7*=5d825`=i:<n1;65`10794?"5=l0:=h5a24f9<>=h98>1<7*=5d825`=i:<n1565`10194?"5=l0:=h5a24f9e>=h9881<7*=5d825`=i:<n1n65`10294?"5=l0:=h5a24f9g>=h99l1<7*=5d825`=i:<n1h65`11g94?"5=l0:=h5a24f9a>=h99n1<7*=5d825`=i:<n1j65`11a94?"5=l0:=h5a24f955=<g8:i6=4+24g954c<f;?o6<?4;n33e?6=,;?n6<?j;o06`?7532e:<44?:%06a?76m2d99i4>3:9l55>=83.99h4>1d9m60b=9=10c<>8:18'60c=98o0b?;k:078?j75>3:1(?;j:03f?k42l3;=76a>2483>!42m3;:i6`=5e823>=h9;>1<7*=5d825`=i:<n1=554o000>5<#:<o1=<k4n37g>4?<3f;9>7>5$37f>47b3g8>h7?n;:m264<72-8>i7?>e:l11a<6j21d=?>50;&11`<69l1e>8j51b98k47d290/>8k510g8j73c28n07b?>1;29 73b28;n7c<:d;3f?>i68?0;6)<:e;32a>h5=m0:j65`15394?"5=l0:8=5a24f94>=h9:l1<7*=5d8205=i:<n1=65`12f94?"5=l0:8=5a24f96>=h9:i1<7*=5d8205=i:<n1?65`12`94?"5=l0:8=5a24f90>=h9:k1<7*=5d8205=i:<n1965`12;94?"5=l0:8=5a24f92>=h9:21<7*=5d8205=i:<n1;65`12594?"5=l0:8=5a24f9<>=h9:<1<7*=5d8205=i:<n1565`12794?"5=l0:8=5a24f9e>=h9:>1<7*=5d8205=i:<n1n65`12094?"5=l0:8=5a24f9g>=h9:;1<7*=5d8205=i:<n1h65`12294?"5=l0:8=5a24f9a>=h9;l1<7*=5d8205=i:<n1j65`13g94?"5=l0:8=5a24f955=<g88o6=4+24g9516<f;?o6<?4;n31g?6=,;?n6<:?;o06`?7532e:>o4?:%06a?7382d99i4>3:9l57g=83.99h4>419m60b=9=10c<<6:18'60c=9=:0b?;k:078?j7303:1(?;j:063?k42l3;=76a>4683>!42m3;?<6`=5e823>=h9=<1<7*=5d8205=i:<n1=554o066>5<#:<o1=9>4n37g>4?<3f;?87>5$37f>4273g8>h7?n;:m206<72-8>i7?;0:l11a<6j21d=9<50;&11`<6<91e>8j51b98k45b290/>8k51528j73c28n07b?<3;29 73b28>;7c<:d;3f?>i6:10;6)<:e;374>h5=m0:j65`15g94?"5=l0:8i5a24f94>=h9=i1<7*=5d820a=i:<n1=65`15`94?"5=l0:8i5a24f96>=h9=k1<7*=5d820a=i:<n1?65`14194?"5=l0:9?5a24f94>=h9<;1<7*=5d8217=i:<n1=65`14294?"5=l0:9?5a24f96>=h9=l1<7*=5d8217=i:<n1?65rbc53>5<d:3:1<v*=dd81fa=O;930D?k=;[4;>f}5>38<6?752`806?542:>1?84=d;0f>60=;>0v(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m<1>894$0f7>7=#9m?1>6*>8c80?!7?k390(<6k:29'5=c=;2.:4k4<;%3:4?5<,8n36<9m;%3g=?70j2.9nh4=569'6g`=:<=0b?j>:89m6a4=12.9h54=569'5<7=;2.:5?4<;%0e5?4a82.9j?4=f19m6c5=12d9j946;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18j440291e=9750:&1`2<6?k1/>io52:&2=1<43-;297=4$0;5>6=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 7be2;1b:l4?::k5f?6=3`=;6=44i6394?=n9>=1<75f2e194?=n:m>1<75f16:94?=n:mi1<75f2ef94?=h>j0;66a9d;29?l2f290/>8k5489m60b=821b854?:%06a?2>3g8>h7?4;h64>5<#:<o1845a24f96>=n<?0;6)<:e;6:?k42l3907d:::18'60c=<01e>8j54:9j16<72-8>i7:6;o06`?3<3`?96=4+24g90<=i:<n1:65f5083>!42m3>27c<:d;58?l37290/>8k5489m60b=021b8k4?:%06a?2>3g8>h774;h6f>5<#:<o1845a24f9e>=n<m0;6)<:e;6:?k42l3h07d:l:18'60c=<01e>8j5c:9j0g<72-8>i7:6;o06`?b<3`>?6=4+24g90<=i:<n1i65f5`83>!42m3?27c<:d;28?l3?290/>8k5589m60b=921b9:4?:%06a?3>3g8>h7<4;h75>5<#:<o1945a24f97>=n=<0;6)<:e;7:?k42l3>07d8<:18'60c==01e>8j55:9j27<72-8>i7;6;o06`?0<3`<:6=4+24g91<=i:<n1;65f6183>!42m3?27c<:d;:8?l3a290/>8k5589m60b=121b9h4?:%06a?3>3g8>h7o4;h7g>5<#:<o1945a24f9f>=n=j0;6)<:e;7:?k42l3i07d;m:18'60c==01e>8j5d:9j11<72-8>i7;6;o06`?c<3`h96=4+24g9f4=i:<n1<65fb183>!42m3h:7c<:d;38?lgb290/>8k5b09m60b=:21bmi4?:%06a?d63g8>h7=4;hc`>5<#:<o1n<5a24f90>=nik0;6)<:e;`2?k42l3?07don:18'60c=j81e>8j56:9je<<72-8>i7l>;o06`?1<3`k36=4+24g9f4=i:<n1465fa683>!42m3h:7c<:d;;8?lg1290/>8k5b09m60b=i21bm84?:%06a?d63g8>h7l4;hc0>5<#:<o1n<5a24f9g>=ni;0;6)<:e;`2?k42l3n07do>:18'60c=j81e>8j5e:9je5<72-8>i7l>;o06`?`<3`3m6=4+24g9f4=i:<n1==54i8g94?"5=l0i=6`=5e825>=n1m0;6)<:e;`2?k42l3;976g6c;29 73b2k;0b?;k:018?l?e290/>8k5b09m60b=9=10e4o50;&11`<e92d99i4>5:9jf<<72-8>i7l>;o06`?7132ci47>5$37f>g7<f;?o6<94;h`4>5<#:<o1n<5a24f95==<ak<1<7*=5d8a5>h5=m0:565fb483>!42m3h:7c<:d;3b?>oe<3:1(?;j:c38j73c28h07dl<:18'60c=j81e>8j51b98md`=83.99h4m1:l11a<6l21bm94?:%06a?d63g8>h7?j;:k:=?6=,;?n6o?4n37g>4`<3`n86=4+24g9`7=i:<n1<65fd083>!42m3n97c<:d;38?lea290/>8k5d39m60b=:21boh4?:%06a?b53g8>h7=4;hag>5<#:<o1h?5a24f90>=nkj0;6)<:e;f1?k42l3?07dmm:18'60c=l;1e>8j56:9jgd<72-8>i7j=;o06`?1<3`i26=4+24g9`7=i:<n1465fc983>!42m3n97c<:d;;8?le0290/>8k5d39m60b=i21bo;4?:%06a?b53g8>h7l4;ha7>5<#:<o1h?5a24f9g>=nk:0;6)<:e;f1?k42l3n07dm=:18'60c=l;1e>8j5e:9jg4<72-8>i7j=;o06`?`<3`i;6=4+24g9`7=i:<n1==54icd94?"5=l0o>6`=5e825>=njl0;6)<:e;f1?k42l3;976gmd;29 73b2m80b?;k:018?ldd290/>8k5d39m60b=9=10eol50;&11`<c:2d99i4>5:9j`d<72-8>i7j=;o06`?7132co57>5$37f>a4<f;?o6<94;hf;>5<#:<o1h?5a24f95==<am=1<7*=5d8g6>h5=m0:565fd783>!42m3n97c<:d;3b?>oc=3:1(?;j:e08j73c28h07dj;:18'60c=l;1e>8j51b98ma6=83.99h4k2:l11a<6l21bo84?:%06a?b53g8>h7?j;:kae?6=,;?n6i<4n37g>4`<3`;;<7>5$37f>c`<f;?o6=54igg94?"5=l0mj6`=5e82?>oal3:1(?;j:gd8j73c2;10ekm50;&11`<an2d99i4<;:k240<72-8>i7??4:l11a<732c:<>4?:%06a?77<2d99i4>;:k247<72-8>i7??4:l11a<532c:<<4?:%06a?77<2d99i4<;:k1ad<72-8>i7<j9:l11a<732c9i54?:%06a?4b12d99i4>;:k1a2<72-8>i7<j9:l11a<532c9i;4?:%06a?4b12d99i4<;:k1ac<72-8>i7<je:l11a<732c9ii4?:%06a?4bm2d99i4>;:k1af<72-8>i7<je:l11a<532c9io4?:%06a?4bm2d99i4<;:m25c<72-8>i7?>e:l11a<732e:=i4?:%06a?76m2d99i4>;:m25g<72-8>i7?>e:l11a<532e:=l4?:%06a?76m2d99i4<;:m25<<72-8>i7?>e:l11a<332e:=54?:%06a?76m2d99i4:;:m252<72-8>i7?>e:l11a<132e:=;4?:%06a?76m2d99i48;:m250<72-8>i7?>e:l11a<?32e:=94?:%06a?76m2d99i46;:m256<72-8>i7?>e:l11a<f32e:=?4?:%06a?76m2d99i4m;:m255<72-8>i7?>e:l11a<d32e:<k4?:%06a?76m2d99i4k;:m24`<72-8>i7?>e:l11a<b32e:<i4?:%06a?76m2d99i4i;:m24f<72-8>i7?>e:l11a<6821d==l50;&11`<69l1e>8j51098k46f290/>8k510g8j73c28807b??9;29 73b28;n7c<:d;30?>i6810;6)<:e;32a>h5=m0:865`11594?"5=l0:=h5a24f950=<g88=6=4+24g954c<f;?o6<84;n311?6=,;?n6<?j;o06`?7032e:>94?:%06a?76m2d99i4>8:9l575=83.99h4>1d9m60b=9010c<<=:18'60c=98o0b?;k:0c8?j7593:1(?;j:03f?k42l3;i76a>2183>!42m3;:i6`=5e82g>=h98i1<7*=5d825`=i:<n1=i54o032>5<#:<o1=<k4n37g>4c<3f;;:7>5$37f>47b3g8>h7?i;:m204<72-8>i7?;0:l11a<732e:?k4?:%06a?7382d99i4>;:m27a<72-8>i7?;0:l11a<532e:?n4?:%06a?7382d99i4<;:m27g<72-8>i7?;0:l11a<332e:?l4?:%06a?7382d99i4:;:m27<<72-8>i7?;0:l11a<132e:?54?:%06a?7382d99i48;:m272<72-8>i7?;0:l11a<?32e:?;4?:%06a?7382d99i46;:m270<72-8>i7?;0:l11a<f32e:?94?:%06a?7382d99i4m;:m277<72-8>i7?;0:l11a<d32e:?<4?:%06a?7382d99i4k;:m275<72-8>i7?;0:l11a<b32e:>k4?:%06a?7382d99i4i;:m26`<72-8>i7?;0:l11a<6821d=?j50;&11`<6<91e>8j51098k44d290/>8k51528j73c28807b?=b;29 73b28>;7c<:d;30?>i6:h0;6)<:e;374>h5=m0:865`13;94?"5=l0:8=5a24f950=<g8>36=4+24g9516<f;?o6<84;n373?6=,;?n6<:?;o06`?7032e:8;4?:%06a?7382d99i4>8:9l513=83.99h4>419m60b=9010c<:;:18'60c=9=:0b?;k:0c8?j73;3:1(?;j:063?k42l3;i76a>4383>!42m3;?<6`=5e82g>=h9:o1<7*=5d8205=i:<n1=i54o010>5<#:<o1=9>4n37g>4c<3f;947>5$37f>4273g8>h7?i;:m20`<72-8>i7?;d:l11a<732e:8n4?:%06a?73l2d99i4>;:m20g<72-8>i7?;d:l11a<532e:8l4?:%06a?73l2d99i4<;:m216<72-8>i7?:2:l11a<732e:9<4?:%06a?72:2d99i4>;:m215<72-8>i7?:2:l11a<532e:8k4?:%06a?72:2d99i4<;:\7faf27=83i96=4?{%0ga?4el2B8<45G2d08^3>=kr8=6?952881e?552:91?94<5;0g>7c=;?08;7s+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h;4=569'5a2=:2.:h84=;%3;f?5<,82h6>5+19f97>"60l087)?7f;18 4?72:1/=i6516`8 4b>28=i7)<me;063>"5jo099:5a2e39=>h5l;027)<k8;063>"618087)?62;18 7`62;l;7)<i2;0e4>h5n:027c<i4;;8 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=i9;=1<6`>4883?!4c?3;<n6*=d`81?!7><390(<7::29'5<0=;2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#:mh1>6g9a;29?l0e2900e:>50;9j34<722c:;:4?::k1`6<722c9h94?::k23=<722c9hn4?::k1`a<722e=o7>5;n4g>5<<a=k1<7*=5d87=>h5=m0;76g;8;29 73b2=30b?;k:098m11=83.99h4;9:l11a<532c?:7>5$37f>1?<f;?o6>54i5794?"5=l0?56`=5e87?>o2;3:1(?;j:5;8j73c2<10e8<50;&11`<312d99i49;:k65?6=,;?n6974n37g>2=<a<:1<7*=5d87=>h5=m0376g;f;29 73b2=30b?;k:898m1c=83.99h4;9:l11a<f32c?h7>5$37f>1?<f;?o6o54i5a94?"5=l0?56`=5e8`?>o3j3:1(?;j:5;8j73c2m10e9:50;&11`<312d99i4j;:k6e?6=,;?n6874n37g>5=<a<21<7*=5d86=>h5=m0:76g:7;29 73b2<30b?;k:398m00=83.99h4:9:l11a<432c>97>5$37f>0?<f;?o6954i7194?"5=l0>56`=5e86?>o1:3:1(?;j:4;8j73c2?10e;?50;&11`<212d99i48;:k54?6=,;?n6874n37g>==<a<l1<7*=5d86=>h5=m0276g:e;29 73b2<30b?;k:`98m0b=83.99h4:9:l11a<e32c>o7>5$37f>0?<f;?o6n54i4`94?"5=l0>56`=5e8g?>o2<3:1(?;j:4;8j73c2l10eo<50;&11`<e92d99i4?;:ka4?6=,;?n6o?4n37g>4=<aho1<7*=5d8a5>h5=m0976gnd;29 73b2k;0b?;k:298mde=83.99h4m1:l11a<332cjn7>5$37f>g7<f;?o6854i`c94?"5=l0i=6`=5e85?>of13:1(?;j:c38j73c2>10el650;&11`<e92d99i47;:kb3?6=,;?n6o?4n37g><=<ah<1<7*=5d8a5>h5=m0j76gn5;29 73b2k;0b?;k:c98md5=83.99h4m1:l11a<d32cj>7>5$37f>g7<f;?o6i54i`394?"5=l0i=6`=5e8f?>of83:1(?;j:c38j73c2o10e4h50;&11`<e92d99i4>0:9j=`<72-8>i7l>;o06`?7632c2h7>5$37f>g7<f;?o6<<4;h;`>5<#:<o1n<5a24f956=<a0h1<7*=5d8a5>h5=m0:865f9`83>!42m3h:7c<:d;36?>oe13:1(?;j:c38j73c28<07dl7:18'60c=j81e>8j51698mg1=83.99h4m1:l11a<6021bn;4?:%06a?d63g8>h7?6;:ka1?6=,;?n6o?4n37g>4g<3`h?6=4+24g9f4=i:<n1=o54ic194?"5=l0i=6`=5e82g>=nio0;6)<:e;`2?k42l3;o76gn4;29 73b2k;0b?;k:0g8?l?>290/>8k5b09m60b=9o10ei=50;&11`<c:2d99i4?;:kg5?6=,;?n6i<4n37g>4=<ajl1<7*=5d8g6>h5=m0976gle;29 73b2m80b?;k:298mfb=83.99h4k2:l11a<332cho7>5$37f>a4<f;?o6854ib`94?"5=l0o>6`=5e85?>odi3:1(?;j:e08j73c2>10en750;&11`<c:2d99i47;:k`<?6=,;?n6i<4n37g><=<aj=1<7*=5d8g6>h5=m0j76gl6;29 73b2m80b?;k:c98mf2=83.99h4k2:l11a<d32ch?7>5$37f>a4<f;?o6i54ib094?"5=l0o>6`=5e8f?>od93:1(?;j:e08j73c2o10en>50;&11`<c:2d99i4>0:9jfc<72-8>i7j=;o06`?7632cii7>5$37f>a4<f;?o6<<4;h`g>5<#:<o1h?5a24f956=<aki1<7*=5d8g6>h5=m0:865fbc83>!42m3n97c<:d;36?>oci3:1(?;j:e08j73c28<07dj6:18'60c=l;1e>8j51698ma>=83.99h4k2:l11a<6021bh:4?:%06a?b53g8>h7?6;:kg2?6=,;?n6i<4n37g>4g<3`n>6=4+24g9`7=i:<n1=o54ie694?"5=l0o>6`=5e82g>=nl90;6)<:e;f1?k42l3;o76gl5;29 73b2m80b?;k:0g8?ldf290/>8k5d39m60b=9o10e<>?:18'60c=no1e>8j50:9jb`<72-8>i7hi;o06`?7<3`lo6=4+24g9bc=i:<n1>65ffb83>!42m3lm7c<:d;18?l77=3:1(?;j:027?k42l3:07d??3;29 73b28:?7c<:d;38?l77:3:1(?;j:027?k42l3807d??1;29 73b28:?7c<:d;18?l4bi3:1(?;j:3g:?k42l3:07d<j8;29 73b2;o27c<:d;38?l4b?3:1(?;j:3g:?k42l3807d<j6;29 73b2;o27c<:d;18?l4bn3:1(?;j:3gf?k42l3:07d<jd;29 73b2;on7c<:d;38?l4bk3:1(?;j:3gf?k42l3807d<jb;29 73b2;on7c<:d;18?j76n3:1(?;j:03f?k42l3:07b?>d;29 73b28;n7c<:d;38?j76j3:1(?;j:03f?k42l3807b?>a;29 73b28;n7c<:d;18?j7613:1(?;j:03f?k42l3>07b?>8;29 73b28;n7c<:d;78?j76?3:1(?;j:03f?k42l3<07b?>6;29 73b28;n7c<:d;58?j76=3:1(?;j:03f?k42l3207b?>4;29 73b28;n7c<:d;;8?j76;3:1(?;j:03f?k42l3k07b?>2;29 73b28;n7c<:d;`8?j7683:1(?;j:03f?k42l3i07b??f;29 73b28;n7c<:d;f8?j77m3:1(?;j:03f?k42l3o07b??d;29 73b28;n7c<:d;d8?j77k3:1(?;j:03f?k42l3;;76a>0c83>!42m3;:i6`=5e825>=h99k1<7*=5d825`=i:<n1=?54o02:>5<#:<o1=<k4n37g>45<3f;;47>5$37f>47b3g8>h7?;;:m242<72-8>i7?>e:l11a<6=21d=?850;&11`<69l1e>8j51798k442290/>8k510g8j73c28=07b?=4;29 73b28;n7c<:d;3;?>i6::0;6)<:e;32a>h5=m0:565`13094?"5=l0:=h5a24f95d=<g88:6=4+24g954c<f;?o6<l4;n314?6=,;?n6<?j;o06`?7d32e:=n4?:%06a?76m2d99i4>d:9l547=83.99h4>1d9m60b=9l10c<>9:18'60c=98o0b?;k:0d8?j7393:1(?;j:063?k42l3:07b?<f;29 73b28>;7c<:d;38?j74l3:1(?;j:063?k42l3807b?<c;29 73b28>;7c<:d;18?j74j3:1(?;j:063?k42l3>07b?<a;29 73b28>;7c<:d;78?j7413:1(?;j:063?k42l3<07b?<8;29 73b28>;7c<:d;58?j74?3:1(?;j:063?k42l3207b?<6;29 73b28>;7c<:d;;8?j74=3:1(?;j:063?k42l3k07b?<4;29 73b28>;7c<:d;`8?j74:3:1(?;j:063?k42l3i07b?<1;29 73b28>;7c<:d;f8?j7483:1(?;j:063?k42l3o07b?=f;29 73b28>;7c<:d;d8?j75m3:1(?;j:063?k42l3;;76a>2e83>!42m3;?<6`=5e825>=h9;i1<7*=5d8205=i:<n1=?54o00a>5<#:<o1=9>4n37g>45<3f;9m7>5$37f>4273g8>h7?;;:m26<<72-8>i7?;0:l11a<6=21d=9650;&11`<6<91e>8j51798k420290/>8k51528j73c28=07b?;6;29 73b28>;7c<:d;3;?>i6<<0;6)<:e;374>h5=m0:565`15694?"5=l0:8=5a24f95d=<g8>86=4+24g9516<f;?o6<l4;n376?6=,;?n6<:?;o06`?7d32e:?h4?:%06a?7382d99i4>d:9l565=83.99h4>419m60b=9l10c<<7:18'60c=9=:0b?;k:0d8?j73m3:1(?;j:06g?k42l3:07b?;c;29 73b28>o7c<:d;38?j73j3:1(?;j:06g?k42l3807b?;a;29 73b28>o7c<:d;18?j72;3:1(?;j:071?k42l3:07b?:1;29 73b28?97c<:d;38?j7283:1(?;j:071?k42l3807b?;f;29 73b28?97c<:d;18?xde?;0;6n<50;2x 7bb2;ho7E=?9:J1a7=]>10hw?852681=?4f2:81?>4<4;16>7b=:l08:7=8:|&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k6;063>"6l=097)?k5;08 4>e2:1/=5m53:&2<a<43-;3i7=4$0:e>6=#90:1?6*>d9823g=#9m31=:l4$3`f>7303-8ij7<:7:l1`4<>3g8o>774$3f;>7303-;2=7=4$0;1>6=#:o;1>k>4$3d1>7`73g8m?774n3d7><=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2d:>:4?;o37=?6<,;n<6<9m;%0ge?4<,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.9ho4=;h4b>5<<a?h1<75f7183>>o093:17d?87;29?l4c;3:17d<k4;29?l7003:17d<kc;29?l4cl3:17b8l:188k3b=831b8l4?:%06a?2>3g8>h7>4;h6;>5<#:<o1845a24f95>=n<>0;6)<:e;6:?k42l3807d:9:18'60c=<01e>8j53:9j00<72-8>i7:6;o06`?2<3`?86=4+24g90<=i:<n1965f5383>!42m3>27c<:d;48?l36290/>8k5489m60b=?21b9=4?:%06a?2>3g8>h764;h6e>5<#:<o1845a24f9=>=n<l0;6)<:e;6:?k42l3k07d:k:18'60c=<01e>8j5b:9j0f<72-8>i7:6;o06`?e<3`>i6=4+24g90<=i:<n1h65f4583>!42m3>27c<:d;g8?l3f290/>8k5589m60b=821b954?:%06a?3>3g8>h7?4;h74>5<#:<o1945a24f96>=n=?0;6)<:e;7:?k42l3907d;::18'60c==01e>8j54:9j26<72-8>i7;6;o06`?3<3`<96=4+24g91<=i:<n1:65f6083>!42m3?27c<:d;58?l07290/>8k5589m60b=021b9k4?:%06a?3>3g8>h774;h7f>5<#:<o1945a24f9e>=n=m0;6)<:e;7:?k42l3h07d;l:18'60c==01e>8j5c:9j1g<72-8>i7;6;o06`?b<3`??6=4+24g91<=i:<n1i65fb383>!42m3h:7c<:d;28?ld7290/>8k5b09m60b=921bmh4?:%06a?d63g8>h7<4;hcg>5<#:<o1n<5a24f97>=nij0;6)<:e;`2?k42l3>07dom:18'60c=j81e>8j55:9jed<72-8>i7l>;o06`?0<3`k26=4+24g9f4=i:<n1;65fa983>!42m3h:7c<:d;:8?lg0290/>8k5b09m60b=121bm;4?:%06a?d63g8>h7o4;hc6>5<#:<o1n<5a24f9f>=ni:0;6)<:e;`2?k42l3i07do=:18'60c=j81e>8j5d:9je4<72-8>i7l>;o06`?c<3`k;6=4+24g9f4=i:<n1j65f9g83>!42m3h:7c<:d;33?>o>m3:1(?;j:c38j73c28;07d7k:18'60c=j81e>8j51398m<e=83.99h4m1:l11a<6;21b5o4?:%06a?d63g8>h7?;;:k:e?6=,;?n6o?4n37g>43<3`h26=4+24g9f4=i:<n1=;54ic:94?"5=l0i=6`=5e823>=nj>0;6)<:e;`2?k42l3;376gm6;29 73b2k;0b?;k:0;8?ld2290/>8k5b09m60b=9h10eo:50;&11`<e92d99i4>b:9jf6<72-8>i7l>;o06`?7d32cjj7>5$37f>g7<f;?o6<j4;hc7>5<#:<o1n<5a24f95`=<a031<7*=5d8a5>h5=m0:j65fd283>!42m3n97c<:d;28?lb6290/>8k5d39m60b=921bok4?:%06a?b53g8>h7<4;haf>5<#:<o1h?5a24f97>=nkm0;6)<:e;f1?k42l3>07dml:18'60c=l;1e>8j55:9jgg<72-8>i7j=;o06`?0<3`ij6=4+24g9`7=i:<n1;65fc883>!42m3n97c<:d;:8?le?290/>8k5d39m60b=121bo:4?:%06a?b53g8>h7o4;ha5>5<#:<o1h?5a24f9f>=nk=0;6)<:e;f1?k42l3i07dm<:18'60c=l;1e>8j5d:9jg7<72-8>i7j=;o06`?c<3`i:6=4+24g9`7=i:<n1j65fc183>!42m3n97c<:d;33?>oen3:1(?;j:e08j73c28;07dlj:18'60c=l;1e>8j51398mgb=83.99h4k2:l11a<6;21bnn4?:%06a?b53g8>h7?;;:kaf?6=,;?n6i<4n37g>43<3`nj6=4+24g9`7=i:<n1=;54ie;94?"5=l0o>6`=5e823>=nl10;6)<:e;f1?k42l3;376gk7;29 73b2m80b?;k:0;8?lb1290/>8k5d39m60b=9h10ei;50;&11`<c:2d99i4>b:9j`1<72-8>i7j=;o06`?7d32co<7>5$37f>a4<f;?o6<j4;ha6>5<#:<o1h?5a24f95`=<akk1<7*=5d8g6>h5=m0:j65f11294?"5=l0mj6`=5e83?>oam3:1(?;j:gd8j73c2810ekj50;&11`<an2d99i4=;:keg?6=,;?n6kh4n37g>6=<a8:>6=4+24g9552<f;?o6=54i020>5<#:<o1==:4n37g>4=<a8:96=4+24g9552<f;?o6?54i022>5<#:<o1==:4n37g>6=<a;oj6=4+24g96`?<f;?o6=54i3g;>5<#:<o1>h74n37g>4=<a;o<6=4+24g96`?<f;?o6?54i3g5>5<#:<o1>h74n37g>6=<a;om6=4+24g96`c<f;?o6=54i3gg>5<#:<o1>hk4n37g>4=<a;oh6=4+24g96`c<f;?o6?54i3ga>5<#:<o1>hk4n37g>6=<g8;m6=4+24g954c<f;?o6=54o03g>5<#:<o1=<k4n37g>4=<g8;i6=4+24g954c<f;?o6?54o03b>5<#:<o1=<k4n37g>6=<g8;26=4+24g954c<f;?o6954o03;>5<#:<o1=<k4n37g>0=<g8;<6=4+24g954c<f;?o6;54o035>5<#:<o1=<k4n37g>2=<g8;>6=4+24g954c<f;?o6554o037>5<#:<o1=<k4n37g><=<g8;86=4+24g954c<f;?o6l54o031>5<#:<o1=<k4n37g>g=<g8;;6=4+24g954c<f;?o6n54o02e>5<#:<o1=<k4n37g>a=<g8:n6=4+24g954c<f;?o6h54o02g>5<#:<o1=<k4n37g>c=<g8:h6=4+24g954c<f;?o6<>4;n33f?6=,;?n6<?j;o06`?7632e:<l4?:%06a?76m2d99i4>2:9l55?=83.99h4>1d9m60b=9:10c<>7:18'60c=98o0b?;k:068?j77?3:1(?;j:03f?k42l3;>76a>2783>!42m3;:i6`=5e822>=h9;?1<7*=5d825`=i:<n1=:54o007>5<#:<o1=<k4n37g>4><3f;9?7>5$37f>47b3g8>h7?6;:m267<72-8>i7?>e:l11a<6i21d=??50;&11`<69l1e>8j51c98k447290/>8k510g8j73c28i07b?>c;29 73b28;n7c<:d;3g?>i6980;6)<:e;32a>h5=m0:i65`11494?"5=l0:=h5a24f95c=<g8>:6=4+24g9516<f;?o6=54o01e>5<#:<o1=9>4n37g>4=<g89o6=4+24g9516<f;?o6?54o01`>5<#:<o1=9>4n37g>6=<g89i6=4+24g9516<f;?o6954o01b>5<#:<o1=9>4n37g>0=<g8926=4+24g9516<f;?o6;54o01;>5<#:<o1=9>4n37g>2=<g89<6=4+24g9516<f;?o6554o015>5<#:<o1=9>4n37g><=<g89>6=4+24g9516<f;?o6l54o017>5<#:<o1=9>4n37g>g=<g8996=4+24g9516<f;?o6n54o012>5<#:<o1=9>4n37g>a=<g89;6=4+24g9516<f;?o6h54o00e>5<#:<o1=9>4n37g>c=<g88n6=4+24g9516<f;?o6<>4;n31`?6=,;?n6<:?;o06`?7632e:>n4?:%06a?7382d99i4>2:9l57d=83.99h4>419m60b=9:10c<<n:18'60c=9=:0b?;k:068?j7513:1(?;j:063?k42l3;>76a>4983>!42m3;?<6`=5e822>=h9==1<7*=5d8205=i:<n1=:54o065>5<#:<o1=9>4n37g>4><3f;?97>5$37f>4273g8>h7?6;:m201<72-8>i7?;0:l11a<6i21d=9=50;&11`<6<91e>8j51c98k425290/>8k51528j73c28i07b?<e;29 73b28>;7c<:d;3g?>i6;:0;6)<:e;374>h5=m0:i65`13:94?"5=l0:8=5a24f95c=<g8>n6=4+24g951b<f;?o6=54o06`>5<#:<o1=9j4n37g>4=<g8>i6=4+24g951b<f;?o6?54o06b>5<#:<o1=9j4n37g>6=<g8?86=4+24g9504<f;?o6=54o072>5<#:<o1=8<4n37g>4=<g8?;6=4+24g9504<f;?o6?54o06e>5<#:<o1=8<4n37g>6=<ukh<?7>5c383>5}#:mo1>oj4H22:?M4b:2P=47mt27813?4>2;k1??4<3;17>63=:m09i7=9:259y!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f5>7303-;o87<4$0f6>7=#91h1?6*>8b80?!7?l390(<6j:29'5=`=;2.:5=4<;%3g<?70j2.:h44>7c9'6gc=:<=0(?li:374?k4c9330b?j=:89'6a>=:<=0(<7>:29'5<4=;2.9j<4=f19'6c4=:o:0b?h<:89m6c2=12.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087c?=7;28j42>291/>i9516`8 7bf2;1/=4:53:&2=0<43-;2:7=4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)<kb;08m3g=831b:o4?::k44?6=3`=:6=44i054>5<<a;n86=44i3f7>5<<a8=36=44i3f`>5<<a;no6=44o7a94?=h>m0;66g;a;29 73b2=30b?;k:198m1>=83.99h4;9:l11a<632c?;7>5$37f>1?<f;?o6?54i5494?"5=l0?56`=5e80?>o3=3:1(?;j:5;8j73c2=10e8=50;&11`<312d99i4:;:k66?6=,;?n6974n37g>3=<a<;1<7*=5d87=>h5=m0<76g:0;29 73b2=30b?;k:998m1`=83.99h4;9:l11a<>32c?i7>5$37f>1?<f;?o6l54i5f94?"5=l0?56`=5e8a?>o3k3:1(?;j:5;8j73c2j10e9l50;&11`<312d99i4k;:k70?6=,;?n6974n37g>`=<a<k1<7*=5d86=>h5=m0;76g:8;29 73b2<30b?;k:098m01=83.99h4:9:l11a<532c>:7>5$37f>0?<f;?o6>54i4794?"5=l0>56`=5e87?>o1;3:1(?;j:4;8j73c2<10e;<50;&11`<212d99i49;:k55?6=,;?n6874n37g>2=<a?:1<7*=5d86=>h5=m0376g:f;29 73b2<30b?;k:898m0c=83.99h4:9:l11a<f32c>h7>5$37f>0?<f;?o6o54i4a94?"5=l0>56`=5e8`?>o2j3:1(?;j:4;8j73c2m10e8:50;&11`<212d99i4j;:ka6?6=,;?n6o?4n37g>5=<ak:1<7*=5d8a5>h5=m0:76gne;29 73b2k;0b?;k:398mdb=83.99h4m1:l11a<432cjo7>5$37f>g7<f;?o6954i``94?"5=l0i=6`=5e86?>ofi3:1(?;j:c38j73c2?10el750;&11`<e92d99i48;:kb<?6=,;?n6o?4n37g>==<ah=1<7*=5d8a5>h5=m0276gn6;29 73b2k;0b?;k:`98md3=83.99h4m1:l11a<e32cj?7>5$37f>g7<f;?o6n54i`094?"5=l0i=6`=5e8g?>of93:1(?;j:c38j73c2l10el>50;&11`<e92d99i4i;:k:b?6=,;?n6o?4n37g>46<3`3n6=4+24g9f4=i:<n1=<54i8f94?"5=l0i=6`=5e826>=n1j0;6)<:e;`2?k42l3;876g6b;29 73b2k;0b?;k:068?l?f290/>8k5b09m60b=9<10eo750;&11`<e92d99i4>6:9jf=<72-8>i7l>;o06`?7032ci;7>5$37f>g7<f;?o6<64;h`5>5<#:<o1n<5a24f95<=<ak?1<7*=5d8a5>h5=m0:m65fb583>!42m3h:7c<:d;3a?>oe;3:1(?;j:c38j73c28i07doi:18'60c=j81e>8j51e98md2=83.99h4m1:l11a<6m21b544?:%06a?d63g8>h7?i;:kg7?6=,;?n6i<4n37g>5=<am;1<7*=5d8g6>h5=m0:76glf;29 73b2m80b?;k:398mfc=83.99h4k2:l11a<432chh7>5$37f>a4<f;?o6954iba94?"5=l0o>6`=5e86?>odj3:1(?;j:e08j73c2?10eno50;&11`<c:2d99i48;:k`=?6=,;?n6i<4n37g>==<aj21<7*=5d8g6>h5=m0276gl7;29 73b2m80b?;k:`98mf0=83.99h4k2:l11a<e32ch87>5$37f>a4<f;?o6n54ib194?"5=l0o>6`=5e8g?>od:3:1(?;j:e08j73c2l10en?50;&11`<c:2d99i4i;:k`4?6=,;?n6i<4n37g>46<3`hm6=4+24g9`7=i:<n1=<54icg94?"5=l0o>6`=5e826>=njm0;6)<:e;f1?k42l3;876gmc;29 73b2m80b?;k:068?lde290/>8k5d39m60b=9<10eio50;&11`<c:2d99i4>6:9j`<<72-8>i7j=;o06`?7032co47>5$37f>a4<f;?o6<64;hf4>5<#:<o1h?5a24f95<=<am<1<7*=5d8g6>h5=m0:m65fd483>!42m3n97c<:d;3a?>oc<3:1(?;j:e08j73c28i07dj?:18'60c=l;1e>8j51e98mf3=83.99h4k2:l11a<6m21bnl4?:%06a?b53g8>h7?i;:k245<72-8>i7hi;o06`?6<3`ln6=4+24g9bc=i:<n1=65ffe83>!42m3lm7c<:d;08?l`d290/>8k5fg9m60b=;21b==;50;&11`<68=1e>8j50:9j555=83.99h4>059m60b=921b==<50;&11`<68=1e>8j52:9j557=83.99h4>059m60b=;21b>ho50;&11`<5m01e>8j50:9j6`>=83.99h4=e89m60b=921b>h950;&11`<5m01e>8j52:9j6`0=83.99h4=e89m60b=;21b>hh50;&11`<5ml1e>8j50:9j6`b=83.99h4=ed9m60b=921b>hm50;&11`<5ml1e>8j52:9j6`d=83.99h4=ed9m60b=;21d=<h50;&11`<69l1e>8j50:9l54b=83.99h4>1d9m60b=921d=<l50;&11`<69l1e>8j52:9l54g=83.99h4>1d9m60b=;21d=<750;&11`<69l1e>8j54:9l54>=83.99h4>1d9m60b==21d=<950;&11`<69l1e>8j56:9l540=83.99h4>1d9m60b=?21d=<;50;&11`<69l1e>8j58:9l542=83.99h4>1d9m60b=121d=<=50;&11`<69l1e>8j5a:9l544=83.99h4>1d9m60b=j21d=<>50;&11`<69l1e>8j5c:9l55`=83.99h4>1d9m60b=l21d==k50;&11`<69l1e>8j5e:9l55b=83.99h4>1d9m60b=n21d==m50;&11`<69l1e>8j51198k46e290/>8k510g8j73c28;07b??a;29 73b28;n7c<:d;31?>i6800;6)<:e;32a>h5=m0:?65`11:94?"5=l0:=h5a24f951=<g8:<6=4+24g954c<f;?o6<;4;n312?6=,;?n6<?j;o06`?7132e:>84?:%06a?76m2d99i4>7:9l572=83.99h4>1d9m60b=9110c<<<:18'60c=98o0b?;k:0;8?j75:3:1(?;j:03f?k42l3;j76a>2083>!42m3;:i6`=5e82f>=h9;:1<7*=5d825`=i:<n1=n54o03`>5<#:<o1=<k4n37g>4b<3f;:=7>5$37f>47b3g8>h7?j;:m243<72-8>i7?>e:l11a<6n21d=9?50;&11`<6<91e>8j50:9l56`=83.99h4>419m60b=921d=>j50;&11`<6<91e>8j52:9l56e=83.99h4>419m60b=;21d=>l50;&11`<6<91e>8j54:9l56g=83.99h4>419m60b==21d=>750;&11`<6<91e>8j56:9l56>=83.99h4>419m60b=?21d=>950;&11`<6<91e>8j58:9l560=83.99h4>419m60b=121d=>;50;&11`<6<91e>8j5a:9l562=83.99h4>419m60b=j21d=><50;&11`<6<91e>8j5c:9l567=83.99h4>419m60b=l21d=>>50;&11`<6<91e>8j5e:9l57`=83.99h4>419m60b=n21d=?k50;&11`<6<91e>8j51198k44c290/>8k51528j73c28;07b?=c;29 73b28>;7c<:d;31?>i6:k0;6)<:e;374>h5=m0:?65`13c94?"5=l0:8=5a24f951=<g8826=4+24g9516<f;?o6<;4;n37<?6=,;?n6<:?;o06`?7132e:8:4?:%06a?7382d99i4>7:9l510=83.99h4>419m60b=9110c<:::18'60c=9=:0b?;k:0;8?j73<3:1(?;j:063?k42l3;j76a>4283>!42m3;?<6`=5e82f>=h9=81<7*=5d8205=i:<n1=n54o01f>5<#:<o1=9>4n37g>4b<3f;8?7>5$37f>4273g8>h7?j;:m26=<72-8>i7?;0:l11a<6n21d=9k50;&11`<6<m1e>8j50:9l51e=83.99h4>4e9m60b=921d=9l50;&11`<6<m1e>8j52:9l51g=83.99h4>4e9m60b=;21d=8=50;&11`<6=;1e>8j50:9l507=83.99h4>539m60b=921d=8>50;&11`<6=;1e>8j52:9l51`=83.99h4>539m60b=;21vno9;:18`6?6=8r.9hh4=be9K75?<@;o97W87:by12?402;31>l4<2;10>62=;<09h7<j:24972<z,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a0=:<=0(<j;:39'5a3=:2.:4o4<;%3;g?5<,82o6>5+19g97>"60o087)?60;18 4b?28=i7)?k9;34f>"5jl099:5+2cd9601<f;n:645a2e09=>"5l1099:5+18397>"61;087)<i1;0e4>"5n;09j=5a2g19=>h5n=027)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4n004>5=i9=31<6*=d6823g=#:mk1>6*>9580?!7>=390(<79:29'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$3fa>7=n>h0;66g9b;29?l172900e:?50;9j521=831b>i=50;9j6a2=831b=:650;9j6ae=831b>ij50;9l2f<722e=h7>5;h6b>5<#:<o1845a24f94>=n<10;6)<:e;6:?k42l3;07d:8:18'60c=<01e>8j52:9j03<72-8>i7:6;o06`?5<3`>>6=4+24g90<=i:<n1865f5283>!42m3>27c<:d;78?l35290/>8k5489m60b=>21b9<4?:%06a?2>3g8>h794;h73>5<#:<o1845a24f9<>=n<o0;6)<:e;6:?k42l3307d:j:18'60c=<01e>8j5a:9j0a<72-8>i7:6;o06`?d<3`>h6=4+24g90<=i:<n1o65f4c83>!42m3>27c<:d;f8?l23290/>8k5489m60b=m21b9l4?:%06a?3>3g8>h7>4;h7;>5<#:<o1945a24f95>=n=>0;6)<:e;7:?k42l3807d;9:18'60c==01e>8j53:9j10<72-8>i7;6;o06`?2<3`<86=4+24g91<=i:<n1965f6383>!42m3?27c<:d;48?l06290/>8k5589m60b=?21b:=4?:%06a?3>3g8>h764;h7e>5<#:<o1945a24f9=>=n=l0;6)<:e;7:?k42l3k07d;k:18'60c==01e>8j5b:9j1f<72-8>i7;6;o06`?e<3`?i6=4+24g91<=i:<n1h65f5583>!42m3?27c<:d;g8?ld5290/>8k5b09m60b=821bn=4?:%06a?d63g8>h7?4;hcf>5<#:<o1n<5a24f96>=nim0;6)<:e;`2?k42l3907dol:18'60c=j81e>8j54:9jeg<72-8>i7l>;o06`?3<3`kj6=4+24g9f4=i:<n1:65fa883>!42m3h:7c<:d;58?lg?290/>8k5b09m60b=021bm:4?:%06a?d63g8>h774;hc5>5<#:<o1n<5a24f9e>=ni<0;6)<:e;`2?k42l3h07do<:18'60c=j81e>8j5c:9je7<72-8>i7l>;o06`?b<3`k:6=4+24g9f4=i:<n1i65fa183>!42m3h:7c<:d;d8?l?a290/>8k5b09m60b=9910e4k50;&11`<e92d99i4>1:9j=a<72-8>i7l>;o06`?7532c2o7>5$37f>g7<f;?o6<=4;h;a>5<#:<o1n<5a24f951=<a0k1<7*=5d8a5>h5=m0:965fb883>!42m3h:7c<:d;35?>oe03:1(?;j:c38j73c28=07dl8:18'60c=j81e>8j51998mg0=83.99h4m1:l11a<6121bn84?:%06a?d63g8>h7?n;:ka0?6=,;?n6o?4n37g>4d<3`h86=4+24g9f4=i:<n1=n54i`d94?"5=l0i=6`=5e82`>=ni=0;6)<:e;`2?k42l3;n76g69;29 73b2k;0b?;k:0d8?lb4290/>8k5d39m60b=821bh<4?:%06a?b53g8>h7?4;hae>5<#:<o1h?5a24f96>=nkl0;6)<:e;f1?k42l3907dmk:18'60c=l;1e>8j54:9jgf<72-8>i7j=;o06`?3<3`ii6=4+24g9`7=i:<n1:65fc`83>!42m3n97c<:d;58?le>290/>8k5d39m60b=021bo54?:%06a?b53g8>h774;ha4>5<#:<o1h?5a24f9e>=nk?0;6)<:e;f1?k42l3h07dm;:18'60c=l;1e>8j5c:9jg6<72-8>i7j=;o06`?b<3`i96=4+24g9`7=i:<n1i65fc083>!42m3n97c<:d;d8?le7290/>8k5d39m60b=9910eoh50;&11`<c:2d99i4>1:9jf`<72-8>i7j=;o06`?7532cih7>5$37f>a4<f;?o6<=4;h``>5<#:<o1h?5a24f951=<akh1<7*=5d8g6>h5=m0:965fd`83>!42m3n97c<:d;35?>oc13:1(?;j:e08j73c28=07dj7:18'60c=l;1e>8j51998ma1=83.99h4k2:l11a<6121bh;4?:%06a?b53g8>h7?n;:kg1?6=,;?n6i<4n37g>4d<3`n?6=4+24g9`7=i:<n1=n54ie294?"5=l0o>6`=5e82`>=nk<0;6)<:e;f1?k42l3;n76gma;29 73b2m80b?;k:0d8?l7783:1(?;j:gd8j73c2910ekk50;&11`<an2d99i4>;:ke`?6=,;?n6kh4n37g>7=<aoi1<7*=5d8eb>h5=m0876g>0483>!42m3;;86`=5e83?>o68:0;6)<:e;330>h5=m0:76g>0383>!42m3;;86`=5e81?>o6880;6)<:e;330>h5=m0876g=e`83>!42m38n56`=5e83?>o5m10;6)<:e;0f=>h5=m0:76g=e683>!42m38n56`=5e81?>o5m?0;6)<:e;0f=>h5=m0876g=eg83>!42m38ni6`=5e83?>o5mm0;6)<:e;0fa>h5=m0:76g=eb83>!42m38ni6`=5e81?>o5mk0;6)<:e;0fa>h5=m0876a>1g83>!42m3;:i6`=5e83?>i69m0;6)<:e;32a>h5=m0:76a>1c83>!42m3;:i6`=5e81?>i69h0;6)<:e;32a>h5=m0876a>1883>!42m3;:i6`=5e87?>i6910;6)<:e;32a>h5=m0>76a>1683>!42m3;:i6`=5e85?>i69?0;6)<:e;32a>h5=m0<76a>1483>!42m3;:i6`=5e8;?>i69=0;6)<:e;32a>h5=m0276a>1283>!42m3;:i6`=5e8b?>i69;0;6)<:e;32a>h5=m0i76a>1183>!42m3;:i6`=5e8`?>i68o0;6)<:e;32a>h5=m0o76a>0d83>!42m3;:i6`=5e8f?>i68m0;6)<:e;32a>h5=m0m76a>0b83>!42m3;:i6`=5e824>=h99h1<7*=5d825`=i:<n1=<54o02b>5<#:<o1=<k4n37g>44<3f;;57>5$37f>47b3g8>h7?<;:m24=<72-8>i7?>e:l11a<6<21d==950;&11`<69l1e>8j51498k441290/>8k510g8j73c28<07b?=5;29 73b28;n7c<:d;34?>i6:=0;6)<:e;32a>h5=m0:465`13194?"5=l0:=h5a24f95<=<g8896=4+24g954c<f;?o6<o4;n315?6=,;?n6<?j;o06`?7e32e:>=4?:%06a?76m2d99i4>c:9l54e=83.99h4>1d9m60b=9m10c<?>:18'60c=98o0b?;k:0g8?j77>3:1(?;j:03f?k42l3;m76a>4083>!42m3;?<6`=5e83?>i6;o0;6)<:e;374>h5=m0:76a>3e83>!42m3;?<6`=5e81?>i6;j0;6)<:e;374>h5=m0876a>3c83>!42m3;?<6`=5e87?>i6;h0;6)<:e;374>h5=m0>76a>3883>!42m3;?<6`=5e85?>i6;10;6)<:e;374>h5=m0<76a>3683>!42m3;?<6`=5e8;?>i6;?0;6)<:e;374>h5=m0276a>3483>!42m3;?<6`=5e8b?>i6;=0;6)<:e;374>h5=m0i76a>3383>!42m3;?<6`=5e8`?>i6;80;6)<:e;374>h5=m0o76a>3183>!42m3;?<6`=5e8f?>i6:o0;6)<:e;374>h5=m0m76a>2d83>!42m3;?<6`=5e824>=h9;n1<7*=5d8205=i:<n1=<54o00`>5<#:<o1=9>4n37g>44<3f;9n7>5$37f>4273g8>h7?<;:m26d<72-8>i7?;0:l11a<6<21d=?750;&11`<6<91e>8j51498k42?290/>8k51528j73c28<07b?;7;29 73b28>;7c<:d;34?>i6<?0;6)<:e;374>h5=m0:465`15794?"5=l0:8=5a24f95<=<g8>?6=4+24g9516<f;?o6<o4;n377?6=,;?n6<:?;o06`?7e32e:8?4?:%06a?7382d99i4>c:9l56c=83.99h4>419m60b=9m10c<=<:18'60c=9=:0b?;k:0g8?j7503:1(?;j:063?k42l3;m76a>4d83>!42m3;?h6`=5e83?>i6<j0;6)<:e;37`>h5=m0:76a>4c83>!42m3;?h6`=5e81?>i6<h0;6)<:e;37`>h5=m0876a>5283>!42m3;>>6`=5e83?>i6=80;6)<:e;366>h5=m0:76a>5183>!42m3;>>6`=5e81?>i6<o0;6)<:e;366>h5=m0876sm75694?3=83:p(?jj:0g0?M5712B9i?5+18197g=n91=1<75f19:94?=n9131<75f19c94?=h9mi1<75rb7c:>5<2290;w)<ke;3f7>N4801C>h<4$0;0>6d<a82<6=44i0:;>5<<a8226=44i0:b>5<<g8nh6=44}r5;>5<5krT<463;e785a>;3m>0=i63;eb85a>;3mm0=i63;ed85a>;3mo0=i63;f185a>;3n80=i63;f385a>;3n:0=i63;e985a>;3mk0=i63;e885a>;3mh0=i63;9585a>;31<0=i63;9`85a>;31k0=i63;9b85a>;31m0=i63;9d85a>;31o0=i63;a185a>;3i80=i63;9785a>;3100=i63;9685a>;3110=i63;5185a>;3=80=i63;5785a>;3=>0=i63;5985a>;3=00=i63;5`85a>;3=k0=i63;5b85a>;3=m0=i63;5385a>;3=:0=i63;5585a>;3=<0=i638c5811==z{8296=48{_54?[7?:273;n4=bb9><a7=9120159m:0:;?8>7>3;346370282<3=z{;n;6=4:{_0g4>;0k=0:4:52b6g95=1<51>;6<68;<5`=?7??2wx:84?:3y]20=:09>1>om4}r0g1?6=>rT9h8528d0960`<51o=6?;i;<:f1?42n273i94=5g9><`5=:<l0q~?86;29<~X0>2T:;;5285396ge<5>i?6<67;<`4a?7?02738=4>899>3f?=91201:m9:0:5?xu013:1mhuQ789>252=>l16:=;56d9>25g=>l16:=l56d9>25e=>l16:=j56d9>25c=>l16:=h56d9>246=>l16:<?56d9>250=>l16:=756d9>251=>l16:=656d9>1g4=>l169o=56d9>1g>=>l169o756d9>1gg=>l169ol56d9>1ge=>l169oj56d9>1gc=>l169oh56d9>1g2=>l169o956d9>1g3=>l169o856d9>13c=>l169;h56d9>122=>l169:;56d9>120=>l169:956d9>12>=>l169:756d9>12g=>l169:l56d9>126=>l169:=56d9>127=>l169:<56d9>17g=>l169?l56d9>166=>l169>?56d9>164=>l169>=56d9>162=>l169>;56d9>160=>l169>956d9>17e=>l169?j56d9>17c=>l169?h56d9><a7=:<201o8?:3gb?8d1838n463m6181a2=:j?:1>h84=c4a>7cf34h=n7<j8:?a2g<5m>16n;l52d489g122;oj70l85;0f<>;e?<09i:52b6796`0<5k==6?kn;<`42?4b027i;;4=e69>f20=:l<01o98:3gb?8d0?38n463m7681a2=:j>=1>h84=c5;>7cf34h<47<j8:?a3=<5m>16n:652d489g1>2;oj70l89;0f<>;e?009i:52b6;96`0<5k=j6?kn;<`4e?4b027i;l4=e69>f2g=:l<01o9m:3gb?8d0j38n463m7c81a2=:j>h1>h84=c5`>7cf34h<o7<j8:?a3f<5m>16n:m52d489g062;oj70l91;0f<>;e>809i:52b7396`0<5k<96?kn;<`56?4b027i:?4=e69>f34=:l<01o8<:3gb?8d1;38n463m6281a2=:j?91>h84=c47>7cf34h=87<j8:?a21<5m>16n;:52d489g022;oj70l95;0f<>;e><09i:52b7796`0<5k<=6?kn;<`52?4b027i:;4=e69>f30=:l<01o88:3gb?8d1?38n463m6681a2=:j?=1>h84=c4;>7cf34h=47<j8:?a2=<5m>16n;652d489g0>2;oj70l99;0f<>;e>009i:52b7;96`0<5k<j6?kn;<`5e?4b027i:l4=e69>f3g=:l<01o8l:3gb?8d1k38n463m6b81a2=:j?i1>h84=c4g>7cf34h=h7<j8:?a2a<5m>16n;j52d489g0b2;oj70l9e;0f<>;e>l09i:52b7g96`0<5k<m6?kn;<`5b?4b027i:k4=e69>f3`=:l<01o9?:3gb?8d0838n463m7181a2=:j>:1>h84=c52>7cf34h<=7<j8:?a34<5m>16n:?52d489g152;oj70l82;0f<>;e?;09i:52b6096`0<5k=86?kn;<`47?4b027i;>4=e69>f25=:l<01o9;:3gb?8d0<38n463m7581a2=:j>>1>h84}r0;a?6=103pR?h:;_0f4>X5=h1U>h=4^343?[4?m278<l4>859><`0=?<164h:5749>1f6=>o169n95999>1f?=11169nl5999>1fb=11169nh5999>1a7=11169i=5999>1a3=11169i95999>1f7=11169n=5999>1f3=11169:m56g9>1=5=111695;5999>1=1=11169575999>1=d=111695j5999>1=`=111694?5999>1<5=11169:j5999>12`=111695?5999>0d4=>o168l75999>0dd=11168lj5999>0d`=11168o?5999>0g5=11168o;5999>0g1=11168o75999>0d5=11168l;5999>0d1=11169>656g9>16`=111699?5999>115=111699;5999>111=11169975999>11d=111699j5999>11`=11169>75999>16d=11169>j5999>00c=>o168;;5999>031=11168;75999>03d=11168;j5999>03`=11168:?5999>025=11168:;5999>00`=11168;?5999>035=11168k:56g9>0cd=11168kj5999>0c`=11169=?5999>155=11169=;5999>151=11169=75999>15d=11168k;5999>0c1=11168k75999>04g=>o168??5999>075=11168?;5999>071=11168?75999>07d=11168?j5999>07`=11168>?5999>04d=11168<j5999>04`=11164965999><1?=111649o5999><1d=111649m5999><1b=111649k5999><35=11164;:5999><33=11164;85999><31=11164;65999><3?=111645=5999><=2=111645;5999><=0=11164595999><=>=11164575999><<c=111644h5999><d6=11164l?5999><d4=11164l=5999><d2=1116;i>5999>3a7=1116;i<5999>3a5=1116;i:5999>3a3=1116;i85999>3`d=1116;hm5999>3`b=1116;hk5999>3``=1116;k>5999>3c7=11164=j5999><5c=11164=h5999><46=11164<?5999><44=11164<=5999><7>=11164?75999><7g=11164?l5999><7e=11164?j5999><7c=1116n;>56`9>f36=>k16n;>52e189g072;n?70l90;6b?8d183?j70l90;`1?8d183h;70l90;cf?8d183ko70l90;c`?8d183ki70l90;cb?8d183k270l90;c;?8d183k<70l90;c5?8d183k>70l90;c0?8d183k970l90;c2?8d183k;70l90;;e?8d1833n70l90;;g?8d1833h70l90;;a?8d1833j70l90;`:?8d183h370l90;`4?8d183h=70l90;`6?8d183h?70l90;`0?8d183km70l90;c7?8d183n870l90;f2?8d183im70l90;af?8d183io70l90;a`?8d183ii70l90;ab?8d183i270l90;a;?8d183i<70l90;a5?8d183i?70l90;a0?8d183i970l90;a2?8d183i;70l90;`e?8d183hn70l90;`g?8d183hh70l90;`a?8d183nj70l90;f:?8d183n370l90;f4?8d183n=70l90;f6?8d183n?70l90;f3?8d183i>70l90;`b?8d183;;<63m618ea>;e>90mh63m618eg>;e>90:<852b729555<5k<;6<>=;<`54?77927i:=4=eg9>f36=:ln01o8?:3g`?8d1838nn63m6c85e>;e>k0=n63m6c81`6=:j?h1>i:4=c4a>1g<5k<i68o4=c4a>g4<5k<i6o>4=c4a>dc<5k<i6lj4=c4a>de<5k<i6ll4=c4a>dg<5k<i6l74=c4a>d><5k<i6l94=c4a>d0<5k<i6l;4=c4a>d5<5k<i6l<4=c4a>d7<5k<i6l>4=c4a><`<5k<i64k4=c4a><b<5k<i64m4=c4a><d<5k<i64o4=c4a>g?<5k<i6o64=c4a>g1<5k<i6o84=c4a>g3<5k<i6o:4=c4a>g5<5k<i6lh4=c4a>d2<5k<i6i=4=c4a>a7<5k<i6nh4=c4a>fc<5k<i6nj4=c4a>fe<5k<i6nl4=c4a>fg<5k<i6n74=c4a>f><5k<i6n94=c4a>f0<5k<i6n:4=c4a>f5<5k<i6n<4=c4a>f7<5k<i6n>4=c4a>g`<5k<i6ok4=c4a>gb<5k<i6om4=c4a>gd<5k<i6io4=c4a>a?<5k<i6i64=c4a>a1<5k<i6i84=c4a>a3<5k<i6i:4=c4a>a6<5k<i6n;4=c4a>gg<5k<i6<>?;<`5f?`b34h=n7hk;<`5f?`d34h=n7??5:?a2g<68:16n;l511089g0e28::70l9b;0fb>;e>k09ii52b7`96`e<5k<i6?km;<`41?0f34h<978m;<`41?4c;27i;84=d59>f23=<h16n:;55`9>f23=j;16n:;5b19>f23=il16n:;5ae9>f23=ij16n:;5ac9>f23=ih16n:;5a89>f23=i116n:;5a69>f23=i?16n:;5a49>f23=i:16n:;5a39>f23=i816n:;5a19>f23=1o16n:;59d9>f23=1m16n:;59b9>f23=1k16n:;59`9>f23=j016n:;5b99>f23=j>16n:;5b79>f23=j<16n:;5b59>f23=j:16n:;5ag9>f23=i=16n:;5d29>f23=l816n:;5cg9>f23=kl16n:;5ce9>f23=kj16n:;5cc9>f23=kh16n:;5c89>f23=k116n:;5c69>f23=k?16n:;5c59>f23=k:16n:;5c39>f23=k816n:;5c19>f23=jo16n:;5bd9>f23=jm16n:;5bb9>f23=jk16n:;5d`9>f23=l016n:;5d99>f23=l>16n:;5d79>f23=l<16n:;5d59>f23=l916n:;5c49>f23=jh16n:;511289g122oo01o9::gf89g122oi01o9::026?8d0=3;;?63m748247=:j>?1==?4=c56>7ca34h<97<jd:?a30<5mj16n:;52d`89g112?k01o99:7`89g112;n870l86;0g0>;e??0?m63m7786e>;e??0i>63m778a4>;e??0ji63m778b`>;e??0jo63m778bf>;e??0jm63m778b=>;e??0j463m778b3>;e??0j:63m778b1>;e??0j?63m778b6>;e??0j=63m778b4>;e??02j63m778:a>;e??02h63m778:g>;e??02n63m778:e>;e??0i563m778a<>;e??0i;63m778a2>;e??0i963m778a0>;e??0i?63m778bb>;e??0j863m778g7>;e??0o=63m778`b>;e??0hi63m778``>;e??0ho63m778`f>;e??0hm63m778`=>;e??0h463m778`3>;e??0h:63m778`0>;e??0h?63m778`6>;e??0h=63m778`4>;e??0ij63m778aa>;e??0ih63m778ag>;e??0in63m778ge>;e??0o563m778g<>;e??0o;63m778g2>;e??0o963m778g0>;e??0o<63m778`1>;e??0im63m778245=:j><1jh52b649ba=:j><1jn52b649553<5k==6<><;<`42?77:27i;;4>009>f20=:ll01o99:3gg?8d0>38no63m7781ag=:j>=1:l52b6592g=:j>=1>i=4=c54>7b334h<;7:n;<`43?3f34h<;7l=;<`43?d734h<;7oj;<`43?gc34h<;7ol;<`43?ge34h<;7on;<`43?g>34h<;7o7;<`43?g034h<;7o9;<`43?g234h<;7o<;<`43?g534h<;7o>;<`43?g734h<;77i;<`43??b34h<;77k;<`43??d34h<;77m;<`43??f34h<;7l6;<`43?d?34h<;7l8;<`43?d134h<;7l:;<`43?d334h<;7l<;<`43?ga34h<;7o;;<`43?b434h<;7j>;<`43?ea34h<;7mj;<`43?ec34h<;7ml;<`43?ee34h<;7mn;<`43?e>34h<;7m7;<`43?e034h<;7m9;<`43?e334h<;7m<;<`43?e534h<;7m>;<`43?e734h<;7li;<`43?db34h<;7lk;<`43?dd34h<;7lm;<`43?bf34h<;7j6;<`43?b?34h<;7j8;<`43?b134h<;7j:;<`43?b334h<;7j?;<`43?e234h<;7ln;<`43?77827i;:4ie:?a32<al27i;:4ic:?a32<68<16n:9511189g1028:970l87;335>;e?>09ik52b6596`b<5k=<6?kl;<`43?4bj27i;549a:?a3=<1j27i;54=d29>f2>=:m>01o97:5c89g1?2=i01o97:5`89g1?2=>01o97:4c89g1?2<i01o97:4`89g1?2<>01o97:c089g1?2k:01o97:`g89g1?2hn01o97:`a89g1?2hh01o97:`c89g1?2h301o97:`:89g1?2h=01o97:`489g1?2h?01o97:`189g1?2h801o97:`389g1?2h:01o97:8d89g1?20o01o97:8f89g1?20i01o97:8`89g1?20k01o97:c;89g1?2k201o97:e189g1?2m;01o97:bd89g1?2jo01o97:bf89g1?2ji01o97:b`89g1?2jk01o97:b;89g1?2j201o97:b589g1?2j<01o97:b689g1?2j901o97:b089g1?2j;01o97:b289g1?2kl01o97:cg89g1?2kn01o97:ca89g1?2kh01o97:ec89g1?2m301o97:e:89g1?2m=01o97:e489g1?2m?01o97:e689g1?2m:01o97:b789g1?2kk01o97:023?8d003ln70l88;dg?8d003;;963m798246=:j>21==<4=c5;>46634h<47<jf:?a3=<5mm16n:652da89g1?2;oi70l89;4b?8d013<i70l89;0g7>;e?009h952b6;90d=:j>318n52b6;90g=:j>318952b6;91d=:j>319n52b6;91g=:j>319952b6;9f7=:j>31n=52b6;9e`=:j>31mi52b6;9ef=:j>31mo52b6;9ed=:j>31m452b6;9e==:j>31m:52b6;9e3=:j>31m852b6;9e6=:j>31m?52b6;9e4=:j>31m=52b6;9=c=:j>315h52b6;9=a=:j>315n52b6;9=g=:j>315l52b6;9f<=:j>31n552b6;9`6=:j>31h<52b6;9gc=:j>31oh52b6;9ga=:j>31on52b6;9gg=:j>31ol52b6;9g<=:j>31o552b6;9g2=:j>31o;52b6;9g1=:j>31o>52b6;9g7=:j>31o<52b6;9g5=:j>31nk52b6;9f`=:j>31ni52b6;9ff=:j>31no52b6;9`d=:j>31h452b6;9`==:j>31h:52b6;9`3=:j>31h852b6;9`1=:j>31h=52b6;9g0=:j>31nl52b6;9556<5k=26kk4=c5:>cb<5k=26<>:;<`4=?77;27i;44>039>f2?=99;01o96:3ge?8d0138nh63m7881af=:j>31>hl4=c5b>3g<5k=j6;l4=c5b>7b434h<m7<k4:?a3d<3i27i;l4;c:?a3d<3j27i;l4;4:?a3d<2i27i;l4:c:?a3d<2j27i;l4:4:?a3d<e:27i;l4m0:?a3d<fm27i;l4nd:?a3d<fk27i;l4nb:?a3d<fi27i;l4n9:?a3d<f027i;l4n7:?a3d<f>27i;l4n5:?a3d<f;27i;l4n2:?a3d<f927i;l4n0:?a3d<>n27i;l46e:?a3d<>l27i;l46c:?a3d<>j27i;l46a:?a3d<e127i;l4m8:?a3d<c;27i;l4k1:?a3d<dn27i;l4le:?a3d<dl27i;l4lc:?a3d<dj27i;l4la:?a3d<d127i;l4l8:?a3d<d?27i;l4l6:?a3d<d<27i;l4l3:?a3d<d:27i;l4l1:?a3d<d827i;l4mf:?a3d<em27i;l4md:?a3d<ek27i;l4mb:?a3d<ci27i;l4k9:?a3d<c027i;l4k7:?a3d<c>27i;l4k5:?a3d<c<27i;l4k0:?a3d<d=27i;l4ma:?a3d<68916n:o5fd9>f2g=nm16n:o511789g1f28:870l8a;336>;e?h0:<<52b6c96``<5k=j6?kk;<`4e?4bk27i;l4=ec9>f2d=>h16n:l56c9>f2d=:m901o9m:3f7?8d0j3>j70l8b;6`?8d0j3>i70l8b;67?8d0j3?j70l8b;7`?8d0j3?i70l8b;77?8d0j3h970l8b;`3?8d0j3kn70l8b;cg?8d0j3kh70l8b;ca?8d0j3kj70l8b;c:?8d0j3k370l8b;c4?8d0j3k=70l8b;c6?8d0j3k870l8b;c1?8d0j3k:70l8b;c3?8d0j33m70l8b;;f?8d0j33o70l8b;;`?8d0j33i70l8b;;b?8d0j3h270l8b;`;?8d0j3n870l8b;f2?8d0j3im70l8b;af?8d0j3io70l8b;a`?8d0j3ii70l8b;ab?8d0j3i270l8b;a;?8d0j3i<70l8b;a5?8d0j3i?70l8b;a0?8d0j3i970l8b;a2?8d0j3i;70l8b;`e?8d0j3hn70l8b;`g?8d0j3hh70l8b;`a?8d0j3nj70l8b;f:?8d0j3n370l8b;f4?8d0j3n=70l8b;f6?8d0j3n?70l8b;f3?8d0j3i>70l8b;`b?8d0j3;;<63m7c8ea>;e?k0mh63m7c8240=:j>h1===4=c5a>46534h<n7??1:?a3g<5mo16n:l52df89g1e2;oh70l8b;0ff>;e?j0=m63m7b85f>;e?j09h>52b6a96a2<5k=h69o4=c5`>1e<5k=h69l4=c5`>12<5k=h68o4=c5`>0e<5k=h68l4=c5`>02<5k=h6o<4=c5`>g6<5k=h6lk4=c5`>db<5k=h6lm4=c5`>dd<5k=h6lo4=c5`>d?<5k=h6l64=c5`>d1<5k=h6l84=c5`>d3<5k=h6l=4=c5`>d4<5k=h6l?4=c5`>d6<5k=h64h4=c5`><c<5k=h64j4=c5`><e<5k=h64l4=c5`><g<5k=h6o74=c5`>g><5k=h6i=4=c5`>a7<5k=h6nh4=c5`>fc<5k=h6nj4=c5`>fe<5k=h6nl4=c5`>fg<5k=h6n74=c5`>f><5k=h6n94=c5`>f0<5k=h6n:4=c5`>f5<5k=h6n<4=c5`>f7<5k=h6n>4=c5`>g`<5k=h6ok4=c5`>gb<5k=h6om4=c5`>gd<5k=h6io4=c5`>a?<5k=h6i64=c5`>a1<5k=h6i84=c5`>a3<5k=h6i:4=c5`>a6<5k=h6n;4=c5`>gg<5k=h6<>?;<`4g?`b34h<o7hk;<`4g?77=27i;n4>029>f2e=99801o9l:022?8d0k38nj63m7b81aa=:j>i1>hm4=c5`>7ce34h==78n;<`55?0e34h==7<k3:?a24<5l=16n;?54`9>f37=<j16n;?54c9>f37=<=16n;?55`9>f37==j16n;?55c9>f37===16n;?5b39>f37=j916n;?5ad9>f37=im16n;?5ab9>f37=ik16n;?5a`9>f37=i016n;?5a99>f37=i>16n;?5a79>f37=i<16n;?5a29>f37=i;16n;?5a09>f37=i916n;?59g9>f37=1l16n;?59e9>f37=1j16n;?59c9>f37=1h16n;?5b89>f37=j116n;?5d29>f37=l816n;?5cg9>f37=kl16n;?5ce9>f37=kj16n;?5cc9>f37=kh16n;?5c89>f37=k116n;?5c69>f37=k?16n;?5c59>f37=k:16n;?5c39>f37=k816n;?5c19>f37=jo16n;?5bd9>f37=jm16n;?5bb9>f37=jk16n;?5d`9>f37=l016n;?5d99>f37=l>16n;?5d79>f37=l<16n;?5d59>f37=l916n;?5c49>f37=jh16n;?511289g062oo01o8>:gf89g0628:>70l91;337>;e>80:<?52b739557<5k<:6?ki;<`55?4bl27i:<4=eb9>f37=:lh01o8=:7c89g052?h01o8=:3f0?8d1:38o863m6387e>;e>;0?o63m6387f>;e>;0?863m6386e>;e>;0>o63m6386f>;e>;0>863m638a6>;e>;0i<63m638ba>;e>;0jh63m638bg>;e>;0jn63m638be>;e>;0j563m638b<>;e>;0j;63m638b2>;e>;0j963m638b7>;e>;0j>63m638b5>;e>;0j<63m638:b>;e>;02i63m638:`>;e>;02o63m638:f>;e>;02m63m638a=>;e>;0i463m638g7>;e>;0o=63m638`b>;e>;0hi63m638``>;e>;0ho63m638`f>;e>;0hm63m638`=>;e>;0h463m638`3>;e>;0h:63m638`0>;e>;0h?63m638`6>;e>;0h=63m638`4>;e>;0ij63m638aa>;e>;0ih63m638ag>;e>;0in63m638ge>;e>;0o563m638g<>;e>;0o;63m638g2>;e>;0o963m638g0>;e>;0o<63m638`1>;e>;0im63m638245=:j?81jh52b709ba=:j?81==;4=c41>46434h=>7??2:?a27<68816n;<52dd89g052;oo70l92;0fg>;e>;09io52b7192d=:j?91:o52b7196a5<5k<86?j;;<`57?2f34h=?7:l;<`57?2e34h=?7:;;<`57?3f34h=?7;l;<`57?3e34h=?7;;;<`57?d534h=?7l?;<`57?gb34h=?7ok;<`57?gd34h=?7om;<`57?gf34h=?7o6;<`57?g?34h=?7o8;<`57?g134h=?7o:;<`57?g434h=?7o=;<`57?g634h=?7o?;<`57??a34h=?77j;<`57??c34h=?77l;<`57??e34h=?77n;<`57?d>34h=?7l7;<`57?b434h=?7j>;<`57?ea34h=?7mj;<`57?ec34h=?7ml;<`57?ee34h=?7mn;<`57?e>34h=?7m7;<`57?e034h=?7m9;<`57?e334h=?7m<;<`57?e534h=?7m>;<`57?e734h=?7li;<`57?db34h=?7lk;<`57?dd34h=?7lm;<`57?bf34h=?7j6;<`57?b?34h=?7j8;<`57?b134h=?7j:;<`57?b334h=?7j?;<`57?e234h=?7ln;<`57?77827i:>4ie:?a26<al27i:>4>049>f35=99901o8<:021?8d1;3;;=63m6281ac=:j?91>hj4=c40>7cd34h=?7<jb:?a21<1i27i:949b:?a21<5l:16n;:52e689g032=k01o8;:5a89g032=h01o8;:5689g032<k01o8;:4a89g032<h01o8;:4689g032k801o8;:c289g032ho01o8;:`f89g032hi01o8;:``89g032hk01o8;:`;89g032h201o8;:`589g032h<01o8;:`789g032h901o8;:`089g032h;01o8;:`289g0320l01o8;:8g89g0320n01o8;:8a89g0320h01o8;:8c89g032k301o8;:c:89g032m901o8;:e389g032jl01o8;:bg89g032jn01o8;:ba89g032jh01o8;:bc89g032j301o8;:b:89g032j=01o8;:b489g032j>01o8;:b189g032j801o8;:b389g032j:01o8;:cd89g032ko01o8;:cf89g032ki01o8;:c`89g032mk01o8;:e;89g032m201o8;:e589g032m<01o8;:e789g032m>01o8;:e289g032j?01o8;:cc89g0328:;70l94;df?8d1<3lo70l94;331>;e>=0:<>52b769554<5k<?6<>>;<`50?4bn27i:94=ee9>f32=:li01o8;:3ga?8d1=3<j70l95;4a?8d1=38o?63m6481`1=:j??18l52b7790f=:j??18o52b77901=:j??19l52b7791f=:j??19o52b77911=:j??1n?52b779f5=:j??1mh52b779ea=:j??1mn52b779eg=:j??1ml52b779e<=:j??1m552b779e2=:j??1m;52b779e0=:j??1m>52b779e7=:j??1m<52b779e5=:j??15k52b779=`=:j??15i52b779=f=:j??15o52b779=d=:j??1n452b779f==:j??1h>52b779`4=:j??1ok52b779g`=:j??1oi52b779gf=:j??1oo52b779gd=:j??1o452b779g==:j??1o:52b779g3=:j??1o952b779g6=:j??1o?52b779g4=:j??1o=52b779fc=:j??1nh52b779fa=:j??1nn52b779fg=:j??1hl52b779`<=:j??1h552b779`2=:j??1h;52b779`0=:j??1h952b779`5=:j??1o852b779fd=:j??1==>4=c46>cc<5k<>6kj4=c46>46234h=97??3:?a20<68;16n;;511389g022;om70l95;0f`>;e><09in52b7796`d<5k<=6;o4=c45>3d<5k<=6?j<;<`52?4c<27i:;4;a:?a23<3k27i:;4;b:?a23<3<27i:;4:a:?a23<2k27i:;4:b:?a23<2<27i:;4m2:?a23<e827i:;4ne:?a23<fl27i:;4nc:?a23<fj27i:;4na:?a23<f127i:;4n8:?a23<f?27i:;4n6:?a23<f=27i:;4n3:?a23<f:27i:;4n1:?a23<f827i:;46f:?a23<>m27i:;46d:?a23<>k27i:;46b:?a23<>i27i:;4m9:?a23<e027i:;4k3:?a23<c927i:;4lf:?a23<dm27i:;4ld:?a23<dk27i:;4lb:?a23<di27i:;4l9:?a23<d027i:;4l7:?a23<d>27i:;4l4:?a23<d;27i:;4l2:?a23<d927i:;4l0:?a23<en27i:;4me:?a23<el27i:;4mc:?a23<ej27i:;4ka:?a23<c127i:;4k8:?a23<c?27i:;4k6:?a23<c=27i:;4k4:?a23<c827i:;4l5:?a23<ei27i:;4>019>f30=nl16n;85fe9>f30=99?01o89:020?8d1>3;;>63m678244=:j?<1>hh4=c45>7cc34h=:7<jc:?a23<5mk16n;956`9>f31=>k16n;952e189g002;n?70l97;6b?8d1?3>h70l97;6a?8d1?3>?70l97;7b?8d1?3?h70l97;7a?8d1?3??70l97;`1?8d1?3h;70l97;cf?8d1?3ko70l97;c`?8d1?3ki70l97;cb?8d1?3k270l97;c;?8d1?3k<70l97;c5?8d1?3k>70l97;c0?8d1?3k970l97;c2?8d1?3k;70l97;;e?8d1?33n70l97;;g?8d1?33h70l97;;a?8d1?33j70l97;`:?8d1?3h370l97;f0?8d1?3n:70l97;ae?8d1?3in70l97;ag?8d1?3ih70l97;aa?8d1?3ij70l97;a:?8d1?3i370l97;a4?8d1?3i=70l97;a7?8d1?3i870l97;a1?8d1?3i:70l97;a3?8d1?3hm70l97;`f?8d1?3ho70l97;``?8d1?3hi70l97;fb?8d1?3n270l97;f;?8d1?3n<70l97;f5?8d1?3n>70l97;f7?8d1?3n;70l97;a6?8d1?3hj70l97;334>;e>>0mi63m668e`>;e>>0:<852b759555<5k<<6<>=;<`53?77927i::4=eg9>f31=:ln01o88:3g`?8d1?38nn63m6985e>;e>10=n63m6981`6=:j?21>i:4=c4;>1g<5k<369m4=c4;>1d<5k<369:4=c4;>0g<5k<368m4=c4;>0d<5k<368:4=c4;>g4<5k<36o>4=c4;>dc<5k<36lj4=c4;>de<5k<36ll4=c4;>dg<5k<36l74=c4;>d><5k<36l94=c4;>d0<5k<36l;4=c4;>d5<5k<36l<4=c4;>d7<5k<36l>4=c4;><`<5k<364k4=c4;><b<5k<364m4=c4;><d<5k<364o4=c4;>g?<5k<36o64=c4;>a5<5k<36i?4=c4;>f`<5k<36nk4=c4;>fb<5k<36nm4=c4;>fd<5k<36no4=c4;>f?<5k<36n64=c4;>f1<5k<36n84=c4;>f2<5k<36n=4=c4;>f4<5k<36n?4=c4;>f6<5k<36oh4=c4;>gc<5k<36oj4=c4;>ge<5k<36ol4=c4;>ag<5k<36i74=c4;>a><5k<36i94=c4;>a0<5k<36i;4=c4;>a2<5k<36i>4=c4;>f3<5k<36oo4=c4;>46734h=47hj;<`5<?`c34h=47??5:?a2=<68:16n;6511089g0?28::70l98;0fb>;e>109ii52b7:96`e<5k<36?km;<`5=?0f34h=578m;<`5=?4c;27i:44=d59>f3?=<h16n;754b9>f3?=<k16n;75459>f3?==h16n;755b9>f3?==k16n;75559>f3?=j;16n;75b19>f3?=il16n;75ae9>f3?=ij16n;75ac9>f3?=ih16n;75a89>f3?=i116n;75a69>f3?=i?16n;75a49>f3?=i:16n;75a39>f3?=i816n;75a19>f3?=1o16n;759d9>f3?=1m16n;759b9>f3?=1k16n;759`9>f3?=j016n;75b99>f3?=l:16n;75d09>f3?=ko16n;75cd9>f3?=km16n;75cb9>f3?=kk16n;75c`9>f3?=k016n;75c99>f3?=k>16n;75c79>f3?=k=16n;75c29>f3?=k;16n;75c09>f3?=k916n;75bg9>f3?=jl16n;75be9>f3?=jj16n;75bc9>f3?=lh16n;75d89>f3?=l116n;75d69>f3?=l?16n;75d49>f3?=l=16n;75d19>f3?=k<16n;75b`9>f3?=99:01o86:gg89g0>2on01o86:026?8d113;;?63m688247=:j?31==?4=c4:>7ca34h=57<jd:?a2<<5mj16n;752d`89g0f2?k01o8n:7`89g0f2;n870l9a;0g0>;e>h0?m63m6`87g>;e>h0?n63m6`870>;e>h0>m63m6`86g>;e>h0>n63m6`860>;e>h0i>63m6`8a4>;e>h0ji63m6`8b`>;e>h0jo63m6`8bf>;e>h0jm63m6`8b=>;e>h0j463m6`8b3>;e>h0j:63m6`8b1>;e>h0j?63m6`8b6>;e>h0j=63m6`8b4>;e>h02j63m6`8:a>;e>h02h63m6`8:g>;e>h02n63m6`8:e>;e>h0i563m6`8a<>;e>h0o?63m6`8g5>;e>h0hj63m6`8`a>;e>h0hh63m6`8`g>;e>h0hn63m6`8`e>;e>h0h563m6`8`<>;e>h0h;63m6`8`2>;e>h0h863m6`8`7>;e>h0h>63m6`8`5>;e>h0h<63m6`8ab>;e>h0ii63m6`8a`>;e>h0io63m6`8af>;e>h0om63m6`8g=>;e>h0o463m6`8g3>;e>h0o:63m6`8g1>;e>h0o863m6`8g4>;e>h0h963m6`8ae>;e>h0:<=52b7c9b`=:j?k1ji52b7c9553<5k<j6<><;<`5e?77:27i:l4>009>f3g=:ll01o8n:3gg?8d1i38no63m6`81ag=:j?i1:l52b7a92g=:j?i1>i=4=c4`>7b334h=o7:n;<`5g?2d34h=o7:m;<`5g?2334h=o7;n;<`5g?3d34h=o7;m;<`5g?3334h=o7l=;<`5g?d734h=o7oj;<`5g?gc34h=o7ol;<`5g?ge34h=o7on;<`5g?g>34h=o7o7;<`5g?g034h=o7o9;<`5g?g234h=o7o<;<`5g?g534h=o7o>;<`5g?g734h=o77i;<`5g??b34h=o77k;<`5g??d34h=o77m;<`5g??f34h=o7l6;<`5g?d?34h=o7j<;<`5g?b634h=o7mi;<`5g?eb34h=o7mk;<`5g?ed34h=o7mm;<`5g?ef34h=o7m6;<`5g?e?34h=o7m8;<`5g?e134h=o7m;;<`5g?e434h=o7m=;<`5g?e634h=o7m?;<`5g?da34h=o7lj;<`5g?dc34h=o7ll;<`5g?de34h=o7jn;<`5g?b>34h=o7j7;<`5g?b034h=o7j9;<`5g?b234h=o7j;;<`5g?b734h=o7m:;<`5g?df34h=o7??0:?a2f<am27i:n4id:?a2f<68<16n;m511189g0d28:970l9c;335>;e>j09ik52b7a96`b<5k<h6?kl;<`5g?4bj27i:i49a:?a2a<1j27i:i4=d29>f3b=:m>01o8k:5c89g0c2=i01o8k:5`89g0c2=>01o8k:4c89g0c2<i01o8k:4`89g0c2<>01o8k:c089g0c2k:01o8k:`g89g0c2hn01o8k:`a89g0c2hh01o8k:`c89g0c2h301o8k:`:89g0c2h=01o8k:`489g0c2h?01o8k:`189g0c2h801o8k:`389g0c2h:01o8k:8d89g0c20o01o8k:8f89g0c20i01o8k:8`89g0c20k01o8k:c;89g0c2k201o8k:e189g0c2m;01o8k:bd89g0c2jo01o8k:bf89g0c2ji01o8k:b`89g0c2jk01o8k:b;89g0c2j201o8k:b589g0c2j<01o8k:b689g0c2j901o8k:b089g0c2j;01o8k:b289g0c2kl01o8k:cg89g0c2kn01o8k:ca89g0c2kh01o8k:ec89g0c2m301o8k:e:89g0c2m=01o8k:e489g0c2m?01o8k:e689g0c2m:01o8k:b789g0c2kk01o8k:023?8d1l3ln70l9d;dg?8d1l3;;963m6e8246=:j?n1==<4=c4g>46634h=h7<jf:?a2a<5mm16n;j52da89g0c2;oi70l9e;4b?8d1m3<i70l9e;0g7>;e>l09h952b7g90d=:j?o18n52b7g90g=:j?o18952b7g91d=:j?o19n52b7g91g=:j?o19952b7g9f7=:j?o1n=52b7g9e`=:j?o1mi52b7g9ef=:j?o1mo52b7g9ed=:j?o1m452b7g9e==:j?o1m:52b7g9e3=:j?o1m852b7g9e6=:j?o1m?52b7g9e4=:j?o1m=52b7g9=c=:j?o15h52b7g9=a=:j?o15n52b7g9=g=:j?o15l52b7g9f<=:j?o1n552b7g9`6=:j?o1h<52b7g9gc=:j?o1oh52b7g9ga=:j?o1on52b7g9gg=:j?o1ol52b7g9g<=:j?o1o552b7g9g2=:j?o1o;52b7g9g1=:j?o1o>52b7g9g7=:j?o1o<52b7g9g5=:j?o1nk52b7g9f`=:j?o1ni52b7g9ff=:j?o1no52b7g9`d=:j?o1h452b7g9`==:j?o1h:52b7g9`3=:j?o1h852b7g9`1=:j?o1h=52b7g9g0=:j?o1nl52b7g9556<5k<n6kk4=c4f>cb<5k<n6<>:;<`5a?77;27i:h4>039>f3c=99;01o8j:3ge?8d1m38nh63m6d81af=:j?o1>hl4=c4e>3g<5k<m6;l4=c4e>7b434h=j7<k4:?a2c<3i27i:k4;c:?a2c<3j27i:k4;4:?a2c<2i27i:k4:c:?a2c<2j27i:k4:4:?a2c<e:27i:k4m0:?a2c<fm27i:k4nd:?a2c<fk27i:k4nb:?a2c<fi27i:k4n9:?a2c<f027i:k4n7:?a2c<f>27i:k4n5:?a2c<f;27i:k4n2:?a2c<f927i:k4n0:?a2c<>n27i:k46e:?a2c<>l27i:k46c:?a2c<>j27i:k46a:?a2c<e127i:k4m8:?a2c<c;27i:k4k1:?a2c<dn27i:k4le:?a2c<dl27i:k4lc:?a2c<dj27i:k4la:?a2c<d127i:k4l8:?a2c<d?27i:k4l6:?a2c<d<27i:k4l3:?a2c<d:27i:k4l1:?a2c<d827i:k4mf:?a2c<em27i:k4md:?a2c<ek27i:k4mb:?a2c<ci27i:k4k9:?a2c<c027i:k4k7:?a2c<c>27i:k4k5:?a2c<c<27i:k4k0:?a2c<d=27i:k4ma:?a2c<68916n;h5fd9>f3`=nm16n;h511789g0a28:870l9f;336>;e>o0:<<52b7d96``<5k<m6?kk;<`5b?4bk27i:k4=ec9>f26=>h16n:>56c9>f26=:m901o9?:3f7?8d083>j70l80;6`?8d083>i70l80;67?8d083?j70l80;7`?8d083?i70l80;77?8d083h970l80;`3?8d083kn70l80;cg?8d083kh70l80;ca?8d083kj70l80;c:?8d083k370l80;c4?8d083k=70l80;c6?8d083k870l80;c1?8d083k:70l80;c3?8d0833m70l80;;f?8d0833o70l80;;`?8d0833i70l80;;b?8d083h270l80;`;?8d083n870l80;f2?8d083im70l80;af?8d083io70l80;a`?8d083ii70l80;ab?8d083i270l80;a;?8d083i<70l80;a5?8d083i?70l80;a0?8d083i970l80;a2?8d083i;70l80;`e?8d083hn70l80;`g?8d083hh70l80;`a?8d083nj70l80;f:?8d083n370l80;f4?8d083n=70l80;f6?8d083n?70l80;f3?8d083i>70l80;`b?8d083;;<63m718ea>;e?90mh63m718240=:j>:1===4=c53>46534h<<7??1:?a35<5mo16n:>52df89g172;oh70l80;0ff>;e?80=m63m7085f>;e?809h>52b6396a2<5k=:69o4=c52>1e<5k=:69l4=c52>12<5k=:68o4=c52>0e<5k=:68l4=c52>02<5k=:6o<4=c52>g6<5k=:6lk4=c52>db<5k=:6lm4=c52>dd<5k=:6lo4=c52>d?<5k=:6l64=c52>d1<5k=:6l84=c52>d3<5k=:6l=4=c52>d4<5k=:6l?4=c52>d6<5k=:64h4=c52><c<5k=:64j4=c52><e<5k=:64l4=c52><g<5k=:6o74=c52>g><5k=:6i=4=c52>a7<5k=:6nh4=c52>fc<5k=:6nj4=c52>fe<5k=:6nl4=c52>fg<5k=:6n74=c52>f><5k=:6n94=c52>f0<5k=:6n:4=c52>f5<5k=:6n<4=c52>f7<5k=:6n>4=c52>g`<5k=:6ok4=c52>gb<5k=:6om4=c52>gd<5k=:6io4=c52>a?<5k=:6i64=c52>a1<5k=:6i84=c52>a3<5k=:6i:4=c52>a6<5k=:6n;4=c52>gg<5k=:6<>?;<`45?`b34h<=7hk;<`45?77=27i;<4>029>f27=99801o9>:022?8d0938nj63m7081aa=:j>;1>hm4=c52>7ce34h<>78n;<`46?0e34h<>7<k3:?a37<5l=16n:<54`9>f24=<j16n:<54c9>f24=<=16n:<55`9>f24==j16n:<55c9>f24===16n:<5b39>f24=j916n:<5ad9>f24=im16n:<5ab9>f24=ik16n:<5a`9>f24=i016n:<5a99>f24=i>16n:<5a79>f24=i<16n:<5a29>f24=i;16n:<5a09>f24=i916n:<59g9>f24=1l16n:<59e9>f24=1j16n:<59c9>f24=1h16n:<5b89>f24=j116n:<5d29>f24=l816n:<5cg9>f24=kl16n:<5ce9>f24=kj16n:<5cc9>f24=kh16n:<5c89>f24=k116n:<5c69>f24=k?16n:<5c59>f24=k:16n:<5c39>f24=k816n:<5c19>f24=jo16n:<5bd9>f24=jm16n:<5bb9>f24=jk16n:<5d`9>f24=l016n:<5d99>f24=l>16n:<5d79>f24=l<16n:<5d59>f24=l916n:<5c49>f24=jh16n:<511289g152oo01o9=:gf89g1528:>70l82;337>;e?;0:<?52b609557<5k=96?ki;<`46?4bl27i;?4=eb9>f24=:lh01o9<:7c89g142?h01o9<:3f0?8d0;38o863m7287e>;e?:0?o63m7287f>;e?:0?863m7286e>;e?:0>o63m7286f>;e?:0>863m728a6>;e?:0i<63m728ba>;e?:0jh63m728bg>;e?:0jn63m728be>;e?:0j563m728b<>;e?:0j;63m728b2>;e?:0j963m728b7>;e?:0j>63m728b5>;e?:0j<63m728:b>;e?:02i63m728:`>;e?:02o63m728:f>;e?:02m63m728a=>;e?:0i463m728g7>;e?:0o=63m728`b>;e?:0hi63m728``>;e?:0ho63m728`f>;e?:0hm63m728`=>;e?:0h463m728`3>;e?:0h:63m728`0>;e?:0h?63m728`6>;e?:0h=63m728`4>;e?:0ij63m728aa>;e?:0ih63m728ag>;e?:0in63m728ge>;e?:0o563m728g<>;e?:0o;63m728g2>;e?:0o963m728g0>;e?:0o<63m728`1>;e?:0im63m728245=:j>91jh52b619ba=:j>91==;4=c50>46434h<?7??2:?a36<68816n:=52dd89g142;oo70l83;0fg>;e?:09io52b6692d=:j>>1:o52b6696a5<5k=?6?j;;<`40?2f34h<87:l;<`40?2e34h<87:;;<`40?3f34h<87;l;<`40?3e34h<87;;;<`40?d534h<87l?;<`40?gb34h<87ok;<`40?gd34h<87om;<`40?gf34h<87o6;<`40?g?34h<87o8;<`40?g134h<87o:;<`40?g434h<87o=;<`40?g634h<87o?;<`40??a34h<877j;<`40??c34h<877l;<`40??e34h<877n;<`40?d>34h<87l7;<`40?b434h<87j>;<`40?ea34h<87mj;<`40?ec34h<87ml;<`40?ee34h<87mn;<`40?e>34h<87m7;<`40?e034h<87m9;<`40?e334h<87m<;<`40?e534h<87m>;<`40?e734h<87li;<`40?db34h<87lk;<`40?dd34h<87lm;<`40?bf34h<87j6;<`40?b?34h<87j8;<`40?b134h<87j:;<`40?b334h<87j?;<`40?e234h<87ln;<`40?77827i;94ie:?a31<al27i;94>049>f22=99901o9;:021?8d0<3;;=63m7581ac=:j>>1>hj4=c57>7cd34h<87<jb:\7fp750=83>pR>>9;<:g5?7??273;o4>869><50=91=0q~8;:181\7f[0334=h;7<mc:\7fp6f6=833=wS<l0:?;a7<11273i9499:?7a3<1127?i:499:?7af<1127?ii499:?7a`<1127?ik499:?7b5<1127?j<499:?7b7<1127?j>499:?7a=<1127?io499:?7a<<1127?il499:?7=1<1127?58499:?7=d<1127?5o499:?7=f<1127?5i499:?7=`<1127?5k499:?7e5<1127?m<499:?7=3<1127?54499:?7=2<1127?55499:?715<1127?9<499:?713<1127?9:499:?71=<1127?94499:?71d<1127?9o499:?71f<1127?9i499:?717<1127?9>499:?711<1127?98499:?;04<1127<o:499:?5g6<1127=o?499:?5g4<1127=o=499:?5gg<1127=ol499:?5g<<1127=o5499:?5g2<1127=o;499:?5g0<1127=o9499:?5fc<1127=nh499:?46a<1127<>n499:?46g<1127<>l499:?470<1127<?9499:?476<1127<??499:?474<1127<?=499:?46c<1127<>h499:?46<<1127<>5499:?43d<1127<;4499:?43=<1127<;:499:?4<7<1127<4<499:?4<5<1127<;k499:?43`<1127<;i499:?43f<1127<;o499:?433<1127<;8499:?4=3<1127<58499:?4=1<1127<5>499:?4=`<1127<5i499:?4=f<1127<5o499:?4=d<1127<54499:?4==<1127<5:499:?4=7<1127<5<499:?4fg<1127<nl499:?4f<<1127<n5499:?4g6<1127<o?499:?4g4<1127<o=499:?4fc<1127<nh499:?4fa<1127<nn499:?4f2<1127<n;499:?b<2<1127j4;499:?b<0<11273i>499:?a25<0927i:o481:?a30<0927i;;481:?a32<0927i;5481:?a3<<0927i;l481:?a3g<0927i;n481:?a24<0927i:?481:?a26<0927i:9481:?a20<0927i:;481:?a22<0927i:5481:?a2<<0927i:l481:?a2f<0927i:i481:?a2`<0927i:k481:?a35<0927i;<481:?a37<0927i;>481:?a31<092wx>k850;c3\7f[4a>273i;499:?;a0<1127=<9499:?540<1127=<l499:?54g<1127=<n499:?54a<1127=<h499:?54c<1127===499:?554<1127=<;499:?54<<1127=<:499:?54=<1127>n?499:?6f6<1127>n5499:?6f<<1127>nl499:?6fg<1127>nn499:?6fa<1127>nh499:?6fc<1127>n9499:?6f2<1127>n8499:?6f3<1127>:h499:?62c<1127>;9499:?630<1127>;;499:?632<1127>;5499:?63<<1127>;l499:?63g<1127>;=499:?636<1127>;<499:?637<1127>>l499:?66g<1127>?=499:?674<1127>??499:?676<1127>?9499:?670<1127>?;499:?672<1127>>n499:?66a<1127>>h499:?66c<11273;n499:?;41<1127=5?499:?5=4<1127=5=499:?5<c<1127=5l499:?5=<<1127=55499:?5=2<1127=5;499:?5=0<1127=59499:?5=6<1127=4h499:?5<a<1127=hk499:?5``<1127=hi499:?5`f<1127=i:499:?5a3<1127=i8499:?5a1<1127=i>499:?5a7<1127=i<499:?5a5<1127=ho499:?5`d<1127=jo499:?5bd<1127=j4499:?5b=<1127<<>499:?447<1127<<<499:?445<1127=jk499:?5b`<1127=ji499:?5bf<1127=j:499:?5b3<1127<9h499:?41a<1127<9n499:?41g<1127<:;499:?420<1127<:9499:?426<1127<:?499:?424<1127<:=499:?41c<1127<9l499:?41<<11273h:499:?;`3<11273h8499:?;`1<11273hk499:?;``<11273hi499:?;`f<11273ho499:?;`d<11273h4499:?;`=<11273h>499:?;`7<1127i:=480:?a2g<0827i;8480:?a33<0827i;:480:?a3=<0827i;4480:?a3d<0827i;o480:?a3f<0827i:<480:?a27<0827i:>480:?a21<0827i:8480:?a23<0827i::480:?a2=<0827i:4480:?a2d<0827i:n480:?a2a<0827i:h480:?a2c<0827i;=480:?a34<0827i;?480:?a36<0827i;9480:\7fp72?=83=pR>96;<51`?4ek27=>>4>869><21=913015;l:0::?81an3;3m638e582<d=z{:=<6=47{_143>;0:j09nn526079===:>;81=594=954>4>0342>o7?77:?4bc<60116;h:519:8yv50>3:14vP<779>37d=:ki01;?;:8:89346282<70686;3;=>;?=k0:4:527gg95=g<5>o86<6n;|q030<721qU?:;4=60b>7dd34<:?777;<414?7??273;;4>869><0d=91201:hj:0:;?81b;3;346s|39094?>|V:29709<5;0ag>;19l0246392b82<2=:0>?1=574=97b>4>034=mh7?7a:?4a7<60h1v\7f>6>:18;\7f[5?927<?94=bb9>24b=1116:?l519589=12282<706:a;3;<>;0nm0:45527d095=><uz93<7>58z\0<5=:?:91>om4=73`><><5?8j6<68;<:40?7?1273944>869>3ce=91k01:k>:0:b?xu4?o0;65uQ36d892552;hh708>b;;;?80513;3;6377582<2=:0<31=564=6d`>4>?34=n=7?78:\7fp72c=832pR>9j;<505?4ek27==l468:?56=<60>164:=519;89=3?282<709ib;3;e>;0m90:4l5rs25g>5<?sW9<h6383181ff=:>831555263595=1<51=86<68;<:6<?7?027<jo4>899>3`6=9120q~=8c;29<~X4?j16;?h52ca8937?20201;<9:0:4?8>0:3;356375682<2=:?ok1=5o4=6fe>4>f3ty8;o4?:9y]72d<5>8n6?ll;<423???34<997?77:?;37<60>16489519:892`f2823709kf;3;<>{t;>k1<76t^25b?815138io639178:<>;1:=0:4:5286395=?<51?=6<68;<5e=?7?i27<hh4>8`9~w6132903wS=84:?46=<5jj16:<<5999>24`=91=0159>:0:4?8>2>3;34638f882<==:?mo1=564}r:f>5<6<rT8455Q8d9>17`=:ki01:;j:678932d282<709>b;3;3>;?ml0:45528df95=><51oh6<67;<:ff?7?0273il4>869><`?=913015k7:0:4?8>b?3;3463:1382<2=:j?:18552b7`90==:j>?18552b6490==:j>=1855rs9a94?72sW93:6P7c:?66`<5jj16:>k5999>21d=91=01:?l:0:4?816j3;34637ed82<<=:0ln1=574=9g`>4>0342nn7?79:?;ad<600164h7519:89=c?2822706j7;3;=>;3nh0:4:52b72902=:j?h18:52b67902=:j><18:52b65902=z{1h1<7?:{_1;1>X?j27>>i4=bb9>26b=1116:9o51958927c282<709>c;3;<>;?ml0:4:528df95=1<51oh6<66;<:ff?7??273il4>899><`?=91=015k7:0:;?8>b?3;3;63;f982<2=:j?:18;52b7`903=:j>?18;52b64903=:j>=18;5rs9c94?42sW9386P7a:?66f<5jj16:>m5999>21?=91=01:?j:0:4?816l3;3463;f782<2=:j?:18852b7`900=:j>?18852b64900=:j>=18852b6:90==:j>318552b6c90==:j>h18552b6a90==:j?;18552b7090==:j?918552b7690==:j??18552b7490==:j?=18552b7:90==:j?318552b7c90==:j?i18552b7f90==:j?o18552b7d90==:j>:18552b6390==:j>818552b6190==:j>>1855rs8594?42sW92=6P67:?672<5jj16:995999>203=91=01:?i:0:4?816m3;3463:0b82<2=:j?:19>52b7`916=:j>?19>52b64916=:j>=19>52b6:902=:j>318:52b6c902=:j>h18:52b6a902=:j?;18:52b70902=:j?918:52b76902=:j??18:52b74902=:j?=18:52b7:902=:j?318:52b7c902=:j?i18:52b7f902=:j?o18:52b7d902=:j>:18:52b63902=:j>818:52b61902=:j>>18:5rs8494?42sW92<6P66:?673<5jj16:985999>202=91=01:<?:0:4?816n3;3463:0`82<2=:j?:19?52b7`917=:j>?19?52b64917=:j>=19?52b6:903=:j>318;52b6c903=:j>h18;52b6a903=:j?;18;52b70903=:j?918;52b76903=:j??18;52b74903=:j?=18;52b7:903=:j?318;52b7c903=:j?i18;52b7f903=:j?o18;52b7d903=:j>:18;52b63903=:j>818;52b61903=:j>>18;5rs8794?42sW93j6P65:?670<5jj16:9;5999>205=91=01:<>:0:4?81583;3463:0982<2=:j?:19<52b7`914=:j>?19<52b64914=:j>=19<52b6:900=:j>318852b6c900=:j>h18852b6a900=:j?;18852b70900=:j?918852b76900=:j??18852b74900=:j?=18852b7:900=:j?318852b7c900=:j?i18852b7f900=:j?o18852b7d900=:j>:18852b63900=:j>818852b61900=:j>>1885rs8694?42sW93i6P64:?671<5jj16:9:5999>204=91=01:<=:0:4?81593;3463:0782<2=:j?:19=52b7`915=:j>?19=52b64915=:j>=19=52b6:916=:j>319>52b6c916=:j>h19>52b6a916=:j?;19>52b70916=:j?919>52b76916=:j??19>52b74916=:j?=19>52b7:916=:j?319>52b7c916=:j?i19>52b7f916=:j?o19>52b7d916=:j>:19>52b63916=:j>819>52b61916=:j>>19>5rs8194?42sW93h6P63:?676<5jj16:9=5999>207=91=01:<<:0:4?815:3;3463:0582<2=:j?:18k52b7`90c=:j>?18k52b6490c=:j>=18k52b6:917=:j>319?52b6c917=:j>h19?52b6a917=:j?;19?52b70917=:j?919?52b76917=:j??19?52b74917=:j?=19?52b7:917=:j?319?52b7c917=:j?i19?52b7f917=:j?o19?52b7d917=:j>:19?52b63917=:j>819?52b61917=:j>>19?5rs8094?42sW93o6P62:?677<5jj16:9<5999>206=91=01:<;:0:4?815;3;3463:0382<2=:j?:18h52b7`90`=:j>?18h52b6490`=:j>=18h52b6:914=:j>319<52b6c914=:j>h19<52b6a914=:j?;19<52b70914=:j?919<52b76914=:j??19<52b74914=:j?=19<52b7:914=:j?319<52b7c914=:j?i19<52b7f914=:j?o19<52b7d914=:j>:19<52b63914=:j>819<52b61914=:j>>19<5rs8394?42sW93n6P61:?674<5jj16:9?5999>21`=91=01:<::0:4?815<3;3463:0182<2=:j?:18i52b7`90a=:j>?18i52b6490a=:j>=18i52b6:915=:j>319=52b6c915=:j>h19=52b6a915=:j?;19=52b70915=:j?919=52b76915=:j??19=52b74915=:j?=19=52b7:915=:j?319=52b7c915=:j?i19=52b7f915=:j?o19=52b7d915=:j>:19=52b63915=:j>819=52b61915=:j>>19=5rs8294?42sW93m6P60:?675<5jj16:9>5999>21c=91=01:<9:0:4?815=3;3463;fd82<2=:j?:18n52b7`90f=:j>?18n52b6490f=:j>=18n52b6:90c=:j>318k52b6c90c=:j>h18k52b6a90c=:j?;18k52b7090c=:j?918k52b7690c=:j??18k52b7490c=:j?=18k52b7:90c=:j?318k52b7c90c=:j?i18k52b7f90c=:j?o18k52b7d90c=:j>:18k52b6390c=:j>818k52b6190c=:j>>18k5rs9d94?42sW9356P7f:?66g<5jj16:>h5999>21b=91=01:<8:0:4?815>3;3463;fb82<2=:j?:18o52b7`90g=:j>?18o52b6490g=:j>=18o52b6:90`=:j>318h52b6c90`=:j>h18h52b6a90`=:j?;18h52b7090`=:j?918h52b7690`=:j??18h52b7490`=:j?=18h52b7:90`=:j?318h52b7c90`=:j?i18h52b7f90`=:j?o18h52b7d90`=:j>:18h52b6390`=:j>818h52b6190`=:j>>18h5rs9;94?43sW93?6P79:?66d<5jj16:>l5999>21>=91=01:<8:0:;?837l3;3:63m61870>;e>k0?863m74870>;e??0?863m76870>;e?10?h63m7887`>;e?h0?h63m7c87`>;e?j0?h63m6087`>;e>;0?h63m6287`>;e>=0?h63m6487`>;e>?0?h63m6687`>;e>10?h63m6887`>;e>h0?h63m6b87`>;e>m0?h63m6d87`>;e>o0?h63m7187`>;e?80?h63m7387`>;e?:0?h63m7587`>{t;;o1<7;t^20f?82>138io6377682<d=:?l>1=574=55`>4>03ty8>n4?:4y]77e<5=336?ll;<:43?7?027<i94>869>032=91=0q~==b;291~X4:k1684952ca89=11282j709j3;3;=>;3>;0:4:5rs20b>5<2sW99m63;9781ff=:0><1=564=6g0>4>034>=<7?77:\7fp761=83?pR>=8;<6b5?4ek273;84>8`9>3`4=91301999:0:4?xu4;?0;68uQ324891g72;hh70685;3;<>;0m;0:4:5246695=1<uz9897>55z\070=:<0l1>om4=957>4>f34=n=7?79:?737<60>1v\7f>=;:186\7f[54<27?5h4=bb9><22=91201:k>:0:4?82083;3;6s|32194?3|V:9870:6d;0ag>;??:0:4l527d295=?<5=<n6<68;|q077<72<qU?><4=5;`>7dd342<?7?78:?4a5<60>168;m51958yv5493:19vP<309>0<d=:ki0159=:0:b?81cn3;3563;6`82<2=z{:9;6=4:{_104>;31h09nn5286095=><5>nm6<68;<65<?7??2wx??h50;7xZ64a34>297<mc:?;34<60h16;ik519;89101282<7p}<2883>0}Y;;30197;:3``?8>093;34638dd82<2=:<>=1=584}r5e>5<6>rT8?i5Q7g9>003=:ki01;m<:6789344282370o77;56?80?83;3;6375b82<d=:0o<1=564=9d6>4>?342m87?78:?;b6<601164k<519;89=`6282j706i0;3;=>;?mo0:455242:95=1<5k<;6864=c4a>0><5k=>6864=c55>0><5k=<6864}r5g>5<6>rT8?o5Q7e9>002=:ki01;<=:0:;?8g?>3=>70871;3;3>;1090:455284a95=><51l=6<68;<:e1?7??273j94>889><c5=91=015h=:0:4?8>a93;34637f182<2=:0ll1=594=503>4>034h=<7;8;<`5f?3034h<97;8;<`42?3034h<;7;8;|q4g?6=9?qU?>o4^6a891342;hh708=1;3;<>;f0<0<96398382<2=:>1;1=564=97a>4>>342m:7?79:?;b0<600164k:519589=`42822706i2;3;<>;?n80:4:528g295=><51om6<66;<62a?7??27i:=4:6:?a2g<2>27i;84:6:?a33<2>27i;:4:6:\7fp3g<72;?pR>=6;_5a?822:38io6392182<==:>191=594=7:1>4>?342>n7?7a:?75f<60>16n;>5549>f3d==<16n:;5549>f20==<16n:95549>f2>==116n:75599>f2g==116n:l5599>f2e==116n;?5599>f34==116n;=5599>f32==116n;;5599>f30==116n;95599>f3>==116n;75599>f3g==116n;m5599>f3b==116n;k5599>f3`==116n:>5599>f27==116n:<5599>f25==116n::5599~w=>=838>wS=;6:\;<>;3=m09nn5263a95=><5?2?6<68;<4;7?7?02739l4>889>064=91=01o8?:7189g0e2?901o9::7189g112?901o98:7189g1?2<=01o96:4589g1f2<=01o9m:4589g1d2<=01o8>:4589g052<=01o8<:4589g032<=01o8::4589g012<=01o88:4589g0?2<=01o86:4589g0f2<=01o8l:4589g0c2<=01o8j:4589g0a2<=01o9?:4589g162<=01o9=:4589g142<=01o9;:458yv>029099vP<449]<2=:<<i1>om4=70a>4>?34<397?77:?5<1<6011648o519c89157282<70l90;41?8d1j3<970l85;41?8d0>3<970l87;41?8d003?=70l89;75?8d0i3?=70l8b;75?8d0k3?=70l91;75?8d1:3?=70l93;75?8d1<3?=70l95;75?8d1>3?=70l97;75?8d103?=70l99;75?8d1i3?=70l9c;75?8d1l3?=70l9e;75?8d1n3?=70l80;75?8d093?=70l82;75?8d0;3?=70l84;75?xu?>3:1>8uQ3568Z=0<5=?i6?ll;<41e?7?027=4;4>869>2=3=912015;6:0::?825m3;3;63m61855>;e>k0==63m74855>;e??0==63m76855>;e?10>963m78861>;e?h0>963m7c861>;e?j0>963m60861>;e>;0>963m62861>;e>=0>963m64861>;e>?0>963m66861>;e>10>963m68861>;e>h0>963m6b861>;e>m0>963m6d861>;e>o0>963m71861>;e?80>963m73861>;e?:0>963m75861>{t0<0;6?;t^260?[>234>>m7<mc:?56<<60116:595195893>12823706:9;3;e>;3:j0:4:52b72925=:j?h1:=52b67925=:j><1:=52b65925=:j>21:>52b6;926=:j>k1:>52b6`926=:j>i1:>52b73926=:j?81:>52b71926=:j?>1:>52b77926=:j?<1:>52b75926=:j?21:>52b7;926=:j?k1:>52b7a926=:j?n1:>52b7g926=:j?l1:>52b62926=:j>;1:>52b60926=:j>91:>52b66926=z{1>1<7<:{_176>X?<27?944=bb9>27>=91201;67:0:4?80??3;346375982<<=:<;k1=594=c43>0`<5k<i68h4=c56>0`<5k==68h4=c54>0`<5k=36;<4=c5:>34<5k=j6;<4=c5a>34<5k=h6;<4=c42>34<5k<96;<4=c40>34<5k<?6;<4=c46>34<5k<=6;<4=c44>34<5k<36;<4=c4:>34<5k<j6;<4=c4`>34<5k<o6;<4=c4f>34<5k<m6;<4=c53>34<5k=:6;<4=c51>34<5k=86;<4=c57>34<uz286=4=5z\004=Y0:1688652ca89340282370879;3;3>;1010:455284:95=g<5=836<68;<`54?3b34h=n7;j;<`41?3b34h<:7;j;<`43?3b34h<478>;<`4=?0634h<m78>;<`4f?0634h<o78>;<`55?0634h=>78>;<`57?0634h=878>;<`51?0634h=:78>;<`53?0634h=478>;<`5=?0634h=m78>;<`5g?0634h=h78>;<`5a?0634h=j78>;<`44?0634h<=78>;<`46?0634h<?78>;<`40?063ty3>7>524y]716<V18019;8:3``?805>3;346398`82<2=:>131=564=974>4>>34>9:7?77:?a25<2l27i:o4:d:?a30<2l27i;;4:d:?a32<2l27i;5490:?a3<<1827i;l490:?a3g<1827i;n490:?a24<1827i:?490:?a26<1827i:9490:?a20<1827i:;490:?a22<1827i:5490:?a2<<1827i:l490:?a2f<1827i:i490:?a2`<1827i:k490:?a35<1827i;<490:?a37<1827i;>490:?a31<182wx4<4?:37xZ65a3W2:70::6;0ag>;1:<0:455269`95=1<5?2j6<67;<:63?7?i27?>94>869>f36==j16n;l55b9>f23==j16n:855b9>f21==j16n:655g9>f2?==o16n:o55g9>f2d==o16n:m55g9>f37==o16n;<55g9>f35==o16n;:55g9>f33==o16n;855g9>f31==o16n;655g9>f3?==o16n;o55g9>f3e==o16n;j55g9>f3c==o16n;h55g9>f26==o16n:?55g9>f24==o16n:=55g9>f22==o1v\7f5>50;06\7f[54m2T3<63;5081ff=:>;>1=564=7:`>4>034<3n7?78:?;13<60h168?<519589g072<h01o8m:4`89g122<h01o99:4`89g102<h01o97:4g89g1>2<o01o9n:4g89g1e2<o01o9l:4g89g062<o01o8=:4g89g042<o01o8;:4g89g022<o01o89:4g89g002<o01o87:4g89g0>2<o01o8n:4g89g0d2<o01o8k:4g89g0b2<o01o8i:4g89g172<o01o9>:4g89g152<o01o9<:4g89g132<o0q~9n:1810~X4;11U;l5244296ge<5?;m6<67;<4;g?7?02739;4>889>065=91<01o8?:4689g0e2<>01o9::4689g112<>01o98:4689g1?2<n01o96:4f89g1f2<n01o9m:4f89g1d2<n01o8>:4f89g052<n01o8<:4f89g032<n01o8::4f89g012<n01o88:4f89g0?2<n01o86:4f89g0f2<n01o8l:4f89g0c2<n01o8j:4f89g0a2<n01o9?:4f89g162<n01o9=:4f89g142<n01o9;:4f8yv53k3:1;vP<4b9>2<4=:ki01;:l:0:;?8>e:3;3m6379682<d=:0:i1=5o4=902>4>f3ty88l4?:6y]71g<5?3:6?ll;<47f?7?0273n?4>899><<1=912015=l:0:;?8>593;346s|35;94?1|V:>270860;0ag>;1<h0:45528c395=g<513=6<6n;<:0f?7?i273>=4>8`9~w62?290<wS=;8:?5<c<5jj16:97519:89=d6282370666;3;<>;?;k0:455283295=><uz9>97>57z\010=:>0k1>om4=776>4>?342i<7?7a:?;=0<60h164>o519c89=7a282j7p}<5583>2}Y;<>01;76:3``?802<3;34637b182<==:00?1=564=91b>4>?342:j7?78:\7fp705=83=pR>;<;<4:<?4ek27=9>4>899><d`=91k0157;:0:b?8>413;3m6371d82<d=z{:?96=48{_166>;11>09nn5264095=><51km6<67;<::0?7?0273?44>899><4c=9120q~=:1;293~X4=816:4852ca893362823706ne;3;e>;?1:0:4l5282:95=g<51;o6<6n;|q015<72>qU?8>4=7;6>7dd34<><7?78:?;e`<6011644=519:89=5?2823706>d;3;<>{t;=l1<79t^26e?80><38io6394g82<==:0hn1=5o4=9;1>4>f3428;7?7a:?;5f<60h1v\7f>:j:184\7f[53m27=5>4=bb9>21c=912015ok:0:;?8>>:3;346373682<==:08i1=564}r17`?6=?rT88i5269g96ge<5?>o6<67;<:bg?7?i2735<4>8`9><60=91k015?m:0:b?xu4<>0;6:uQ355893>c2;hh708;8;3;<>;?ij0:455288395=><519=6<67;<:2f?7?02wx>km50;0xZ7`d342o;7<mc:\7fp6cg=838pR?hn;<:g2?4ek2wx>k750;0xZ7`>342o97<mc:\7fp6c>=838pR?h7;<:g0?4ek2wx?=;50;0xZ662342oj7<mc:\7fp752=838pR>>;;<:ga?4ek2wx?==50;0xZ664342oh7<mc:\7fp754=838pR>>=;<:gg?4ek2wx?=?50;0xZ666342on7<mc:\7fp756=838pR>>?;<:ge?4ek2wx>kh50;0xZ7`a342o57<mc:\7fp6cc=838pR?hj;<:g<?4ek2wx>kj50;0xZ7`c342o?7<mc:\7fp6c1=838pR?h8;<:g6?4ek2wx?8l50;6xZ63e34?<?7<mc:?;=2<6001698851958yv5213:18vP<589>124=:ki01578:0:4?834m3;3;6s|34:94?2|V:?370;81;0ag>;?1?0:445252a95=1<uz9>;7>54z\012=:=>:1>om4=9;5>4>034?8m7?77:\7fp732=83>pR>8;;<74f?4ek273584>889>106=91=0q~=93;290~X4>:169:o52ca89=?2282<70;;e;3;3>{t;?81<7:t^241?830138io6379582<<=:==i1=594}r155?6=<rT8:<5256:96ge<513?6<68;<77e?7??2wx?;>50;6xZ60734?<;7<mc:?;=6<6001699651958yv52n3:18vP<5g9>120=:ki0157<:0:4?833>3;3;6s|34g94?2|V:?n70;85;0ag>;?1;0:445255695=1<uz9>h7>54z\01a=:=>>1>om4=9;1>4>034??>7?77:\7fp70e=83>pR>;l;<75b?4ek2735<4>889>116=91=0q~=:6;290~X4=?169;k52ca89=?6282<70;:1;3;2>{t;?k1<7;t^24b?83e?38io637b382<<=:0;;1=574=4;b>4>03ty8:54?:4y]73><5<h=6?ll;<:a6?7??273><4>869>1=4=91=0q~=97;291~X4>>169o;52ca89=d62822706=0;3;=>;2090:4:5rs245>5<2sW9=:63:b581ff=:0k;1=594=903>4>034?<i7?77:\7fp725=83?pR>9<;<7ab?4ek273n=4>889><4`=9130187;:0:4?xu4?;0;68uQ360890db2;hh706m0;3;3>;?9o0:4:5258095=1<uz9<=7>55z\034=:=kn1>om4=9ce>4>>342:i7?79:?6=5<60>1v\7f>9?:186\7f[50827>nn4=bb9><d`=91=015?j:0:4?83?m3;3;6s|37d94?3|V:<m70;mb;0ag>;?il0:445280f95=?<5<2h6<68;|q02`<72<qU?;k4=4`b>7dd342ji7?77:?;5a<60>1695o51958yv51l3:19vP<6e9>1g?=:ki015ok:0::?8>6k3;3563:8982<2=z{:<h6=4:{_15g>;2j109nn528`f95=1<51;h6<68;<7;2?7??2wx?;l50;7xZ60e34?i?7<mc:?;ef<600164<l519;890>3282<7p}<6483>0}Y;??018l=:3``?8>fk3;3;6371c82<2=:=0?1=584}r35`?6=:rT::i5290:960><uz;=n7>52z\22g=:18=1>864}r35=?6=:rT::452907960><uz;=47>52z\22==:1891>864}r353?6=:rT:::52900960><uz;=:7>52z\223=:18;1>864}r351?6=:rT::852902960><uz;=87>52z\221=:19l1>864}r357?6=:rT::>5291g960><uz;=>7>52z\227=:19n1>864}r355?6=:rT::<5291a960><uz;=<7>52z\225=:19h1>864}r36a?6=:rT:9h52934960><uz;>h7>52z\21a=:1;?1>864}r36g?6=:rT:9n52936960><uz;>n7>52z\21g=:1;91>864}r36e?6=:rT:9l52930960><uz;>57>52z\21<=:1;;1>864}r36<?6=:rT:955290d960><uz;>;7>52z\212=:18>1>864}r362?6=:rT:9;5291;960><uz;>97>52z\210=:1921>864}r340?6=:rT:;952932960><uz;<?7>52z\236=:18o1>864}r346?6=:rT:;?5290f960><uz;<=7>52z\234=:18i1>864}r344?6=:rT:;=5290`960><uz;=j7>52z\22c=:18k1>864}r35a?6=:rT::h5290;960><uz;=m7>52z\22d=:18<1>864}r36b?6=:rT:9k5291c960><uz;>87>52z\211=:19=1>864}r0`2?6=:rT9o;527c`96ge<uz8h87>52z\1g1=:?kk1>om4}r0`7?6=:rT9o>527c;96ge<uz8h>7>52z\1g7=:?k21>om4}r0`b?6=:rT9ok527b196ge<uz8hi7>52z\1g`=:?j81>om4}r0``?6=:rT9oi527b396ge<uz8ho7>52z\1gf=:?j:1>om4}r0`f?6=:rT9oo527cd96ge<uz8hm7>52z\1gd=:?ko1>om4}r0`=?6=:rT9o4527cf96ge<uz8h47>52z\1g==:?ki1>om4}r0`3?6=:rT9o:527c596ge<uz8h=7>52z\1g4=:?k<1>om4}rd7>5<>sWl?70l9d;d`?8d1m3lh70l9f;d`?8d083lh70l81;d`?8d0:3lh70l83;d`?8d0<3lh7p}i2;29=~Xa:27i:i4m7:?a2`<e?27i:k4m7:?a35<e?27i;<4m7:?a37<e?27i;>4m7:?a31<e?2wxj=4?:8y]b5=:j?n1n;52b7g9f3=:j?l1n;52b629f3=:j>;1n;52b609f3=:j>91n;52b669f3=z{ll1<77t^dd89g0c2k?01o8j:c789g0a2k?01o9?:c789g162k?01o9=:c789g142k?01o9;:c78yvcb2902wSkj;<`5`?d334h=i7l;;<`5b?d334h<<7l;;<`45?d334h<>7l;;<`47?d334h<87l;;|qf`?6=1rTnh63m6e8a7>;e>l0i?63m6g8a7>;e?90i?63m708a7>;e?;0i?63m728a7>;e?=0i?6s|eb83><}Ymj16n;j5ag9>f3c=io16n;h5ag9>f26=io16n:?5ag9>f24=io16n:=5ag9>f22=io1v\7fhl50;;xZ`d<5k<o6l:4=c4f>d2<5k<m6l:4=c53>d2<5k=:6l:4=c51>d2<5k=86l:4=c57>d2<uzoj6=46{_gb?8d1l33270l9e;;:?8d1n33270l80;;:?8d0933270l82;;:?8d0;33270l84;;:?xub13:15vPj9:?a21<ak27i:84ic:?a23<ak27i::4ic:?a2=<ak27i:44ic:?a2d<ak27i:n4ic:\7fpa=<720qUi552b769f2=:j??1n:52b749f2=:j?=1n:52b7:9f2=:j?31n:52b7c9f2=:j?i1n:5rsd594??|Vl=01o8;:c489g022k<01o89:c489g002k<01o87:c489g0>2k<01o8n:c489g0d2k<0q~k::18:\7f[c234h=87l:;<`51?d234h=:7l:;<`53?d234h=47l:;<`5=?d234h=m7l:;<`5g?d23tyn87>59z\f0>;e>=0i863m648a0>;e>?0i863m668a0>;e>10i863m688a0>;e>h0i863m6b8a0>{tm:0;64uQe29>f32=j:16n;;5b29>f30=j:16n;95b29>f3>=j:16n;75b29>f3g=j:16n;m5b29~w`4=833pRh<4=c47>d`<5k<>6lh4=c45>d`<5k<<6lh4=c4;>d`<5k<26lh4=c4b>d`<5k<h6lh4}rg2>5<>sWo:70l94;c7?8d1=3k?70l96;c7?8d1?3k?70l98;c7?8d113k?70l9a;c7?8d1k3k?7p}j0;29=~Xb827i:9469:?a20<>127i:;469:?a22<>127i:5469:?a2<<>127i:l469:?a2f<>12wxhk4?:8y]`c=:j>21jn52b6;9bf=:j>k1jn52b6`9bf=:j>i1jn52b739bf=:j?81jn52b719bf=z{mo1<77t^eg89g1?2k=01o96:c589g1f2k=01o9m:c589g1d2k=01o8>:c589g052k=01o8<:c58yvbc2902wSjk;<`4<?d134h<57l9;<`4e?d134h<n7l9;<`4g?d134h==7l9;<`56?d134h=?7l9;|qgg?6=1rToo63m798a1>;e?00i963m7`8a1>;e?k0i963m7b8a1>;e>80i963m638a1>;e>:0i96s|fc83><}Ynk16n:65b59>f2?=j=16n:o5b59>f2d=j=16n:m5b59>f37=j=16n;<5b59>f35=j=1v\7fko50;;xZcg<5k=36o=4=c5:>g5<5k=j6o=4=c5a>g5<5k=h6o=4=c42>g5<5k<96o=4=c40>g5<uzl26=46{_d:?8d003km70l89;ce?8d0i3km70l8b;ce?8d0k3km70l91;ce?8d1:3km70l93;ce?xua03:15vPi8:?a3=<f<27i;44n4:?a3d<f<27i;o4n4:?a3f<f<27i:<4n4:?a27<f<27i:>4n4:\7fpb2<720qUj:52b6:9=<=:j>315452b6c9=<=:j>h15452b6a9=<=:j?;15452b709=<=:j?91545rsg494?4|Vo<01o98:8;8yv`22909wSh:;<`42??>3tym=7>52z\e5>;e?<0256s|e783>7}Ym?16n;l5989~wad=838pRil4=c43><?<uz;n57>518y>75d=:<h018m?:8:8901d202019o=:8:8905?202019;j:8:891`3202019?n:8:89=2?2?l0158<:7d89=>42?l0157j:7d892b72?l01:km:7d89=6c2?l015<7:7d8934c2?l01;?=:7d893312?l01;=m:7d89g0728=<70l9b;343>;e?<0:;:52b649521<5k=<6<98;|q;a1<72:q64h<5749><`2=:ki015k<:678yv>b83:15<u28d096ge<51o?6;k4=5g5>24<5=o<6?;i;<6fg?1534>nh79=;<6fa?1534>nj79=;<6e4?1534>m=79=;<6e6?1534>m?79=;<6f<?1534>nn79=;<6f=?1534>nm79=;<6:0?42n27?58482:?7=d<0:27?5o482:?7=f<0:27?5i482:?7=`<0:27?5k482:?7e5<0:27?m<482:?7=3<0:27?54482:?7=2<0:27?55482:?715<0:27?9<482:?713<0:27?9:482:?71=<0:27?94482:?71d<0:27?9o482:?71f<0:27?9i482:?717<0:27?9>482:?711<0:27?98482:?;04<5=o16;n9524d893e42>801;m=:60893e62>801;m?:60893ee2>801;mn:60893e>2>801;m7:60893e02>801;m9:60893e22>801;m;:60893da2>801;lj:608924c2>801:<l:608924e2>801:<n:60892522>801:=;:60892542>801:==:60892562>801:=?:608924a2>801:<j:608924>2>801:<7:608921f2>801:96:608921?2>801:98:60892>52>801:6>:60892>72>801:9i:608921b2>801:9k:608921d2>801:9m:60892112>801:9::60892?12>801:7::60892?32>801:7<:60892?b2>801:7k:60892?d2>801:7m:60892?f2>801:76:60892??2>801:78:60892?52>801:7>:60892de2>801:ln:60892d>2>801:l7:60892e42>801:m=:60892e62>801:m?:60892da2>801:lj:60892dc2>801:ll:60892d02>801:l9:6089g072;no70l9b;0g`>;e?<09hi52b6496ab<5k=<6?jk;<`4<?4cl27i;44=de9>f2g=:mn01o9m:3fg?8d0k38oh63m6081`a=:j?81>ij4=c40>7bc34h=87<kd:?a20<5lm16n;852ef89g002;no70l98;0g`>;e>009hi52b7c96ab<5k<h6?jk;<`5`?4cl27i:h4=de9>f3`=:mn01o9?:3fg?8d0938oh63m7381`a=:j>91>ij4=c57>7bc3ty3i84?:8dx9=c12?o015k::3``?807<38>j63904811c=:>9k1;?5261`937=:>9i1;?5261f937=:>9o1;?5261d937=:>8:1;?52603937=:>9<1;?5261;937=:>9=1;?5261:937=:=k81;?525c1960`<5<h36:<4=4`:>24<5<hj6:<4=4`a>24<5<hh6:<4=4`g>24<5<hn6:<4=4`e>24<5<h?6:<4=4`4>24<5<h>6:<4=4`5>24<5<<n6?;i;<75b?1534?<879=;<741?1534?<:79=;<743?1534?<479=;<74=?1534?<m79=;<74f?1534?<<79=;<747?1534?<=79=;<746?1534?9m79=;<71f?1534?8<79=;<705?1534?8>79=;<707?1534?8879=;<701?1534?8:79=;<703?1534?9o79=;<71`?1534?9i79=;<71b?15342<o7<:f:?;41<5=o16:4<5739>2<7=?;16:4>5739>2=`=?;16:4o5739>2<?=?;16:465739>2<1=?;16:485739>2<3=?;16:4:5739>2<5=?;16:5k5739>2=b=?;16:ih5739>2ac=?;16:ij5739>2ae=?;16:h95739>2`0=?;16:h;5739>2`2=?;16:h=5739>2`4=?;16:h?5739>2`6=?;16:il5739>2ag=?;16:kl5739>2cg=?;16:k75739>2c>=?;16;==5739>354=?;16;=?5739>356=?;16:kh5739>2cc=?;16:kj5739>2ce=?;16:k95739>2c0=?;16;8k5739>30b=?;16;8m5739>30d=?;16;;85739>333=?;16;;:5739>335=?;16;;<5739>337=?;16;;>5739>30`=?;16;8o5739>30?=?;164i95739><a0=?;164i;5739><a2=?;164ih5739><ac=?;164ij5739><ae=?;164il5739><ag=?;164i75739><a>=?;164i=5739><a4=?;16n;>52ea89g0e2;nh70l85;0gg>;e??09hn52b6596ae<5k=36?jl;<`4=?4ck27i;l4=db9>f2d=:mi01o9l:3f`?8d1938oo63m6381`f=:j?91>im4=c47>7bd34h=97<kc:?a23<5lj16n;952ea89g0?2;nh70l99;0gg>;e>h09hn52b7a96ae<5k<o6?jl;<`5a?4ck27i:k4=db9>f26=:mi01o9>:3f`?8d0:38oo63m7281`f=:j>>1>im4}r:f2?6=:r73i;4=bb9><`3=?<1v\7f8k7:180\7f807<3=>70;l0;0g2>;2l009955rs4d5>5<4s4<;87<mc:?;73<60>169i751948yv3bl3:1>v3904841>;2lo09955rs4da>5<4s4<;97<mc:?;73<600169n651958yv3bm3:1>v390`841>;2m909955rs4d`>5<4s4<;m7<mc:?;72<60>169no51958yv3bn3:1>v390c841>;2m809955rs4dg>5<4s4<;n7<mc:?;72<600169nm51958yv3a83:1>v390b841>;2m;09955rs4df>5<4s4<;o7<mc:?;7=<60>169nk51958yv3a93:1>v390e841>;2m:09955rs4de>5<4s4<;h7<mc:?;7=<600169i>51958yv3a:3:1>v390d841>;2m=09955rs723>5<4s4<;i7<mc:?;7<<60>169i<51958yv3a;3:1>v390g841>;2m<09955rs722>5<4s4<;j7<mc:?;7<<600169i:51958yv3a<3:1>v3911841>;2m?09955rs721>5<4s4<:<7<mc:?;7d<60>169i851958yv3a=3:1>v3910841>;2m>09955rs720>5<4s4<:=7<mc:?;7d<600169i651958yv3b13:1>v3907841>;2lh09955rs4d4>5<4s4<;:7<mc:?;7g<60>169n<51958yv3bk3:1>v3908841>;2lm09955rs4db>5<4s4<;57<mc:?;7f<600169ik51958yv3bi3:1>v3906841>;2lk09955rs4d;>5<4s4<;;7<mc:?;7g<600169n:51958yv3bj3:1>v3909841>;2lj09955rs4d:>5<4s4<;47<mc:?;7f<60>169n851958yv3d83:1?v3:c1811==:=j=1:k525ed92c=z{<i36=4<{<7`3?4c>27>hk4>dc9>1f>=:<20q~;l7;297~;2k>0995525b;92c=:=l:1:k5rs4ab>5<4s4?h57<k6:?6a5<6lk169no524:8yv3d13:1?v3:c8811==:=jh1:k525d392c=z{<ih6=4<{<7`f?4c>27>i<4>dc9>1fe=:<20q~;lb;297~;2kk0995525bf92c=:=l81:k5rs4af>5<4s4?hh7<k6:?6a7<6lk169nk524:8yv3dl3:1?v3:ce811==:=jl1:k525d192c=z{<n;6=4<{<7`b?4c>27>i>4>dc9>1a6=:<20q~;lf;297~;2ko0995525e392c=:=l>1:k5rs4f1>5<4s4?o=7<k6:?6a1<6lk169i<524:8yv3c93:1?v3:d0811==:=m91:k525d792c=z{<n?6=4<{<7g7?4c>27>i84>dc9>1a2=:<20q~;k3;297~;2l:0995525e792c=:=l<1:k5rs4f5>5<4s4?o97<k6:?6a3<6lk169i8524:8yv3c=3:1?v3:d4811==:=m=1:k525d592c=z{<n36=4<{<7g3?4c>27>i:4>dc9>1a>=:<20q~;k7;297~;2l>0995525b392c=:=mk1:k5rs4a1>5<4s4?h=7<k6:?6`d<6lk169n<524:8yv3d93:1?v3:c0811==:=j91:k525e`92c=z{<i?6=4<{<7`7?4c>27>ho4>dc9>1f2=:<20q~;l3;297~;2k:0995525b792c=:=mi1:k5rs4a5>5<4s4?h97<k6:?6`f<6lk169n8524:8yv3d=3:1>v3:c4811==:=mn1:k5rs4ff>5<5s4?oh7?kb:?6``<5=11v\7f8o;:180\7f83e:3=>70;8c;0g2>;21<09955rs4c:>5<5s4?i?79:;<7:f?4202wx9lo50;0x90d?2>?0187l:37;?xu2ik0;6?u25c;930=:=0n1>864}r7bg?6=:r7>nl485:?6=`<5=11v\7f8ok:181\7f83ej3=>70;6f;06<>{t=ho1<7<t=4``>23<5<k;6?;7;|q6ec<72;q69oj5749>1d7=:<20q~;m0;296~;2jl0<963:a3811==z{<h:6=4={<7ab?1234?j?7<:8:\7fp1d3=838p18l;:67890?12;?37p}:a983>7}:=k=1;85258;960><uz?j:7>52z?6f0<0=27>5:4=599~w0g02909w0;m6;56?83>038>46s|56a94?5|5<=h6?;7;<7;7?0a34?2n78i;|q6<1<72:q695=52e4890?e28ni70;74;06<>{t=191<7=t=4:0>73?34?3978i;<7:g?0a3ty>4;4?:2y>1=3=:m<0187l:0fa?83?>38>46s|59794?5|5<2>6?;7;<7;3?0a34?2h78i;|q6<=<72:q695952e4890?c28ni70;78;06<>{t=1=1<7=t=4:4>73?34?3578i;<7:a?0a3ty>4l4?:2y>1=?=:m<0187j:0fa?83?i38>46s|59;94?5|5<226?;7;<7;f?0a34?2j78i;|q6<f<72:q695l52e4890?a28ni70;7c;06<>{t=1h1<7=t=4:a>73?34?3h78i;<7b4?0a3ty>4h4?:2y>1=b=:m<018o?:0fa?83?m38>46s|59f94?5|5<2o6?;7;<7;b?0a34?j=78i;|q6=5<72:q695h52e4890g628ni70;60;06<>{t=1l1<7=t=4:e>73?34?2=78i;<7b6?0a3ty>5?4?:2y>1<7=:m<018o=:0fa?83>:38>46s|58394?5|5<3:6?;7;<7:7?0a34?j?78i;|q6=1<72:q694=52e4890g428ni70;64;06<>{t=091<7=t=4;0>73?34?<h78i;<7:2?0a3ty>;h4?:2y>12b=:m<01879:0fa?830m38>46s|56f94?5|5<=o6?;7;<74b?0a34?2;78i;|q6<5<72:q69:h52e4890?028ni70;70;06<>{t=>l1<7=t=45e>73?34?3=78i;<7:<?0a3ty>4?4?:2y>1=7=:m<01877:0fa?83?:38>46s|59394?4|5<2:6?;7;<7:=?0a3ty>5l4?:3y>1<?=9mh0187n:37;?xu3kh0;6>u24d4930=:<h81>i84=5`a>73?3ty?h54?:2y>0`0=:ki01:h6:0:4?82ej3;3:6s|4bd94?4|5=o<6:;4=5a2>73?3ty?hi4?:2y>0`1=:ki01:h6:0::?82fi3;3;6s|4e294?4|5=oh6:;4=5a1>73?3ty?hh4?:2y>0`e=:ki01:hn:0:4?82fk3;3;6s|4e394?4|5=oo6:;4=5a0>73?3ty?hk4?:2y>0`b=:ki01:hn:0::?82fm3;3;6s|4e094?4|5=on6:;4=5a7>73?3ty?i=4?:2y>0`c=:ki01:hm:0:4?82e83;3;6s|4e194?4|5=om6:;4=5a6>73?3ty?i<4?:2y>0``=:ki01:hm:0::?82e:3;3;6s|4e694?4|5=l;6:;4=5a5>73?3ty?i?4?:2y>0c6=:ki01:hl:0:4?82e<3;3;6s|4e794?4|5=l:6:;4=5a4>73?3ty?i>4?:2y>0c7=:ki01:hl:0::?82e>3;3;6s|4e494?4|5=l96:;4=5a;>73?3ty?i94?:2y>0c4=:ki01:hk:0:4?82e03;3;6s|4e594?4|5=l86:;4=5a:>73?3ty?i84?:2y>0c5=:ki01:hk:0::?82ei3;3;6s|4b`94?4|5=o36:;4=5``>73?3ty?h44?:2y>0`>=:ki01:hj:0:4?82f<3;3;6s|4bg94?4|5=oi6:;4=5`e>73?3ty?hn4?:2y>0`d=:ki01:hi:0::?82d83;3;6s|4ba94?4|5=o26:;4=5`g>73?3ty?hl4?:2y>0`?=:ki01:hj:0::?82f>3;3;6s|4bf94?4|5=oj6:;4=5`f>73?3ty?ho4?:2y>0`g=:ki01:hi:0:4?82f03;3;6s|4`094?5|5=k96?;7;<6b=?0a34>h=78i;|q7ed<72:q68l752e4891e628ni70:na;06<>{t<h31<7=t=5c:>73?34>jn78i;<6`6?0a3ty?mn4?:2y>0dd=:m<019m=:0fa?82fk38>46s|4``94?5|5=ki6?;7;<6b`?0a34>h?78i;|q7e`<72:q68lj52e4891e428ni70:ne;06<>{t<hn1<7=t=5cg>73?34>jj78i;<6`0?0a3ty?n=4?:2y>0d`=:m<019m;:0fa?82e838>46s|4`d94?5|5=km6?;7;<6a5?0a34>h978i;|q7f7<72:q68o?52e4891e228ni70:m2;06<>{t<k;1<7=t=5`2>73?34>i?78i;<6`2?0a3ty?n94?:2y>0g5=:m<019m9:0fa?82e<38>46s|4c194?5|5=h86?;7;<6a1?0a34>h;78i;|q7f3<72:q68o;52e4891e028ni70:m6;06<>{t<k?1<7=t=5`6>73?34>i;78i;<6`<?0a3ty?n54?:2y>0g1=:m<019m7:0fa?82e038>46s|4c594?5|5=h<6?;7;<6a=?0a34>h578i;|q7fd<72:q68o752e4891e>28ni70:ma;06<>{t<k31<7=t=5`:>73?34>j?78i;<6ag?0a3ty?m94?:2y>0d5=:m<019ll:0fa?82f<38>46s|4`194?5|5=k86?;7;<6b1?0a34>ih78i;|q7e3<72:q68l;52e4891dc28ni70:n6;06<>{t<h?1<7=t=5c6>73?34>j;78i;<6aa?0a3ty?m54?:2y>0d1=:m<019lj:0fa?82f038>46s|4`594?4|5=k<6?;7;<6ab?0a3ty?o=4?:3y>0g`=9mh019m?:37;?xu2>90;6>u257g930=:=:21>i84=472>73?3ty>:84?:3y>13`=?<16989524:8yv31>3:1>v3:75841>;2=109955rs444>5<5s4?<979:;<76=?4202wx9;650;0x90112>?018;n:37;?xu2>00;6?u2565930=:=<h1>864}r75e?6=:r7>;5485:?61f<5=11v\7f88m:181\7f83013=>70;:d;06<>{t=?i1<7<t=45b>23<5<?n6?;7;|q62a<72;q69:l5749>10`=:<20q~;91;296~;2?90<963:53811==z{<<?6=4={<747?1234?>97<:8:\7fp134=838p189>:67890342;?37p}:6283>7}:=>81;852546960><uz?847>53z?67=<5=1169>h56g9>101=>o1v\7f8:?:180\7f834n38o:63:5682`g=:==:1>864}r70b?6=;r7>?k4=599>117=>o1698656g9~w0252908w0;;1;0g2>;2=10:ho52550960><uz??=7>53z?604<5=11699=56g9>10?=>o1v\7f8:;:180\7f833;38o:63:5882`g=:==>1>864}r777?6=;r7>8>4=599>113=>o1698o56g9~w0212908w0;;5;0g2>;2=h0:ho52554960><uz??97>53z?600<5=11699956g9>10d=>o1v\7f8:7:180\7f833?38o:63:5c82`g=:==21>864}r773?6=;r7>8:4=599>11?=>o1698m56g9~w02f2908w0;;9;0g2>;2=j0:ho5255c960><uz??57>53z?60<<5=11699l56g9>10b=>o1v\7f8:l:180\7f833j38o:63:5e82`g=:==i1>864}r77f?6=;r7>8o4=599>11b=>o1698k56g9~w02b2908w0;;d;0g2>;2=l0:ho5255g960><uz??h7>53z?60a<5=11699h56g9>10`=>o1v\7f8;?:180\7f833n38o:63:5g82`g=:=<:1>864}r77b?6=;r7>8k4=599>16?=>o1698<56g9~w05f2908w0;<9;0g2>;2=;0:ho5252c960><uz?857>53z?67<<5=1169>l56g9>105=>o1v\7f8=l:180\7f834j38o:63:5282`g=:=:i1>864}r70f?6=;r7>?o4=599>16b=>o1698:56g9~w05b2908w0;<d;0g2>;2==0:ho5252g960><uz?8h7>52z?67a<5=11698;56g9~w0312909w0;:5;3gf>;2=?09955rs5:5>5<4s4>2879:;<66a?4c>27?;:4=599~w1>e2909w0:65;56?820l38>46s|49a94?4|5=3j6:;4=55f>73?3ty?4i4?:3y>0<d=?<168:h524:8yv2?m3:1>v3;9b841>;30909955rs5:e>5<5s4>2h79:;<6;5?4202wx84>50;0x91?b2>?0196=:37;?xu3180;6?u248d930=:<191>864}r6:6?6=:r7?m=485:?7<1<5=11v\7f97<:181\7f82f93=>70:75;06<>{t<1=1<7<t=5;5>23<5==36?;7;|q7<d<72;q68475749>02d=:<20q~:78;296~;31>0<963;78811==z{=226=4={<6:<?1234><m7<:8:\7fp00c=839p19;j:37;?821=3<m70:8d;4e?xu3>?0;6>u247796a0<5==o6<jm;<652?4202wx8;;50;1x91022;?370:97;4e?820m3<m7p};6983>6}:<?=1>i84=55f>4be34>=47<:8:\7fp031=839p1988:37;?82113<m70:8f;4e?xu3>h0;6>u247;96a0<5==m6<jm;<65e?4202wx8;750;1x910>2;?370:9b;4e?82?83<m7p};6b83>6}:<?h1>i84=5:3>4be34>=o7<:8:\7fp03d=839p198m:37;?821l3<m70:71;4e?xu3>l0;6>u247f96a0<5=2:6<jm;<65a?4202wx8;j50;1x910c2;?370:9f;4e?82?:3<m7p};7183>6}:<?l1>i84=5:1>4be34><<7<:8:\7fp03`=839p198i:37;?82093<m70:73;4e?xu3?;0;6>u246396a0<5=286<jm;<646?4202wx8:?50;1x91162;?370:83;4e?82?<3<m7p};7583>6}:<>91>i84=5:7>4be34><87<:8:\7fp025=839p199<:37;?820=3<m70:75;4e?xu3??0;6>u246796a0<5=2>6<jm;<642?4202wx8:;50;1x91122;?370::f;4e?82003<m7p};6183>6}:<<l1>i84=55;>4be34>=<7<:8:\7fp00`=839p19;i:37;?82193<m70:89;4e?xu3>;0;6>u247396a0<5==26<jm;<656?4202wx8;?50;1x91062;?370:93;4e?820i3<m7p};6583>6}:<?91>i84=55b>4be34>=87<:8:\7fp035=838p198<:37;?820j3<m7p};7b83>7}:<>h1=il4=55`>73?3ty>=n4?:2y>17g=?<168k:52e48906c2;?37p}:2083>7}:=;h1;852501960><uz?9>7>52z?675<0=27>=94=599~w0442909w0;<1;56?836=38>46s|53694?4|5<996:;4=435>73?3ty>>84?:3y>165=?<169<9524:8yv35>3:1>v3:35841>;29109955rs404>5<5s4?8979:;<72=?4202wx9?650;0x90512>?018?n:37;?xu2:00;6?u2525930=:=8h1>864}r72`?6=:r7>>n485:?64`<5=11v\7f8?j:181\7f835l3=>70;?f;06<>{t=8l1<7<t=40f>23<5<;;6?;7;|q665<72;q69?h5749>147=:<20q~:i4;297~;3n=0995524g`92c=:=891:k5rs5d`>5<4s4>mn7<k6:?656<6lk168km524:8yv2aj3:1?v3;fc811==:<on1:k5250692c=z{=ln6=4<{<6e`?4c>27>=94>dc9>0cc=:<20q~:id;297~;3nm0995524gd92c=:=8?1:k5rs423>5<4s4>mj7<k6:?650<6lk169=>524:8yv2an3:1?v3;fg811==:=9;1:k5250492c=z{<:96=4<{<735?4c>27>=;4>dc9>154=:<20q~;?1;297~;28809955251192c=:=8=1:k5rs427>5<4s4?;?7<k6:?652<6lk169=:524:8yv37;3:1?v3:02811==:=9?1:k5250:92c=z{<:=6=4<{<731?4c>27>=54>dc9>150=:<20q~;?5;297~;28<09955251592c=:=831:k5rs42;>5<4s4?;;7<k6:?65<<6lk169=6524:8yv37?3:1?v3:06811==:=931:k5250c92c=z{<:j6=4<{<73=?4c>27>=l4>dc9>15g=:<20q~;?9;297~;28009955251`92c=:=8h1:k5rs42`>5<4s4?;n7<k6:?65g<6lk169=m524:8yv37j3:1?v3:0c811==:<o?1:k5251g92c=z{=l=6=4<{<6e1?4c>27><h4>dc9>0c0=:<20q~:i5;297~;3n<0995524g592c=:=9l1:k5rs5d;>5<4s4>m;7<k6:?64c<6lk168k6524:8yv2a?3:1?v3;f6811==:<o31:k5250292c=z{=lj6=4<{<6e=?4c>27>==4>dc9>0cg=:<20q~:i9;296~;3n009955250392c=z{<;96=4={<725?7cj27>=?4=599~w1252908w0::0;56?826i38o:63;32811==z{=><6=4={<665?1234>857<:8:\7fp01>=838p19;9:678915f2;?37p};4883>7}:<<=1;85242`960><uz>?m7>52z?71=<0=27??n4=599~w12e2909w0::9;56?824l38>46s|45a94?4|5=?j6:;4=51f>73?3ty?8i4?:3y>00d=?<168>h524:8yv23m3:1>v3;5b841>;3<909955rs56e>5<5s4>>h79:;<675?4202wx89=50;0x91352>?019=;:37;?xu3<=0;6?u2441930=:<:?1>864}r671?6=:r7?99485:?773<5=11v\7f9:9:181\7f822=3=>70:<7;06<>{t<8k1<7=t=53b>73?34>9=78i;<60=?0a3ty?>?4?:2y>077=:m<019=6:0fa?825:38>46s|43394?5|5=8:6?;7;<617?0a34>8m78i;|q761<72:q68?=52e48915f28ni70:=4;06<>{t<;91<7=t=500>73?34>9978i;<60f?0a3ty?>;4?:2y>073=:m<019=m:0fa?825>38>46s|43794?5|5=8>6?;7;<613?0a34>8o78i;|q76=<72:q68?952e48915d28ni70:=8;06<>{t<;=1<7=t=504>73?34>9578i;<60`?0a3ty?>l4?:2y>07?=:m<019=k:0fa?825i38>46s|43;94?5|5=826?;7;<61f?0a34>8i78i;|q76f<72:q68?l52e48915b28ni70:=c;06<>{t<;h1<7=t=50a>73?34>9h78i;<60b?0a3ty?>h4?:2y>07b=:m<019=i:0fa?825m38>46s|43f94?5|5=8o6?;7;<61b?0a34>?<78i;|q775<72:q68?h52e48912728ni70:<0;06<>{t<;l1<7=t=50e>73?34>8=78i;<675?0a3ty???4?:2y>067=:m<019:>:0fa?824:38>46s|42394?5|5=9:6?;7;<62f?0a34>8878i;|q75f<72:q68<l52e48915328ni70:>c;06<>{t<8h1<7=t=53a>73?34>:h78i;<601?0a3ty?=h4?:2y>04b=:m<019=::0fa?826m38>46s|40f94?5|5=;o6?;7;<62b?0a34>8:78i;|q765<72:q68<h52e48915128ni70:=0;06<>{t<8l1<7<t=53e>73?34>8;78i;|q77=<72;q68>951e`8915?2;?37p}74g83>7}:0=21>i84=975>73?3ty38?4?:3y><1>=:<2015:6:7d8yv>283:1>v374881`3=:0<=1>864}r:77?6=:r73844=599><1g=>o1v\7f5;>:181\7f8>3i38o:63759811==z{1>?6=4={<:7e?4202738o49f:\7fp<04=838p15:m:3f5?8>2138>46s|85794?4|51>i6?;7;<:7g?0a3ty39>4?:3y><1e=:m<015;n:37;?xu?<?0;6?u285a960><51>o6;h4}r:60?6=:r738i4=d79><0d=:<20q~6;7;296~;?<m09955285g92c=z{1?>6=4={<:7a?4c>2739n4=599~w=1?2909w06;e;06<>;?<90:4l5rs94b>5<5s42=?7<k6:?;34<5=11v\7f5;k:181\7f8>1;38>46376585b>{t0?h1<7<t=947>7b1342<>7<:8:\7fp<0c=838p158;:37;?8>1=3<m7p}76b83>7}:0??1>i84=950>73?3ty39k4?:3y><33=:<201589:7d8yv>1l3:1>v376781`3=:0>>1>864}r:54?6=:r73:;4=599><31=>o1v\7f58j:181\7f8>1?38o:63774811==z{1<:6=4={<:53?420273:549f:\7fp<3`=838p1587:3f5?8>0>38>46s|87094?4|51<36?;7;<:5=?0a3ty3;=4?:3y><3?=:m<01598:37;?xu??00;6?u287;960><51>;6<66;|q;7c<72;q649?5749><16=:<20q~67a;296~;?0:09h;52883960><uz2<h7>52z?;<6<5=11645:56g9~w=>e2909w0674;0g2>;?1;09955rs95f>5<5s42387<:8:?;<0<1n2wx45m50;0x9=>22;n=70663;06<>{t0>l1<7<t=9:6>73?3423:78i;|q;<a<72;q645852e489=?32;?37p}78183>7}:01<1>864=9:4>3`<uz23i7>52z?;<2<5l?1644;524:8yv>?93:1>v3786811==:0121:k5rs9:e>5<5s42347<k6:?;=3<5=11v\7f56=:181\7f8>?038>46378885b>{t00:1<7<t=9::>7b13422;7<:8:\7fp<g5=838p1566:37;?8>0j3;3m6s|8`794?4|513n6?j9;<:bg?4202wx44650;0x9=?b2;?37066f;4e?xu?i?0;6?u288d96a0<51ko6?;7;|q;=<<72;q644h524:89=g72?l0q~6n7;296~;?i909h;528`g960><uz22m7>52z?;e5<5=1164l?56g9~w=g?2909w06n1;0g2>;?io09955rs9;a>5<5s42j=7<:8:?;e7<1n2wx4l750;0x9=g52;n=706m0;06<>{t00i1<7<t=9c1>73?342j?78i;|q;ed<72;q64l=52e489=d62;?37p}79e83>7}:0h91>864=9c7>3`<uz2jn7>52z?;e1<5l?164o<524:8yv>e<3:1>v37a5811==:0>h1=574}r:4e?6=:r73;n485:?;3g<5=11v\7f:j8:181\7f81c838o:638dd811==z{>ij6=4={<5g4?42027<h<49f:\7fp3a>=838p1:j>:3f5?81cn38>46s|7b`94?4|5>n:6?;7;<5g6?0a3ty<h44?:3y>3a4=:m<01:k?:37;?xu0kj0;6?u27e0960><5>n86;h4}r5ge?6=:r7<h>4=d79>3`7=:<20q~9ld;296~;0l:0995527e692c=z{>ni6=4={<5g0?4c>27<i?4=599~w2eb2909w09k4;06<>;0l<0=j6s|7ea94?4|5>n>6?j9;<5f7?4202wx;nh50;0x92b22;?3709k6;4e?xu0lm0;6?u27e496a0<5>o?6?;7;|q;45<72;q6;i8524:892e>28227p}8f383>7}:?lh1>i84=6d:>73?3ty<i84?:3y>3`d=:<201:kl:7d8yv1a;3:1>v38eb81`3=:?ok1>864}r5f2?6=:r7<in4=599>3`b=>o1v\7f:h;:181\7f81bl38o:638fc811==z{>o<6=4={<5f`?42027<ih49f:\7fp3c3=838p1:kj:3f5?81ak38>46s|7d:94?4|5>on6?;7;<5fb?0a3ty<j;4?:3y>3``=:m<01:hk:37;?xu0m00;6?u27dd960><5>l;6;h4}r5e3?6=:r7<j=4=d79>3cc=:<20q~9ja;296~;0n90995527g392c=z{>l36=4={<5e5?4c>27<jk4=599~w=662909w09i1;06<>;0k00:4l5rs6a6>5<5s4=h;78j;<5`2?4202wx;n650;0x92e02>?01:m6:37;?xu?9=0;6?u281f96a0<51;i6?;7;|q;42<72;q64=j524:89=6b2?l0q~6>5;296~;?8l09h;5280a960><uz2;47>52z?;4`<5=1164=h56g9~w=712909w06?f;0g2>;?9m09955rs92:>5<5s42;j7<:8:?;55<1n2wx4<950;0x9=772;n=706>e;06<>{t09k1<7<t=933>73?342:=78i;|q;5=<72;q64<?52e489=7a2;?37p}70c83>7}:08;1>864=931>3`<uz2:57>52z?;57<5l?164?>524:8yv>7k3:1>v3713811==:0891:k5rs93b>5<5s42:?7<k6:?;64<5=11v\7f5=k:181\7f8>6;38>46370782<<=z{18m6=4={<:1<?4c>273?;4=599~w=452909w06=8;06<>;?:00=j6s|82294?4|51826?j9;<:03?4202wx4?=50;0x9=4>2;?3706=a;4e?xu?;80;6?u283c96a0<51936?;7;|q;61<72;q64?o524:89=4e2?l0q~6<2;296~;?:k09h;5282;960><uz2997>52z?;6g<5=1164?m56g9~w=542909w06=c;0g2>;?;h09955rs905>5<5s429o7<:8:?;6a<1n2wx4>:50;0x9=4c2;n=706<b;06<>{t0;=1<7<t=90g>73?3429i78i;|q;70<72;q64?k52e489=5d2;?37p}73d83>7}:0;o1>864=925>4>f3ty3<?4?:3y><52=>l164==524:8yv>7=3:1>v3705841>;?8?09955rs7f3>5<2s4<2>79:;<4gb?4ek27=5n4>899>2<c=91=01;o?:0::?xu11k0;6?u2683930=:>0i1>864}r4:`?6=:r7=5=485:?5=`<5=11v\7f;7i:184\7f80?n3=>708n0;06<>;1i;0:45526`695=1<5?k=6<66;<4b<?7?i27<=?4>8`9~w3g62909w086a;56?80f:38>46s|6`194?4|5?326:;4=7c7>73?3ty=m84?:3y>2<>=?<16:l8524:8yv0f?3:1>v3996841>;1ih09955rs7c`>5<5s4<2:79:;<4b`?4202wx:lk50;0x93?22>?01;oi:37;?xu0900;6?u2686930=:?831>864}r523?6=:r7=5>485:?45=<5=11v\7f:?::181\7f80?m3=>709>6;06<>{t?8;1<7<t=7:g>23<5>;:6?;7;|q5af<72;q6:ih5749>2cd=:ki0q~8jb;296~;1ll0<9639f`81ff=z{?im6=4;{<4ga?4ek27=5n4>869>2<c=91201;o?:0:b?xu1mh0;6?u26ef930=:>o31>om4}r4`a?6=;r7=hi4=bb9>2<c=91301;o?:0:4?xu1m00;6?u26ea930=:>o21>om4}r4``?6=:r7=hn4=bb9>2d6=9120q~8i5;296~;1m>0<96380281ff=z{?n26=49{<4f3?4ek27=m?4>869>2d2=91201;o9:0:b?80f03;356381382<==z{?l?6=4={<4f2?1234=;>7<mc:\7fp2a>=83?p1;k9:3``?80f<3;35639a782<2=:>h21=564=631>4>03ty=j>4?:3y>2`3=?<16;=?52ca8yv0c?3:18v39e481ff=:>h<1=564=7c;>4>034<j57?77:\7fp2c4=838p1;k;:67892672;hh7p}9d783>3}:>l>1>om4=63:>4>?34<jm7?77:?5ea<60116:lh519;893g>28237p}9f083>7}:>l91;8526gd96ge<uz<o97>55z?5a6<5jj16;<75195893gc282<708nf;3;<>;1i00:445rs7d3>5<5s4<n>79:;<4ea?4ek2wx:i:50;4x93c52;hh709>a;3;3>;1io0:4:5270395=><5>;36<67;<522?7?02wx:hh50;0x93c62>?01;hk:3``?xu1l:0;68u26d396ge<5>;j6<67;<525?7??27<=54>869>340=91=0q~8je;296~;1m90<9639fb81ff=z{?n96=4={<4f4?4ek27=m44>8`9~w3cc2909w08kb;56?80a?38io6s|6e394?5|5?ni6?ll;<527?7??27<=;4>889~w3c?2909w08ka;56?80a>38io6s|6ba94?4|5?nj6?ll;<527?7?02wx:o:50;0x93`e2>?01;m<:3``?xu1j:0;6?u26gc930=:>j81>om4}r4a6?6=:r7=j4485:?5g4<5jj1v\7f;l>:181\7f80a03=>708l0;0ag>{t>kn1<7<t=620>23<5?ii6?ll;|q5ff<72;q6;=<5749>2fg=:ki0q~8mb;296~;0880<9639c881ff=z{?hj6=4={<534?1234<h47<mc:\7fp2g?=838p1;hi:67893e02;hh7p}9b983>7}:>oo1;8526b496ge<uz<i;7>52z?5ba<0=27=o84=bb9~w3d12909w08ic;56?80d<38io6s|6c794?4|5?l<6:;4=7`e>7dd3ty=n=4?:3y>2c0=?<16:ok52ca8yv17<3:1>v39c3841>;10909955rs626>5<5s4<h=79:;<4;5?4202wx;=850;0x93e72>?01;6=:37;?xu08>0;6?u26b`930=:>191>864}r53<?6=:r7=ol485:?5<1<5=11v\7f:>6:181\7f80d13=>70875;06<>{t?9k1<7<t=7a;>23<5?2=6?;7;|q44g<72;q6:n95749>2=1=:<20q~9?c;296~;1k?0<963989811==z{>:o6=4={<4`1?1234<357<:8:\7fp35c=838p1;m;:67893>f2;?37p}80g83>7}:>kl1;85269`960><uz=:<7>52z?5f`<0=27=4n4=599~w20e290>w09=d;56?810i38io6383682<==:?:31=594=61a>4>>3ty<?;4?:3y>37e=?<16;>9524:8yv1403:1>v382c841>;0;009955rs61b>5<0s4=9m79:;<50f?42027<?i4>899>36`=91=01::>:0::?813;3;3m638ae82<d=z{>9h6=4={<501?1234=8h7<:8:\7fp36c=838p1:=;:678925a2;?37p}84183>7}:?:91;852753960><uz=?>7>52z?477<0=27<884=599~w2202909w09<1;56?813038>46s|75;94?4|5>9;6:;4=66b>73?3ty<n94?:3y>37`=?<16;o:524:8yv1e:3:1>v382d841>;0j:09955rs6`3>5<5s4=9579:;<5a5?4202wx;lm50;0x924?2>?01:ol:37;?xu00>0;6?u276c930=:?0<1>om4}r5;2?6=:r7<;4485:?4=0<5jj1v\7f:8n:187\7f810138io6383682<2=:?:31=564=61a>4>f3ty<484?:3y>32>=?<16;4:52ca8yv1113:1?v387981ff=:?:31=574=61a>4>03ty<494?:3y>321=?<16;4=52ca8yv1103:1>v387681ff=:?:h1=564}r5:4?6=:r7<4?485:?4=`<5jj1v\7f:9;:185\7f81?:38io6383e82<2=:?:l1=564=662>4>f34=??7?79:?4ea<6011v\7f:6i:181\7f81?93=>7096d;0ag>{t?>91<7;t=6:2>7dd34=8j7?79:?404<60>16;9=519:892gc282<7p}88d83>7}:?1:1;85278a96ge<uz=<>7>54z?4<5<5jj16;9?519:89224282<709;4;3;3>{t?1n1<7<t=65e>23<5>3i6?ll;|q434<72?q6;:h52ca892d32823709;5;3;3>;0<10:455275c95=?<5>>?6<67;|q4<f<72;q6;:k5749>3<g=:ki0q~980;291~;0?l09nn527c695=1<5>>36<68;<57e?7?027<894>889~w2>e2909w098d;56?81>138io6s|77d94?0|5>=o6?ll;<5a1?7??27<8l4>869>3de=91201:l<:0:;?81e93;346s|79c94?4|5>=h6:;4=6;;>7dd3ty<:h4?:4y>32e=:ki01:l::0:;?81fk3;3;638b282<2=:?k;1=594}r5;=?6=:r7<;o485:?4=2<5jj1v\7f:8k:181\7f810j38io6384582<d=z{>236=4={<542?1234=2>7<mc:\7fp33e=839p1:99:3``?81fm3;3;638b082<<=z{>286=4={<541?1234=2=7<mc:\7fp331=838p1:9::3``?81fm3;346s|75d94?4|5>3=6:;4=67f>7dd3ty<8h4?:3y>3<3=?<16;8j52ca8yv13l3:1>v3895841>;0=j09nn5rs66`>5<5s4=2?79:;<56f?4ek2wx;8650;0x92?b2>?01:89:3``?xu0=>0;6?u278f930=:???1>om4}r562?6=:r7<5n485:?421<5jj1v\7f:;::181\7f81>j3=>70993;0ag>{t?<>1<7<t=6;b>23<5><96?ll;|q416<72;q6;475749>337=:ki0q~9:2;296~;0110<96386181ff=z{>?:6=4={<5:3?1234=>j7<mc:\7fp306=838p1:7=:678923f2;hh7p}84c83>7}:?0;1;85274;96ge<uz=2j7>52z?41a<0=27<=o4=599~w2g72909w09:c;56?816k38>46s|7`394?4|5>?i6:;4=63g>73?3ty<m?4?:3y>330=?<16;<k524:8yv1f;3:1>v3864841>;09o09955rs6c7>5<5s4==879:;<514?4202wx;l;50;0x92042>?01:<>:37;?xu0i?0;6?u2770930=:?;81>864}r5b3?6=:r7<:<485:?466<5=11v\7f:o7:181\7f81183=>709=4;06<>{t?h31<7<t=67e>23<5>8>6?;7;|q4ed<72;q6;8o5749>370=:<20q~9nb;296~;0=00<963826811==z{?;>6=4={<405?0a34<:97<:8:\7fp22d=838p1;=>:0fa?805;38>46s|8c;94?4|5?9:6?;7;<5af?123ty==94?:2y>266=>o16:<;56g9>242=:<20q~88a;297~;1;90:ho5260796a0<5?896?;7;|q;f=<72;q6:>>524:892df2>?0q~8>3;297~;1:o0=j6391585b>;19:09955rs75:>5<4s4<9j7?kb:?551<5l?16:??524:8yv>e?3:1>v392g811==:?k31;85rs73f>5<4s4<9i78i;<427?0a34<:i7<:8:\7fp22>=839p1;<j:0fa?806;38o:63921811==z{1h=6=4={<41a?42027<n5485:\7fp24b=839p1;=n:7d8937b2?l01;?k:37;?xu1?>0;6>u262c95ad<5?;n6?j9;<41g?4202wx4n<50;0x935f2;?3709l3;56?xu19j0;6>u262;92c=:>8n1:k5260a960><uz<<:7>53z?57<<6lk16:<j52e48934e2;?37p}7c083>7}:>:31>864=6a1>23<uz<:n7>53z?57=<1n27==n49f:?55g<5=11v\7f;9::180\7f80403;on6391b81`3=:>;k1>864}r:`4?6=:r7=?54=599>3f7=?<1v\7f;?n:180\7f804?3<m708>b;4e?806i38>46s|66694?5|5?9<6<jm;<42f?4c>27=>44=599~w=da2909w08<7;06<>;0k90<96s|60;94?5|5?9=6;h4=73b>3`<5?;26?;7;|q536<72:q6:>851e`8937f2;n=708=8;06<>{t0ko1<7<t=715>73?34=ij79:;|q55=<72:q6:>;56g9>24?=>o16:<6524:8yv00:3:1?v393482`g=:>831>i84=704>73?3ty3ni4?:3y>263=:<201:lj:678yv06?3:1?v393585b>;1910=j63916811==z{?=:6=4<{<400?7cj27==54=d79>270=:<20q~6mc;296~;1;=0995527cf930=z{?;=6=4<{<407?0a34<:;78i;<422?4202wx::>50;1x935428ni708>7;0g2>;1:<09955rs9`a>5<5s4<8?7<:8:?4ff<0=2wx:<<50;1x93552?l01;?9:7d893752;?37p}96g83>6}:>:81=il4=735>7b134<987<:8:\7fp<gg=838p1;==:37;?81e?3=>7p}96d83>6}:>;n1=il4=731>7b134<:j7<:8:\7fp<g3=838p1;<k:37;?81e>3=>7p}93d83>7}:><k1:k5262g960><uz<=h7>52z?51d<6lk16:9m524:8yv>d?3:1>v395`811==:0m=1;85rs71g>5<4s4<>578i;<40a?0a34<8h7<:8:\7fp23e=839p1;;6:0fa?804m38o:6394c811==z{1i=6=4={<46=?420273h;485:\7fp26e=839p1;;7:7d8935c2?l01;=l:37;?xu1>k0;6>u264:95ad<5?9o6?j9;<47e?4202wx4n;50;0x933?2;?3706k5;56?xu1<>0;6>u264592c=:>:i1:k52655960><uz<=m7>53z?512<6lk16:>m52e48932>2;?37p}7c583>7}:><=1>864=9f7>23<uz<?:7>53z?526<1n27=8:49f:?503<5=11v\7f;86:180\7f801;3;on6394681`3=:><?1>864}r:g4?6=:r7=:>4=599><a`=?<1v\7f;:::180\7f801:3<m708;6;4e?803=38>46s|67:94?5|5?<96<jm;<472?4c>27=994=599~w=ea2909w0892;06<>;?ll0<96s|65694?5|5?<:6;h4=766>3`<5?>?6?;7;|q522<72:q6:;?51e`893222;n=708:3;06<>{t0jo1<7<t=742>73?342oh79:;|q506<72:q6:;>56g9>212=>o16:9=524:8yv01>3:1?v396182`g=:>=>1>i84=771>73?3ty3oi4?:3y>236=:<2015jl:678yv03:3:1?v395g85b>;1<:0=j63943811==z{?<>6=4<{<46b?7cj27=8>4=d79>207=:<20q~6lc;296~;1=o0995528e`930=z{?>:6=4<{<46a?0a34<?>78i;<475?4202wx:;:50;1x933b28ni708;2;0g2>;1=909955rs9aa>5<5s4<>i7<:8:?;`d<0=2wx:9>50;1x933c2?l01;:>:7d893272;?37p}97g83>6}:><n1=il4=762>7b134<?j7<:8:\7fp<fg=838p1;;k:37;?8>c13=>7p}93g83>6}:><i1:k5265292c=:>:l1>864}r44a?6=;r7=9n4>dc9>216=:m<01;:j:37;?xu?k00;6?u264a960><51n36:;4}r40f?6=;r7=9o49f:?57c<1n27=?o4=599~w31c2908w08:b;3gf>;1;o09h;5265f960><uz2h47>52z?51g<5=1164i=5749~w31d2908w08:6;3gf>;1;k09h;5265:960><uz2h?7>52z?513<5=1164i<5749~wd53290>w07=7;3;3>;>:>0:455299395=1<502:6<67;<`54?7502wxm5=50;62\7f8?5?38o:6368081`3=:1=31>i84=8c0>7b1343>97<k6:?:ec<5l?1658652e489<d52;n=707:9;0g2>;>j:09h;52a9496ge<50k:6?j9;<;73?4c>272m?4=d79>=1>=:m<014ok:3f5?8?2;38o:636b981`3=:1<o1>i84=8`b>7b1343=<7<k6:?:fg<5l?165;?52e489<dd2;n=70792;0g2>;>jm09h;5297196a0<50hn6?j9;<;50?4c>272nk4=d79>=33=:m<014o;:3f5?8?3i38o:636a481`3=:1=h1>i84=8c5>7b1343?o7<k6:?:e2<5l?1659j52e489<g?2;n=707;e;0g2>;>i009h;5295d96a0<50kj6?j9;<;64?4c>272mo4=d79>=07=:m<014ol:3f5?8?2:38o:636ad81`3=:1<>1>i84=8`3>7b1343>:7<k6:?:f4<5l?1658952e489<d32;n=707:a;0g2>;>j<09h;5294`96a0<50h=6?j9;<;6g?4c>272n:4=d79>=0b=:m<014l6:3f5?8?2n38o:6s|93594?4|508<6?;7;<;33?7?02wx55?50;0x9<>62;?3707?7;3;3>{ti1>1<7<>{<;33?4c>272<l4=d79>=40=:m<014?6:3f5?8?6i38o:63n8681ff=:1921>i84=82:>7b1343:87<k6:?:5c<5l?165??52e489<452;n=707=3;0g2>;>:=09h;5293796a0<508=6?j9;<;3f?4c>272<n4=d79>=5b=:m<014>j:3f5?8?7n38o:6361181`3=:18;1>i84=831>7b1343:?7<k6:?:50<5l?165<952e489<7?2;n=707>b;0g2>;>9j09h;5290f96a0<50;n6?j9;<;14?4c>2wxm9k50;7x9<2>282<707;9;3;<>;>i:0:4:529`195=><5k<i6<<7;|q:6d<72;q6597524:89<6f28237p}68583>7}:1h91>864=82b>4>03tyj;o4?:4y>=03=91=014;::0:;?8?fn3;3;636ag82<==:j>?1=?64}r;02?6=:r72984=599>=40=9120q~760;296~;>io09955290495=1<uzk:87>55z?:1=<60>16586519:89<d5282<707m2;3;<>;e??0:>55rs81:>5<5s43>47<:8:?:5<<6011v\7f47<:181\7f8?e:38>46361882<2=z{h;>6=4:{<;6=?7??272944>899>=g5=91=014l<:0:;?8d0?3;946s|92c94?4|50?26?;7;<;2e?7?02wx54:50;0x9<d42;?3707>a;3;3>{tj>n1<7?>{<c;3?0b34k3:78j;<c;1?0b342m:7?7a:?;b0<60h164k:519c89=`4282j706i2;3;e>;?n80:44528g295=g<51om6<6n;<`4a?42027i:=4>799>f3d=9>201o9::05;?8d0>3;<463m76823==z{h296=49dz?b<0<5jj165i=519589<17282<70796;3;3>;>kh0:4:529eg95=1<50l=6<68;<c34?7??272;54>869>=`1=91=014kj:0:4?8?a=3;3;636f282<2=:i921=594=85`>4>0343<n7?77:?:b1<60>165n>519589d6e282<70o?c;3;3>;>?m0:4:529b395=1<50i96<68;<;`1?7??272o>4>869>e5b=91=0149i:0:4?8?0m3;3;636c582<2=:1j<1=594=`2f>4>034k;j7?77:?:<5<60>165n9519589<e?282<707lc;3;3>;>k00:4:52a0295=1<50l<6<68;<;53?7??272oo4>869>=fb=91=014mj:0:4?8?103;3;636f882<2=:1?31=594=8d;>4>0343o=7?77:?:gc<60>165i>519589<`f282<7079a;3;3>;>l;0:4:529e695=1<50n>6<68;<;5f?7??272jn4>869>=3e=91=014hm:0:4?8?c03;3;636d782<2=:1m=1=594=8dg>4>0343=h7?77:?:`<<60>165io519589<be282<7079e;3;3>;>no0:4:5297d95=1<50ln6<68;<;gb?7??272hn4>869>=ab=91=01l>>:0:4?8?093;3;636e182<2=:1l;1=594=8g1>4>0343<>7?77:?b46<60>16m=<519589<14282<707j5;3;3>;>m:0:4:529d695=1<5h:?6<68;<;40?7??272i;4>869>=`>=91=014k6:0:4?8?0=3;3;63n0782<2=:1><1=594=`26>4>0343no7?77:?:ad<60>165hl519589d60282<70787;3;3>;>mm0:4:529dd95=1<50l;6<68;<;4=?7??27j<l4>869>=2g=91=01l>6:0:4?8?a93;3;636f382<2=z{;>o6=4={<5a1?42027<n94>889~w221290>w09m4;3;e>;0<<0:455275:95=?<5>>j6<6n;<577?4202wx>9k50;0x927f2;?3709>9;3;=>{t>hh1<7;t=63:>4>f34<jm7?78:?5ea<60016:lh519c893g?2;?37p}7fd83>1}:0lo1>864=c40>41034h=o7?87:?a31<6?>1v\7f5hk:187\7f8>bl38>463m638232=:j?k1=:94=c50>4103ty3jn4?:5y><`e=:<201o8>:054?8d113;<;63m738232=z{1li6=4;{<:ff?42027i;n4>769>f3>=9>=01o9>:054?xu?nh0;69u28dc960><5k=i6<98;<`53?70?27i;=4>769~w=`>290?w06j9;06<>;e?h0:;:52b749521<5k<m6<98;|q;b=<72=q64h6524:89g1>28=<70l95;343>;e>l0:;:5rs9d4>5<3s42n;7<:8:?a3=<6?>16n;:516589g0c28=<7p}>f483>7}:?ho1>864=6c`>4>>3ty<mk4?:5y>3de=91k01:l<:0::?81e93;3m638ae811==z{8l=6=4={<527?42027<=<4>889~w273290?w09>1;3;e>;0910:445270495=g<5>;96?;7;|q:43<72=q64k8524:89g0428=370l9c;34<>;e?=0:;55rs826>5<3s42m97<:8:?a27<6?116n;o516:89g1428=37p}60583>1}:0o>1>864=c42>41?34h=57?88:?a37<6?11v\7f4><:187\7f8>a;38>463m7b823==:j?21=:64=c52>41?3ty2<?4?:5y><c4=:<201o9m:05;?8d1?3;<463m71823==z{0::6=4;{<:e5?42027i;l4>799>f30=9>201o8i:05;?xu>890;69u28g2960><5k=26<97;<`51?70027i:h4>799~w=`a290?w06jf;06<>;e?10:;552b76952><5k<o6<97;|q;a4<72;q6n:k519;89=c42;hh7p}=1383>7}:?hn1=574=667>4bd3ty9=84?:3y>344=91301;o6:0f`?xue<80;6?u29e195=><5k=j6<:;;|qa0d<72;q65i=519;89g1e28>?7p}n6g83>7}:1m91>864=8c2>4>?3tyj4h4?:3y>=26=91201o8=:066?xuf1>0;6?u296295=?<5k<86<::;|qb54<72;q65:>524:89<2?28237p}n8e83>7}:1?<1=564=c41>4233tyj5;4?:3y>=30=91301o8<:067?xuf=00;6?u2974960><50><6<67;|qa16<72;q65no519:89g1d28>?7p}m5b83>7}:1jk1=574=c42>4233tyj:94?:3y>=fg=:<2014:8:0:4?xue==0;6?u29eg95=><5k=h6<::;|qa1a<72;q65ik519;89g0628>>7p}n1683>7}:1mo1>864=86;>4>03tyi>k4?:3y>=c0=91201o97:067?xue;10;6?u29g495=?<5k=26<:;;|qb3d<72;q65k8524:89<g6282<7p}m3183>7}:i9:1=564=c5;>4223tyi?44?:3y>e56=91301o96:066?xuf:j0;6?u2a12960><50k96<68;|qb<c<72;q65:6519:89g0528>=7p}n9983>7}:1>21=574=c40>4213tyj>i4?:3y>=2>=:<2014;<:0:;?xue<;0;6?u29d595=><5k=j6<::;|qa0g<72;q65h9519;89g1e28>>7p}n2083>7}:1l=1>864=8c1>4>?3tyi984?:3y>=`c=91201o9l:065?xue=l0;6?u29dg95=?<5k<:6<:9;|qb6`<72;q65hk524:89<34282<7p}m4583>7}:1o?1=564=c5b>42a3tyi8i4?:3y>=c3=91301o9m:06e?xuf;:0;6?u29g7960><50h36<67;|qa06<72;q65k=519:89g1f28>=7p}m4b83>7}:1o91=574=c5a>4213tyj>k4?:3y>=c5=:<2014ok:0:;?xue;80;6?u2a1:95=><5k=36<:9;|qa7d<72;q6m=6519;89g1>28>=7p}n3183>7}:i921>864=8cg>4>03tyjh=4?:3y>=2e=91201o8n:00;?xufl00;6?u296a95=?<5k<h6<<7;|qb73<72;q65:m524:89<0728237p}n9183>7}:1>h1=564=c41>42a3tyj544?:3y>=2d=91301o8<:06e?xuf;80;6?u296`960><50?n6<67;|qa13<72;q65k:519:89g1d28>m7p}m5g83>7}:1o>1=574=c42>42a3tyj??4?:3y>=c2=:<2014;j:0:4?xufjl0;6?u29b295=><5k<36<<7;|qbg2<72;q65n>519;89g0>28837p}n3683>7}:1j:1>864=843>4>03tyi??4?:3y>e5d=91201o97:06e?xue;k0;6?u2a1`95=?<5k=26<:i;|qb70<72;q6m=l524:89<d?282<7p}n9`83>7}:i9i1=564=c47>44?3tyjm>4?:3y>e5e=91301o8::00;?xuf;00;6?u2a1a960><50hj6<68;|qb`4<72;q65:j519:89g0f28987p}nd`83>7}:1>n1=574=c4`>4543tyj?l4?:3y>=2b=:<20148>:0:;?xufij0;6?u29b395=><5k<=6<<7;|qbf0<72;q65n?519;89g0028837p}n3983>7}:1j;1>864=8`b>4>?3tyjnk4?:3y>=f4=91201o87:010?xufk10;6?u29b095=?<5k<26<=<;|qb7g<72;q65n<524:89<06282<7p}nad83>7}:1j?1=564=c45>45b3tyjn:4?:3y>=f3=91301o88:01f?xuf<90;6?u29b7960><50hh6<67;|qbea<72;q65n=519:89g0128987p}nb783>7}:1j91=574=c44>4543tyj?n4?:3y>=f5=:<2014lm:0:;?xuf1k0;6?u2a1f95=><5k<?6<=<;|qbe1<72;q6m=j519;89g0228987p}n3e83>7}:i9n1>864=8`a>4>03tyjh>4?:3y>=2`=91201o8n:061?xuflj0;6?u296d95=?<5k<h6<:=;|qb07<72;q65:h524:89<0428237p}nd383>7}:1>o1=564=c4b>45b3tyjho4?:3y>=2c=91301o8l:01f?xuf;l0;6?u296g960><50<96<67;|qbg5<72;q65n:519:89g0?289n7p}nc883>7}:1j>1=574=c4:>45b3tyj?k4?:3y>=f2=:<20148=:0:4?xufk80;6?u29b495=><5k<36<:=;|qbgd<72;q65n8519;89g0>28>97p}n4283>7}:1j<1>864=840>4>03tyj5n4?:3y>e5c=91201o8;:01f?xufi<0;6?u2a1g95=?<5k<>6<=j;|qb04<72;q6m=k524:89<dd282<7p}n9e83>7}:i9l1=564=c47>4253tyjm;4?:3y>e5`=91301o8::061?xuf<<0;6?u2a1d960><50ho6<68;|qb`1<72;q655>519:89g0f28>87p}nde83>7}:11:1=574=c4`>4243tyj8;4?:3y>==6=:<20148;:0:;?xufio0;6?u29b595=><5k<=6<:=;|qbf=<72;q65n9519;89g0028>97p}n4583>7}:1j=1>864=8`g>4>?3tyjo?4?:3y>=f>=91201o87:060?xufkk0;6?u29b:95=?<5k<26<:<;|qb02<72;q65n6524:89<03282<7p}nb083>7}:1ji1=564=c45>4233tyjnl4?:3y>=fe=91301o88:067?xuf<j0;6?u29ba960><50hm6<67;|qbf5<72;q65n7519:89g0128>87p}nb883>7}:1j31=574=c44>4243tyj854?:3y>=f?=:<2014lj:0:;?xuf1l0;6?u2a0295=><5k<?6<:<;|qbe2<72;q6m<>519;89g0228>87p}n4883>7}:i8:1>864=8`f>4>03tyj5k4?:3y>=c1=91201o8;:067?xufi10;6?u29g595=?<5k<>6<:;;|qb0a<72;q65k9524:89<da282<7p}nd483>7}:1?=1=564=c4b>4233tyjhh4?:3y>=31=91301o8l:067?xuf<h0;6?u2975960><50<>6<67;|qbg6<72;q65nl519:89g0?28>?7p}ncb83>7}:1jh1=574=c4:>4233tyj8o4?:3y>=fd=:<20148::0:4?xufk=0;6?u29bf95=><5k<36<::;|qbga<72;q65nj519;89g0>28>>7p}n5183>7}:1jn1>864=86b>4>03tyjn?4?:3y>=fc=91201o89:066?xufjk0;6?u29bg95=?<5k<<6<::;|qb14<72;q65nk524:89<g328237p}nd783>7}:1?21=564=c4b>4223tyjhk4?:3y>=3>=91301o8l:066?xuf<o0;6?u297:960><50>j6<67;|qbe4<72;q65k7519:89g0328>=7p}na`83>7}:1o31=574=c46>4213tyj9;4?:3y>=c?=:<2014o::0:4?xufl>0;6?u297;95=><5k<j6<:9;|qba5<72;q65;7519;89g0d28>=7p}n5283>7}:1?31>864=86a>4>?3tyjm=4?:3y>=c>=91201o8;:066?xufi00;6?u29g:95=?<5k<>6<::;|qb17<72;q65k6524:89<g3282<7p}nc783>7}:1m;1=564=c4;>42a3tyjok4?:3y>=a7=91301o86:06e?xuf=10;6?u29e3960><50>h6<68;|qbg0<72;q65nh519:89g0?28>=7p}ncd83>7}:1jl1=574=c4:>4213tyj994?:3y>=f`=:<2014:m:0:4?xufj:0;6?u29e295=><5k<=6<:9;|qbff<72;q65i>519;89g0028>=7p}n5483>7}:1m:1>864=8c6>4>?3tyjm?4?:3y>=cg=91201o8;:06e?xufik0;6?u29gc95=?<5k<>6<:i;|qb1g<72;q65ko524:89<g1282<7p}nd983>7}:1?k1=564=c4b>42a3tyji<4?:3y>=3g=91301o8l:06e?xuf=>0;6?u297c960><50>h6<67;|qbf1<72;q65i<519:89g0128>m7p}nbe83>7}:1m81=574=c44>42a3tyj9l4?:3y>=a4=:<2014o9:0:;?xue8?0;6?u29e695=><5k=:6<<7;|qa4c<72;q65i:519;89g1528837p}n5e83>7}:1m>1>864=86g>4>03tyjj94?:3y>=a3=91201o8i:00;?xufnm0;6?u29e795=?<5k=;6<<7;|qb1`<72;q65i;524:89<g028237p}m1983>7}:1?h1=564=c50>44?3tyi><4?:3y>=3d=91301o9;:00;?xuf=j0;6?u297`960><50>o6<67;|qba6<72;q65km519:89g0c28987p}neb83>7}:1oi1=574=c4f>4543tyj:>4?:3y>=ce=:<2014o7:0:4?xue900;6?u297a95=><5k=86<=<;|qa67<72;q65;m519;89g1328987p}n6183>7}:1?i1>864=86f>4>?3tyji?4?:3y>=cd=91201o8k:00;?xufmk0;6?u29g`95=?<5k<n6<<7;|qb1c<72;q65kl524:89<g0282<7p}m0983>7}:1m21=564=c52>45b3tyi=<4?:3y>=a>=91301o9=:01f?xuf>?0;6?u29e:960><50>m6<68;|qa42<72;q65i8519:89g1628987p}m1183>7}:1m<1=574=c51>4543tyj:<4?:3y>=a0=:<2014:j:0:4?xufn<0;6?u29e595=><5k<m6<=<;|qbb`<72;q65i9519;89g1728987p}n6383>7}:1m=1>864=8c;>4>?3tyji94?:3y>=cb=91201o8k:01f?xufmm0;6?u29gf95=?<5k<n6<=j;|qb2=<72;q65kj524:89<g>282<7p}m1`83>7}:1?n1=564=c50>45b3tyi>>4?:3y>=3b=91301o9;:01f?xuf><0;6?u297f960><50>m6<67;|qbb3<72;q65i7519:89g0a289n7p}nfg83>7}:1m31=574=c53>45b3tyj::4?:3y>=a?=:<2014o6:0:;?xue800;6?u29ec95=><5k=:6<:=;|qa57<72;q65io519;89g1528>97p}n6`83>7}:1mk1>864=873>4>03tyjj:4?:3y>=ad=91201o8i:061?xue890;6?u29e`95=?<5k=;6<:=;|qb2g<72;q65il524:89<gf28237p}m1c83>7}:1?o1=564=c50>4253tyi>94?:3y>=3c=91301o9;:061?xuf>00;6?u297g960><50?;6<67;|qba3<72;q65kh519:89g0c28>87p}neg83>7}:1ol1=574=c4f>4243tyj;<4?:3y>=c`=:<2014om:0:4?xue9j0;6?u297d95=><5k=86<:<;|qa60<72;q65;h519;89g1328>87p}n6e83>7}:1?l1>864=872>4>?3tyji84?:3y>=cc=91201o8k:061?xufml0;6?u29gg95=?<5k<n6<:=;|qb2f<72;q65kk524:89<gf282<7p}m0c83>7}:1ml1=564=c52>4233tyi=94?:3y>=a`=91301o9=:067?xuf?:0;6?u29ed960><50?96<68;|qa4d<72;q65im519:89g1628>87p}m1283>7}:1mi1=574=c51>4243tyj:h4?:3y>=ae=:<2014;>:0:4?xufn10;6?u29ef95=><5k<m6<:<;|qa44<72;q65ij519;89g1728>87p}n7183>7}:1mn1>864=8ca>4>?3tyji:4?:3y>e57=91201o8k:067?xufn90;6?u2a1395=?<5k<n6<:;;|qb30<72;q6m=?524:89<gd282<7p}m1e83>7}:1>;1=564=c50>4233tyi>;4?:3y>=27=91301o9;:067?xuf?;0;6?u2963960><50?96<67;|qbb<<72;q65h>519:89g0a28>?7p}m0383>7}:1l:1=574=c53>4233tyj;94?:3y>=`6=:<2014ol:0:;?xue8j0;6?u29d395=><5k=:6<::;|qa50<72;q65h?519;89g1528>>7p}n7683>7}:1l;1>864=877>4>03tyjjl4?:3y>=`4=91201o8i:066?xue8:0;6?u29d095=?<5k=;6<::;|qb3=<72;q65h<524:89<gb28237p}m1d83>7}:1>81=564=c50>4223tyi>:4?:3y>=24=91301o9;:066?xuf??0;6?u2960960><50??6<67;|qba<<72;q6m==519:89g0c28>=7p}nf383>7}:i991=574=c4f>4213tyj;k4?:3y>e55=:<2014l?:0:4?xufm10;6?u2a1095=><5k<o6<::;|qbb4<72;q6m=<519;89g0b28>>7p}n7883>7}:i981>864=8cf>4>03tyi=k4?:3y>=25=91201o9<:065?xue:10;6?u296195=?<5k=?6<:9;|qb3f<72;q65:=524:89<3128237p}m0d83>7}:1l?1=564=c52>42a3tyi=:4?:3y>=`3=91301o9=:06e?xuf080;6?u29d7960><50?<6<68;|qa4a<72;q65h=519:89g1628>=7p}m1783>7}:1l91=574=c51>4213tyj;i4?:3y>=`5=:<2014;9:0:4?xufnk0;6?u29d695=><5k<m6<:9;|qa41<72;q65h:519;89g1728>=7p}n7d83>7}:1l>1>864=8`3>4>?3tyjil4?:3y>e52=91201o8k:06e?xufn:0;6?u2a1695=?<5k<n6<:i;|qb56<72;q6m=:524:89<d6282<7p}m2183>7}:1>>1=564=c50>42a3tyi>44?:3y>=22=91301o9;:06e?xuf090;6?u2966960><50?<6<67;|qbbf<72;q65h8519:89g0a28>m7p}m0483>7}:1l<1=574=c53>42a3tyj=?4?:3y>=`0=:<2014l>:0:;?xue<l0;6?u29d:95=><5k=h6<<7;|qa12<72;q65h6519;89g0628837p}n1983>7}:1l21>864=87b>4>03tyi?n4?:3y>=`?=91201o9n:00;?xue<<0;6?u29d;95=?<5k=i6<<7;|qb5<<72;q65h7524:89<d328237p}n8983>7}:1>?1=564=c41>44?3tyj5<4?:3y>=23=91301o8<:00;?xuf9?0;6?u2967960><50?j6<67;|qa6g<72;q6m=8519:89g1?28987p}m3583>7}:i9<1=574=c5:>4543tyj=h4?:3y>e50=:<2014l::0:4?xuf000;6?u296495=><5k<96<=<;|qb=7<72;q65:8519;89g0428987p}n1c83>7}:1><1>864=87a>4>?3tyi>l4?:3y>e53=91201o97:00;?xue;:0;6?u2a1795=?<5k=26<<7;|qb5d<72;q6m=;524:89<d3282<7p}m5183>7}:1li1=564=c5`>45b3tyi944?:3y>=`e=91301o8>:01f?xuf:90;6?u29da960><50?h6<68;|qa0c<72;q65ho519:89g1d28987p}m5983>7}:1lk1=574=c42>4543tyj=n4?:3y>=`g=:<2014;m:0:4?xue;m0;6?u29d`95=><5k=j6<=<;|qa03<72;q65hl519;89g1e28987p}n1e83>7}:1lh1>864=8`6>4>?3tyi>n4?:3y>e51=91201o97:01f?xue;<0;6?u2a1595=?<5k=26<=j;|qb66<72;q6m=9524:89<d1282<7p}n8`83>7}:1>=1=564=c41>45b3tyj5>4?:3y>=21=91301o8<:01f?xuf9o0;6?u2965960><50?h6<67;|qa7`<72;q65hj519:89g1f289n7p}m4683>7}:1ln1=574=c5a>45b3tyj>?4?:3y>=`b=:<2014l9:0:;?xue=80;6?u29dd95=><5k=h6<:=;|qa1d<72;q65hh519;89g0628>97p}n2483>7}:1ll1>864=87g>4>03tyi?k4?:3y>=c6=91201o9n:061?xue<10;6?u29g295=?<5k=i6<:=;|qb63<72;q65k>524:89<d028237p}n8c83>7}:1>31=564=c41>4253tyj594?:3y>=2?=91301o8<:061?xuf:=0;6?u296;960><50?o6<67;|qa6`<72;q6m=o519:89g1?28>87p}m3683>7}:i9k1=574=c5:>4243tyj>o4?:3y>e5g=:<2014l6:0:4?xuf0j0;6?u296c95=><5k<96<:<;|qb=0<72;q65:o519;89g0428>87p}n2983>7}:1>k1>864=87e>4>?3tyi>i4?:3y>e5?=91201o97:061?xue;?0;6?u2a1;95=?<5k=26<:=;|qb62<72;q6m=7524:89<d0282<7p}m5383>7}:1o;1=564=c5`>4243tyi9o4?:3y>=c7=91301o8>:060?xuf:00;6?u29g3960><50?m6<68;|qa05<72;q65k<519:89g1f28>87p}m4883>7}:1o81=574=c5a>4243tyj>l4?:3y>=c4=:<2014l6:0:;?xu>0;0;6?u29`3960><50:36<68;|q:6=<72;q6599524:89<6?28237p}68e83>7}:1h81>864=82:>4>03ty2?>4?:3y>=1>=:<2014>6:0:;?xu>110;6?u29`f960><50;?6<68;|q:7`<72;q658=524:89<7328237p}69c83>7}:1k21>864=83e>4>03ty28<4?:3y>=0c=:<2014?i:0:;?xu>1j0;6?u29cc960><508:6<68;|q:07<72;q65;>524:89<4628237p}69e83>7}:1kh1>864=801>4>03ty28>4?:3y>=37=:<2014<=:0:;?xu>1l0;6?u29ca960><50886<68;|q:01<72;q65;<524:89<4428237p}69g83>7}:1kn1>864=807>4>03ty2884?:3y>=35=:<2014<;:0:;?xu>i90;6?u29cg960><508>6<68;|q:03<72;q65;:524:89<4228237p}68283>7}:1kl1>864=805>4>03ty2>44?:3y>=33=:<2014<9:0:;?xu>0<0;6?u29`6960><50:i6<68;|q:6g<72;q659o524:89<6e28237p}68783>7}:1h?1>864=82`>4>03ty2>n4?:3y>=1d=:<2014>l:0:;?xu>0>0;6?u29`4960><50:o6<68;|q:6a<72;q659m524:89<6c28237p}68983>7}:1h=1>864=82f>4>03ty2>h4?:3y>=1b=:<2014>j:0:;?xu>000;6?u29`:960><50:m6<68;|q:6c<72;q659k524:89<6a28237p}68`83>7}:1h31>864=833>4>03ty2?=4?:3y>=1`=:<2014??:0:;?xu>0k0;6?u29`c960><50;:6<68;|q:74<72;q658>524:89<7628237p}68b83>7}:1hh1>864=831>4>03ty2??4?:3y>=07=:<2014?=:0:;?xu>0l0;6?u29`a960><50;86<68;|q:71<72;q658<524:89<7428237p}68g83>7}:1ho1>864=836>4>03ty2?84?:3y>=02=:<2014?::0:;?xu>180;6?u29c2960><50;<6<68;|q:72<72;q6588524:89<7028237p}69383>7}:1k;1>864=83;>4>03ty2?54?:3y>=01=:<2014?7:0:;?xu>1<0;6?u29c6960><50;i6<68;|q:7g<72;q658o524:89<7e28237p}69783>7}:1k?1>864=83`>4>03ty2?n4?:3y>=0d=:<2014?l:0:;?xu>1>0;6?u29c4960><50;o6<68;|q:7a<72;q658m524:89<7c28237p}69883>7}:1k=1>864=83f>4>03ty2?k4?:3y>=0b=:<2014?j:0:;?xu>1h0;6?u29c;960><508;6<68;|q:05<72;q658h524:89<4728237psm31`94?7=83:p(?jj:3g7?M5712B9i?5`24`94?=z{j>n6=4={_a7a>;5lo09h=5rs205>5<69rT8>55Qc438Z67c3W9:o6P<1c9]74g<V:8>7S==4:\066=Y;;80R><>;_114>X49o1U?<k4^23:?[560278<l4>859~w6742909wS=>3:?1`c<1=2wxo8>50;0xZf37348oj7<k5:\7fpg01=838pRn;8;<0gb?4a=2wx8<;50;0xZ172348oj7?86:\7fpg20=838pRn99;<0gb?57>2wxo8;50;0xZf32348oj7<j0:\7fp744=838pR>?=;<0gb?033tyh?=4?:3y]g66<5;nm6?m?;|qa3c<72;qUn:h4=3fe>73f3tyh9;4?:3y]g00<5;nm6?k<;|q75=<72;qU8<64=3fe>4>53tyi4=4?:3y]f=6<5;nm6?8?;|q`1=<72;qUo864=3fe>7`13tyih54?:3y]fa><5;nm6?6j;|q`2f<72;qUo8k4=3fe>7`d3tyh:l4?:3y]g0b<5;nm6?hn;|q`2<<72;qUo8m4=3fe>7`>3tyh:54?:3y]g0d<5;nm6?h7;|q`30<72;qUo;84=3fe>6623tyh;94?:3y]g33<5;nm6>>;;|q`36<72;qUo;:4=3fe>6643tyh;?4?:3y]g35<5;nm6>>=;|q`34<72;qUo;<4=3fe>6663tyh;=4?:3y]g37<5;nm6>>?;|q`2c<72;qUo;>4=3fe>7`a3tyh:h4?:3y]g0`<5;nm6?hj;|q`2a<72;qUo8o4=3fe>7`c3tyh::4?:3y]g0?<5;nm6?h8;|q74f<72;qU?hm4=3fe>40c3ty?<l4?:3y]7`d<5;nm6<8m;|q74=<72;qU?h74=3fe>40>3ty?<:4?:3y]7`><5;nm6<87;|q743<72;qU?h94=3fe>4003ty?<84?:3y]7`0<5;nm6<89;|q741<72;qU?h;4=3fe>4023ty?<>4?:3y]7`2<5;nm6<8;;|q747<72;qU?h=4=3fe>4043ty?<<4?:3y]7`4<5;nm6<8=;|q745<72;qU?h?4=3fe>4063ty8jk4?:3y]7`6<5;nm6<8?;|q0ba<72;qU?ik4=3fe>43b3ty8jn4?:3y]7ab<5;nm6<;k;|q0bg<72;qU?im4=3fe>43d3ty8jl4?:3y]7ad<5;nm6<;m;|q0b<<72;qU?io4=3fe>43f3ty8j54?:3y]7a?<5;nm6<;6;|q0b2<72;qU?i64=3fe>43?3ty8j;4?:3y]7a1<5;nm6<;8;|q0b0<72;qU?i84=3fe>4313ty8j94?:3y]7a3<5;nm6<;:;|q756<72;qU?k<4=3fe>4133ty?=?4?:3y]7c7<5;nm6<9<;|q754<72;qU?k>4=3fe>4153ty?==4?:3y]7``<5;nm6<9>;|q74c<72;qU?hk4=3fe>4173ty?<h4?:3y]7`b<5;nm6<8i;|q74a<72;qU?ho4=3fe>40b3ty?<44?:3y]7a`<5;nm6<8n;|q0b`<72;qU?i:4=3fe>43a3ty8j>4?:3y]7a5<5;nm6<;;;|q`01<72;qUo>84=3fe>7e13tyh8?4?:3y]g63<5;nm6?m;;|q`04<72;qUo>:4=3fe>7e43tyh8=4?:3y]g65<5;nm6?m=;|q`0a<72;qUo>k4=3fe>7ea3tyh8n4?:3y]g6b<5;nm6?mj;|q`0g<72;qUo>m4=3fe>7ec3tyh8l4?:3y]g6d<5;nm6?ml;|q`0<<72;qUo>o4=3fe>7ee3tyh854?:3y]g6?<5;nm6?mn;|q`02<72;qUo>64=3fe>7e>3tyh8;4?:3y]g61<5;nm6?m7;|q`00<72;qUo><4=3fe>7e03tyh?k4?:3y]g67<5;nm6?m>;|qaf4<72;qUnl=4=3fe>7123tyimk4?:3y]fd4<5;nm6?9<;|qae`<72;qUnl?4=3fe>7153tyimi4?:3y]fd6<5;nm6?9>;|qafd<72;qUnll4=3fe>71b3tyin44?:3y]fdg<5;nm6?9k;|qaf=<72;qUnl74=3fe>71d3tyin:4?:3y]fd><5;nm6?9m;|qaf3<72;qUnl94=3fe>71f3tyin84?:3y]fd0<5;nm6?96;|qaf1<72;qUnl;4=3fe>71?3tyin>4?:3y]fd2<5;nm6?98;|qaf7<72;qUn4h4=3fe>7113tyimn4?:3y]f<c<5;nm6?9?;|q0gg<72;qU?ll4=3fe>c2<uz9h57>52z\0ed=::ml1j?5rs2a4>5<5sW9j463=dg8e4>{t;j<1<7<t^2c4?84cn3om7p}<c483>7}Y;h<01?ji:dg8yv5d<3:1>vP<a49>6a`=mm1v\7f>m<:181\7f[5f<279hk4jc:\7fp7f4=838pR>o<;<0gb?ce3ty8o<4?:3y]7d4<5;nm6ho4}r1`4?6=:rT8m<522ed9a<=z{:hm6=4={_1b4>;5lo0n46s|3cg94?4|V:3m70<kf;g4?xu4jj0;6?uQ38f897ba2l?0q~=mb;296~X41j16>ih5e59~w6df2909wS=6b:?1`c<b;2wx?o750;0xZ6?f348oj7k=;|q0f=<72;qU?474=3fe>`7<uz9i;7>52z\0===::ml1i=5rs2`5>5<5sW92;63=dg8gb>{t;k?1<7<t^2;5?84cn3nn7p}<b583>7}Y;0?01?ji:ef8yv5e;3:1>vP<959>6a`=lj1v\7f>j=:181\7f[5e9279hk4ib:\7fp7a7=838pR>l?;<0gb?`f3ty8h=4?:3y]7d`<5;nm6k74}r1`b?6=:rT8mh522ed9b==z{:in6=4={_1b`>;5lo0m;6s|3bf94?4|V:kh70<kf;d5?xu4kj0;6?uQ3`;897ba2o?0q~=l8;296~X41l16>ih5f09~w6dc2909wS=63:?1`c<b>2wx?o<50;0xZ6?5348oj7jm;|q`63<72;qUo<64=3fe>7d53tyh>94?:3y]g41<5;nm6?l?;|q`66<72;qUo<84=3fe>7ga3tyh>?4?:3y]g43<5;nm6?oj;|q`6c<72;qUo?>4=3fe>7de3tyh>h4?:3y]g4`<5;nm6?ln;|q`6a<72;qUo<k4=3fe>7d>3tyh>n4?:3y]g4b<5;nm6?l7;|q`6g<72;qUo<m4=3fe>7d03tyh>l4?:3y]g4d<5;nm6?l9;|q`6<<72;qUo<o4=3fe>7d23tyh>54?:3y]g4?<5;nm6?l;;|q`62<72;qUo<:4=3fe>7d43tyh><4?:3y]g45<5;nm6?ok;|q`4<<72;qUnkl4=3fe>7g43tyh<:4?:3y]fcg<5;nm6?o>;|q`43<72;qUnk74=3fe>7g73tyh<84?:3y]fc><5;nm6?7i;|q`57<72;qUo==4=3fe>7gd3tyh=<4?:3y]g54<5;nm6?om;|q`55<72;qUo=?4=3fe>7gf3tyh<k4?:3y]g56<5;nm6?o6;|q`4`<72;qUnkh4=3fe>7g?3tyh<i4?:3y]fcc<5;nm6?o8;|q`4f<72;qUnkj4=3fe>7g13tyh<o4?:3y]fce<5;nm6?o:;|q`4d<72;qUnk94=3fe>7g33tyh<94?:3y]fc0<5;nm6?7j;|qaaf<72;qUnik4=3fe>7?33tyiil4?:3y]fab<5;nm6?7=;|qaa<<72;qUnim4=3fe>7?63tyii54?:3y]fad<5;nm6?7?;|qab0<72;qUnh84=3fe>7?c3tyij94?:3y]f`3<5;nm6?7l;|qab6<72;qUnh:4=3fe>7?e3tyij?4?:3y]f`5<5;nm6?7n;|qab4<72;qUnh<4=3fe>7?>3tyij=4?:3y]f`7<5;nm6?77;|qaac<72;qUnh>4=3fe>7?03tyiih4?:3y]fa`<5;nm6?79;|qaaa<72;qUnio4=3fe>7?23tyii:4?:3y]fa?<5;nm6?6i;|qag`<72;qUnn>4=3fe>7>33tyion4?:3y]fg`<5;nm6?6=;|qagg<72;qUnok4=3fe>7>63tyiol4?:3y]fgb<5;nm6?6?;|qa`2<72;qUnn64=3fe>7>c3tyih;4?:3y]ff1<5;nm6?6l;|qa`0<72;qUnn84=3fe>7>e3tyih94?:3y]ff3<5;nm6?6n;|qa`6<72;qUnn:4=3fe>7>>3tyih?4?:3y]ff5<5;nm6?67;|qa`4<72;qUnn<4=3fe>7>03tyih=4?:3y]ff7<5;nm6?69;|qagc<72;qUnom4=3fe>7>23tyio44?:3y]fgd<5;nm6?9i;|qa=1<72;qUn584=3fe>7013tyi5?4?:3y]f=3<5;nm6?8;;|qa=4<72;qUn5:4=3fe>7043tyi5=4?:3y]f=5<5;nm6?8=;|qa=a<72;qUn5k4=3fe>70a3tyi5n4?:3y]f=b<5;nm6?8j;|qa=g<72;qUn5m4=3fe>70c3tyi5l4?:3y]f=d<5;nm6?8l;|qa=<<72;qUn5o4=3fe>70e3tyi554?:3y]f=?<5;nm6?8n;|qa=2<72;qUn564=3fe>70>3tyi5;4?:3y]f=1<5;nm6?87;|qa=0<72;qUn5<4=3fe>7003tyi4k4?:3y]f=7<5;nm6?8>;|q16`<728q6?=l524`8yxu4kk0;6?uQ3``8931=;hh0(?k>:36e?xu4k00;6?uQ3`c8931=;hk0(?k>:373?xu4k>0;6?uQ3`:8931=;h20(?k>:372?xu4k?0;6?uQ3`58931=;h=0(?k>:371?xu4k<0;6?uQ3`48931=;h<0(?k>:370?xu4k=0;6?uQ3`78931=;h?0(?k>:0gb?xu4k:0;6?uQ3`68931=;h>0(?k>:0ga?xu4k;0;6?uQ3`18931=;h90(?k>:0g`?xu4k80;6?uQ3`08931=;h80(?k>:0d0?xu4k90;6?uQ3`38931=;h;0(?k>:0d4?xu4jo0;6?uQ3`28931=;h:0(?k>:0dg?xu4jl0;6?uQ38d8931=;0l0(?k>:32;?xu4jj0;6?uQ38f8931=;0n0(?k>:330?xu4jk0;6?uQ38a8931=;0i0(?k>:33g?xu4jh0;6?uQ38`8931=;0h0(?k>:306?xu4j00;6?uQ38c8931=;0k0(?k>:30e?xu4j10;6?uQ38;8931=;030(?k>:31b?xu4j>0;6?uQ38:8931=;020(?k>:31f?xu4j?0;6?uQ3858931=;0=0(?k>:31e?xu4j<0;6?uQ3848931=;0<0(?k>:363?xu4j=0;6?uQ3878931=;0?0(?k>:362?xu4j:0;6?uQ3868931=;0>0(?k>:361?xu4l;0;6?uQ3c38931=;k;0(?k>:360?xu4l80;6?uQ3c28931=;k:0(?k>:367?xu4l90;6?uQ3`d8931=;hl0(?k>:366?xu4ko0;6?uQ3`g8931=;ho0(?k>:365?xu4kl0;6?uQ3`f8931=;hn0(?k>:364?xu4km0;6?uQ3`a8931=;hi0(?k>:36;?xu4kj0;6?uQ3`;8931=;h30(?k>:36:?xu4k10;6?uQ38g8931=;0o0(?k>:36b?xu4jm0;6?uQ3818931=;090(?k>:36a?xu4j;0;6?uQ3808931=;080(?k>:36`?xud;90;6?uQc228931=k::0(?k>:0gg?xud<l0;6?uQc5g8931=k=o0(?k>:0gf?xud=90;6?uQc428931=k<:0(?k>:0ge?xud=10;6?uQc4:8931=k<20(?k>:0d3?xud??0;6?uQc648931=k><0(?k>:0d2?xu49;0;6?uQ3008931=;880(?k>:0d1?xu49:0;6?uQ3018931=;890(?k>:0d7?xu38j0;6?uQ3da8931=;li0(?k>:0d;?xu38h0;6?uQ3d`8931=;lh0(?k>:0d:?xu3810;6?uQ3d;8931=;l30(?k>:0db?xu38>0;6?uQ3d:8931=;l20(?k>:0da?xu38?0;6?uQ3d58931=;l=0(?k>:0d`?xu38<0;6?uQ3d48931=;l<0(?k>:0df?xu38=0;6?uQ3d78931=;l?0(?k>:0de?xu38:0;6?uQ3d68931=;l>0(?k>:323?xu38;0;6?uQ3d18931=;l90(?k>:322?xu3880;6?uQ3d08931=;l80(?k>:321?xu3890;6?uQ3d38931=;l;0(?k>:320?xu4no0;6?uQ3d28931=;l:0(?k>:327?xu4nm0;6?uQ3eg8931=;mo0(?k>:326?xu4nj0;6?uQ3ef8931=;mn0(?k>:325?xu4nk0;6?uQ3ea8931=;mi0(?k>:324?xu4nh0;6?uQ3e`8931=;mh0(?k>:32:?xu4n00;6?uQ3ec8931=;mk0(?k>:32b?xu4n10;6?uQ3e;8931=;m30(?k>:32a?xu4n>0;6?uQ3e:8931=;m20(?k>:32`?xu4n?0;6?uQ3e58931=;m=0(?k>:32g?xu4n<0;6?uQ3e48931=;m<0(?k>:32f?xu4n=0;6?uQ3e78931=;m?0(?k>:32e?xu39:0;6?uQ3g08931=;o80(?k>:333?xu39;0;6?uQ3g38931=;o;0(?k>:332?xu3980;6?uQ3g28931=;o:0(?k>:331?xu3990;6?uQ3dd8931=;ll0(?k>:337?xu38o0;6?uQ3dg8931=;lo0(?k>:336?xu38l0;6?uQ3df8931=;ln0(?k>:335?xu38m0;6?uQ3dc8931=;lk0(?k>:334?xu3800;6?uQ3ed8931=;ml0(?k>:33;?xu4nl0;6?uQ3e68931=;m>0(?k>:33:?xu4n:0;6?uQ3e18931=;m90(?k>:33b?xu39<0;6?uQ4078931=<8?0(?k>:33a?xu3910;6?uQ40:8931=<820(?k>:33`?xud<=0;6?uQc248931=k:<0(?k>:33f?xud<;0;6?uQc278931=k:?0(?k>:33e?xud<80;6?uQc268931=k:>0(?k>:303?xud<90;6?uQc218931=k:90(?k>:302?xud<m0;6?uQc2g8931=k:o0(?k>:301?xud<j0;6?uQc2f8931=k:n0(?k>:300?xud<k0;6?uQc2a8931=k:i0(?k>:307?xud<h0;6?uQc2`8931=k:h0(?k>:305?xud<00;6?uQc2c8931=k:k0(?k>:304?xud<10;6?uQc2;8931=k:30(?k>:30;?xud<>0;6?uQc2:8931=k:20(?k>:30:?xud<?0;6?uQc258931=k:=0(?k>:30b?xud<<0;6?uQc208931=k:80(?k>:30a?xud;o0;6?uQc238931=k:;0(?k>:30`?xud>j0;6?uQc4g8931=k<o0(?k>:30g?xud>h0;6?uQc4f8931=k<n0(?k>:313?xud>00;6?uQc4a8931=k<i0(?k>:312?xud>10;6?uQc4`8931=k<h0(?k>:311?xud?<0;6?uQc748931=k?<0(?k>:310?xud?=0;6?uQc778931=k??0(?k>:317?xud?:0;6?uQc768931=k?>0(?k>:316?xud?;0;6?uQc718931=k?90(?k>:315?xud?80;6?uQc708931=k?80(?k>:314?xud?90;6?uQc738931=k?;0(?k>:31;?xud>o0;6?uQc728931=k?:0(?k>:31:?xud>l0;6?uQc4d8931=k<l0(?k>:31a?xud>m0;6?uQc4c8931=k<k0(?k>:31`?xud>>0;6?uQc4;8931=k<30(?k>:31g?x{i;8=>6=4<{I0f6>{i;8==6=4<{I0f6>{i;8=<6=4<{I0f6>{i;8=36=4<{I0f6>{i;8=26=4<{I0f6>{i;8=j6=4<{I0f6>{i;8=i6=4<{I0f6>{i;8=h6=4<{I0f6>{i;8=o6=4<{I0f6>{i;8=n6=4<{I0f6>{i;8=m6=4<{I0f6>{i;82;6=4<{I0f6>{i;82:6=4<{I0f6>{i;8296=4<{I0f6>{i;8286=4<{I0f6>{i;82?6=4<{I0f6>{i;82>6=4<{I0f6>{i;82=6=4<{I0f6>{i;82<6=4<{I0f6>{i;8236=4<{I0f6>{i;8226=4<{I0f6>{i;82j6=4<{I0f6>{i;82i6=4<{I0f6>{i;82h6=4<{I0f6>{i;82o6=4<{I0f6>{i;82n6=4<{I0f6>{i;82m6=4<{I0f6>{i;83;6=4<{I0f6>{i;83:6=4<{I0f6>{i;8396=4<{I0f6>{i;8386=4<{I0f6>{i;83?6=4<{I0f6>{i;83>6=4<{I0f6>{i;83=6=4<{I0f6>{i;83<6=4<{I0f6>{i;8336=4<{I0f6>{i;8326=4<{I0f6>{i;83j6=4<{I0f6>{i;83i6=4<{I0f6>{i;83h6=4<{I0f6>{i;83o6=4<{I0f6>{i;83n6=4<{I0f6>{i;83m6=4<{I0f6>{i;8k;6=4<{I0f6>{i;8k:6=4<{I0f6>{i;8k96=4<{I0f6>{i;8k86=4<{I0f6>{i;8k?6=4<{I0f6>{i;8k>6=4<{I0f6>{i;8k=6=4<{I0f6>{i;8k<6=4<{I0f6>{i;8k36=4<{I0f6>{i;8k26=4<{I0f6>{i;8kj6=4<{I0f6>{i;8ki6=4<{I0f6>{i;8kh6=4<{I0f6>{i;8ko6=4<{I0f6>{i;8kn6=4<{I0f6>{i;8km6=4<{I0f6>{i;8h;6=4<{I0f6>{i;8h:6=4<{I0f6>{i;8h96=4<{I0f6>{i;8h86=4<{I0f6>{i;8h?6=4<{I0f6>{i;8h>6=4<{I0f6>{i;8h=6=4<{I0f6>{i;8h<6=4<{I0f6>{i;8h36=4<{I0f6>{i;8h26=4<{I0f6>{i;8hj6=4<{I0f6>{i;8hi6=4<{I0f6>{i;8hh6=4<{I0f6>{i;8ho6=4<{I0f6>{i;8hn6=4<{I0f6>{i;8hm6=4<{I0f6>{i;8i;6=4<{I0f6>{i;8i:6=4<{I0f6>{i;8i96=4<{I0f6>{i;8i86=4<{I0f6>{i;8i?6=4<{I0f6>{i;8i>6=4<{I0f6>{i;8i=6=4<{I0f6>{i;8i<6=4<{I0f6>{i;8i36=4<{I0f6>{i;8i26=4<{I0f6>{i;8ij6=4<{I0f6>{i;8ii6=4<{I0f6>{i;8ih6=4<{I0f6>{i;8io6=4<{I0f6>{i;8in6=4<{I0f6>{i;8im6=4<{I0f6>{i;8n;6=4<{I0f6>{i;8n:6=4<{I0f6>{i;8n96=4<{I0f6>{i;8n86=4<{I0f6>{i;8n?6=4<{I0f6>{i;8n>6=4<{I0f6>{i;8n=6=4<{I0f6>{i;8n<6=4<{I0f6>{i;8n36=4<{I0f6>{i;8n26=4<{I0f6>{i;9h>6=4>{I0f6>{i;9hn6=48{I0f6>{i;8:;6=4>{I0f6>{i;8::6=4>{I0f6>{i;8:96=4>{I0f6>{i;8:86=4>{I0f6>{i;8:?6=4>{I0f6>{i;8:>6=4>{I0f6>{i;8:=6=4>{I0f6>{i;8:<6=4>{I0f6>{i;8:36=4>{I0f6>{i;8:26=4>{I0f6>{i;8:j6=4>{I0f6>{i;8:i6=4>{I0f6>{i;8:h6=4>{I0f6>{i;8:o6=4>{I0f6>{i;88n6=4>{I0f6>{i;88m6=4>{I0f6>{i;89;6=4>{I0f6>{i;89:6=4>{I0f6>{i;8996=4>{I0f6>{i;8986=4>{I0f6>{i;89?6=4>{I0f6>{i;89>6=4>{I0f6>{i;89=6=4>{I0f6>{i;89<6=4>{I0f6>{i;8936=4>{I0f6>{i;8926=4>{I0f6>{i;89j6=4>{I0f6>{i;89i6=4>{I0f6>{i;89h6=4>{I0f6>{i;89o6=4>{I0f6>{i;89n6=4>{I0f6>{i;89m6=4>{I0f6>{i;8>;6=4>{I0f6>{i;8>:6=4>{I0f6>{i;8>96=4>{I0f6>{i;8>86=4>{I0f6>{i;8>?6=4>{I0f6>{i;8>>6=4>{I0f6>{i;8>=6=4>{I0f6>{i;8><6=4>{I0f6>{i;8>36=4>{I0f6>{i;8>26=4>{I0f6>{i;8>j6=4>{I0f6>{i;8>i6=4>{I0f6>{i;8>h6=4>{I0f6>{i;8>o6=4>{I0f6>{i;8>n6=4>{I0f6>{i;8>m6=4>{I0f6>{i;8?;6=4>{I0f6>{i;8?:6=4>{I0f6>{i;8?96=4>{I0f6>{i;8?86=4>{I0f6>{i;8??6=4>{I0f6>{i;8?>6=4>{I0f6>{i;8?=6=4>{I0f6>{i;8?<6=4>{I0f6>{i;8?36=4>{I0f6>{i;8?26=4>{I0f6>{i;8?j6=4>{I0f6>{i;8?i6=4>{I0f6>{i;8?h6=4>{I0f6>{i;8?o6=4>{I0f6>{i;8?n6=4>{I0f6>{i;8?m6=4>{I0f6>{i;8<;6=4>{I0f6>{i;8<:6=4>{I0f6>{i;8<96=4>{I0f6>{i;8<86=4>{I0f6>{i;8<?6=4>{I0f6>{i;8<>6=4>{I0f6>{i;8<=6=4>{I0f6>{i;8<<6=4>{I0f6>{i;8<36=4>{I0f6>{i;8<26=4>{I0f6>{i;8<j6=4>{I0f6>{i;8<i6=4>{I0f6>{i;8<h6=4>{I0f6>{i;8<o6=4>{I0f6>{i;8<n6=4>{I0f6>{i;8<m6=4>{I0f6>{i;8=;6=4>{I0f6>{i;8=:6=4>{I0f6>{i;8=96=4>{I0f6>{i;8=86=4>{I0f6>{i:kh<6=4>{I0f6>{i:kho6=4>{I0f6>{i:ki86=4>{I0f6>{i:ki?6=4>{I0f6>{i:ki>6=4>{I0f6>{i:ki=6=4>{I0f6>{i:ki<6=4>{I0f6>{i:ki36=4>{I0f6>{i:ki26=4>{I0f6>{i:kij6=4>{I0f6>{i:kii6=4>{I0f6>{i:kih6=4>{I0f6>{i:kio6=4>{I0f6>{i:kin6=4>{I0f6>{i:kim6=4>{I0f6>{i:kn;6=4>{I0f6>{i:kn:6=4>{I0f6>{i:kn96=4>{I0f6>{i:kn86=4>{I0f6>{i:kn?6=4>{I0f6>{i:kn>6=4>{I0f6>{i:kn=6=4>{I0f6>{i:kn<6=4>{I0f6>{i:kn36=4>{I0f6>{i:kn26=4>{I0f6>{i:knj6=4>{I0f6>{i:kni6=4>{I0f6>{i:knh6=4>{I0f6>{i:kno6=4>{I0f6>{i:knn6=4>{I0f6>{i:knm6=4>{I0f6>{i:ko;6=4>{I0f6>{i:ko:6=4>{I0f6>{i:ko96=4>{I0f6>{i:ko86=4>{I0f6>{i:ko?6=4>{I0f6>{i:ko>6=4>{I0f6>{i:ko=6=4>{I0f6>{i:ko<6=4>{I0f6>{i:ko36=4>{I0f6>{i:ko26=4>{I0f6>{i:koj6=4>{I0f6>{i:koi6=4>{I0f6>{i:koh6=4>{I0f6>{i:koo6=4>{I0f6>{i:kon6=4>{I0f6>{i:kom6=4>{I0f6>{i:kl;6=4>{I0f6>{i:kl:6=4>{I0f6>{i:kl96=4>{I0f6>{i:kl86=4>{I0f6>{i:kl?6=4>{I0f6>{i:kl>6=4>{I0f6>{i:kl=6=4>{I0f6>{i:kl<6=4>{I0f6>{i:kl36=4>{I0f6>{i:kl26=4>{I0f6>{i:klj6=4>{I0f6>{i:kli6=4>{I0f6>{i:klh6=4>{I0f6>{i:klo6=4>{I0f6>{i:kln6=4>{I0f6>{i:klm6=4>{I0f6>{i:j:;6=4>{I0f6>{i:j::6=4>{I0f6>{i:j:96=4>{I0f6>{i:j:86=4>{I0f6>{i:j:?6=4>{I0f6>{i:j:>6=4>{I0f6>{i:j:=6=4>{I0f6>{i:j:<6=4>{I0f6>{i:j:36=4>{I0f6>{i:j;<6=4>{I0f6>{i:j;36=4>{I0f6>{i:j;26=4>{I0f6>{i:j;j6=4>{I0f6>{i:j;i6=4>{I0f6>{i:j;h6=4>{I0f6>{i:j;o6=4>{I0f6>{i:j;n6=4>{I0f6>{i:j;m6=4>{I0f6>{i:j8;6=4>{I0f6>{i:j8:6=4>{I0f6>{i:j896=4>{I0f6>{i:j886=4>{I0f6>{i:j8?6=4>{I0f6>{i:j8>6=4>{I0f6>{i:j8=6=4>{I0f6>{i:j8<6=4>{I0f6>{i:j836=4>{I0f6>{i:j826=4>{I0f6>{i:j8j6=4>{I0f6>{i:j8i6=4>{I0f6>{i:j8h6=4>{I0f6>{i:j8o6=4>{I0f6>{i:j8n6=4>{I0f6>{i:j8m6=4>{I0f6>{i:j9;6=4>{I0f6>{i:j9:6=4>{I0f6>{i:j996=4>{I0f6>{i:j2:6=4>{I0f6>{i:j296=4>{I0f6>{i:j286=4>{I0f6>{i:j2?6=4>{I0f6>{i:j2>6=4>{I0f6>{i:j2=6=4>{I0f6>{i:j2<6=4>{I0f6>{i:j236=4>{I0f6>{i:j226=4>{I0f6>{i:j2j6=4>{I0f6>{i:j2i6=4>{I0f6>{i:j2h6=4>{I0f6>{i:j2o6=4>{I0f6>{i:j2n6=4>{I0f6>{i:j2m6=4>{I0f6>{i:j3;6=4>{I0f6>{i:j3:6=4>{I0f6>{i:j396=4>{I0f6>{i:j386=4>{I0f6>{i:j3?6=4>{I0f6>{i:j3>6=4>{I0f6>{i:j3=6=4>{I0f6>{i:j3<6=4>{I0f6>{i:j336=4>{I0f6>{i:j326=4>{I0f6>{i:j3j6=4>{I0f6>{i:j3i6=4>{I0f6>{i:j3h6=4>{I0f6>{i:j3o6=4>{I0f6>{i:j3n6=4>{I0f6>{i:j3m6=4>{I0f6>{i:jk;6=4>{I0f6>{i:jk:6=4>{I0f6>{i:jk96=4>{I0f6>{i:jk86=4>{I0f6>{i:jk?6=4>{I0f6>{i:jk>6=4>{I0f6>{i:jk=6=4>{I0f6>{i:jk<6=4>{I0f6>{i:jk36=4>{I0f6>{i:jk26=4>{I0f6>{i:jkj6=4>{I0f6>{i:jki6=4>{I0f6>{i:jkh6=4>{I0f6>{i:jko6=4>{I0f6>{i:jkn6=4>{I0f6>{i:jkm6=4>{I0f6>{i:jh;6=4>{I0f6>{i:jh:6=4>{I0f6>{i:jh96=4>{I0f6>{i:jh86=4>{I0f6>{i:jh?6=4>{I0f6>{i:jh>6=4>{I0f6>{i:jh=6=4>{I0f6>{i:jh<6=4>{I0f6>{i:jh36=4>{I0f6>{i:jh26=4>{I0f6>{i:jhj6=4>{I0f6>{i:jhi6=4>{I0f6>{i:jhh6=4>{I0f6>{i:jho6=4>{I0f6>{i:jhn6=4>{I0f6>{i:jhm6=4>{I0f6>{i:ji;6=4>{I0f6>{i:ji:6=4>{I0f6>{i:ji96=4>{I0f6>{i:ji86=4>{I0f6>{i:ji?6=4>{I0f6>{i:ji>6=4>{I0f6>{i:ji=6=4>{I0f6>{i:ji<6=4>{I0f6>{i:ji36=4>{I0f6>{i:ji26=4>{I0f6>{i:jij6=4>{I0f6>{i:jii6=4>{I0f6>{i:jih6=4>{I0f6>{i:jio6=4>{I0f6>{i:jin6=4>{I0f6>{i:jim6=4>{I0f6>{i:jn;6=4>{I0f6>{i:jn:6=4>{I0f6>{i:jn96=4>{I0f6>{i:jn86=4>{I0f6>{i:jn?6=4>{I0f6>{i:jn>6=4>{I0f6>{i:jn=6=4>{I0f6>{i:jn<6=4>{I0f6>{i:jn36=4>{I0f6>{i:jn26=4>{I0f6>{i:jnj6=4>{I0f6>{i:jni6=4>{I0f6>{i:jnh6=4>{I0f6>{i:jno6=4>{I0f6>{i:jnn6=4>{I0f6>{i:jnm6=4>{I0f6>{i:jo;6=4>{I0f6>{i:jo:6=4>{I0f6>{i:jo96=4>{I0f6>{i:jo86=4>{I0f6>{i:jo?6=4>{I0f6>{i:jo>6=4>{I0f6>{i:jo=6=4>{I0f6>{i:jo<6=4>{I0f6>{i:jo36=4>{I0f6>{i:jo26=4>{I0f6>{i:joj6=4>{I0f6>{i:joi6=4>{I0f6>{i:joh6=4>{I0f6>{i:joo6=4>{I0f6>{i:jon6=4>{I0f6>{i:jom6=4>{I0f6>{i:jl;6=4>{I0f6>{i:jl:6=4>{I0f6>{i:jl96=4>{I0f6>{i:jl86=4>{I0f6>{i:jl?6=4>{I0f6>{i:jl>6=4>{I0f6>{i:jl=6=4>{I0f6>{i:jl<6=4>{I0f6>{i:jl36=4>{I0f6>{i:jl26=4>{I0f6>{i:jlj6=4>{I0f6>{i:jli6=4>{I0f6>{i:jlh6=4>{I0f6>{i:jlo6=4>{I0f6>{i:jln6=4>{I0f6>{i:jlm6=4>{I0f6>{i:m:;6=4>{I0f6>{i:m::6=4>{I0f6>{i:m:96=4>{I0f6>{i:m:86=4>{I0f6>{i:m:?6=4>{I0f6>{i:m:>6=4>{I0f6>{i:m:=6=4>{I0f6>{i:m:<6=4>{I0f6>{i:m:36=4>{I0f6>{i:m:26=4>{I0f6>{i:m:j6=4>{I0f6>{i:m:i6=4>{I0f6>{i:m:h6=4>{I0f6>{i:m:o6=4>{I0f6>{i:m:n6=4>{I0f6>{i:m:m6=4>{I0f6>{i:m;;6=4>{I0f6>{i:m;:6=4>{I0f6>{i:m;96=4>{I0f6>{i:m;86=4>{I0f6>{i:m;?6=4>{I0f6>{i:m;>6=4>{I0f6>{i:m;=6=4>{I0f6>{i:m;<6=4>{I0f6>{i:m;36=4>{I0f6>{i:m;26=4>{I0f6>{i:m;j6=4>{I0f6>{i:m;i6=4>{I0f6>{i:m;h6=4>{I0f6>{i:m;o6=4>{I0f6>{i:m;n6=4>{I0f6>{i:m;m6=4>{I0f6>{i:m8;6=4>{I0f6>{i:m8:6=4>{I0f6>{i:m896=4>{I0f6>{i:m886=4>{I0f6>{i:m8?6=4>{I0f6>{i:m8>6=4>{I0f6>{i:m8=6=4>{I0f6>{i:m8<6=4>{I0f6>{i:m836=4>{I0f6>{i:m826=4>{I0f6>{i:m8j6=4>{I0f6>{i:m8i6=4>{I0f6>{i:m8h6=4>{I0f6>{i:m8o6=4>{I0f6>{i:m8n6=4>{I0f6>{i:m8m6=4>{I0f6>{i:m9;6=4>{I0f6>{i:m9:6=4>{I0f6>{i:m996=4>{I0f6>{i:m986=4>{I0f6>{i:m9?6=4>{I0f6>{i:m9>6=4>{I0f6>{i:m9=6=4>{I0f6>{i:m9<6=4>{I0f6>{i:m936=4>{I0f6>{i:m926=4>{I0f6>{i:m9j6=4>{I0f6>{i:m9i6=4>{I0f6>{i:m9h6=4>{I0f6>{i:m9o6=4>{I0f6>{i:m9n6=4>{I0f6>{i:m9m6=4>{I0f6>{i:m>;6=4>{I0f6>{i:m>:6=4>{I0f6>{i:m>96=4>{I0f6>{i:m>86=4>{I0f6>{i:m>?6=4>{I0f6>{i:m>>6=4>{I0f6>{i:m>=6=4>{I0f6>{i:m><6=4>{I0f6>{i:m>36=4>{I0f6>{i:m>26=4>{I0f6>{i:m>j6=4>{I0f6>{i:m>i6=4>{I0f6>{i:m>h6=4>{I0f6>{i:m>o6=4>{I0f6>{i:m>n6=4>{I0f6>{i:m>m6=4>{I0f6>{i:m?;6=4>{I0f6>{i:m?:6=4>{I0f6>{i:m?96=4>{I0f6>{i:m?86=4>{I0f6>{i:m??6=4>{I0f6>{i:m?>6=4>{I0f6>{i:m?=6=4>{I0f6>{i:m?<6=4>{I0f6>{i:m?36=4>{I0f6>{i:m?26=4>{I0f6>{i:m?j6=4>{I0f6>{i:m?i6=4>{I0f6>{i:m?h6=4>{I0f6>{i:m?o6=4>{I0f6>{i:m?n6=4>{I0f6>{i:m?m6=4>{I0f6>{i:m<;6=4>{I0f6>{i:m<:6=4>{I0f6>{i:m<96=4>{I0f6>{i:m<86=4>{I0f6>{i:m<?6=4>{I0f6>{i:m<>6=4>{I0f6>{i:m<=6=4>{I0f6>{i:m<<6=4>{I0f6>{i:m<36=4>{I0f6>{i:m<26=4>{I0f6>{i:m<j6=4>{I0f6>{i:m<i6=4>{I0f6>{i:m<h6=4>{I0f6>{i:m<o6=4>{I0f6>{i:m<n6=4>{I0f6>{i:m<m6=4>{I0f6>{i:m=;6=4>{I0f6>{i:m=:6=4>{I0f6>{i:m=96=4>{I0f6>{i:m=86=4>{I0f6>{i:m=?6=4>{I0f6>{i:m=>6=4>{I0f6>{i:m==6=4>{I0f6>{i:m=<6=4>{I0f6>{i:m=36=4>{I0f6>{i:m=26=4>{I0f6>{i:m=j6=4>{I0f6>{i:m=i6=4>{I0f6>{i:m=h6=4>{I0f6>{i:m=o6=4>{I0f6>{i:m=n6=4>{I0f6>{i:m=m6=4>{I0f6>{i:m2;6=4>{I0f6>{i:m2:6=4>{I0f6>{i:m296=4>{I0f6>{i:m286=4>{I0f6>{i:m2?6=4>{I0f6>{i:m2>6=4>{I0f6>{i:m2=6=4>{I0f6>{i:m2<6=4>{I0f6>{i:m236=4>{I0f6>{i:m226=4>{I0f6>{i:m2j6=4>{I0f6>{i:m2i6=4>{I0f6>{i:m2h6=4>{I0f6>{i:m2o6=4>{I0f6>{i:m2n6=4>{I0f6>{i:m2m6=4>{I0f6>{i:m3;6=4>{I0f6>{i:m3:6=4>{I0f6>{i:m396=4>{I0f6>{i:m386=4>{I0f6>{i:m3?6=4>{I0f6>{i:m3>6=4>{I0f6>{i:m3=6=4>{I0f6>{i:m3<6=4>{I0f6>{i:m336=4>{I0f6>{i:m326=4>{I0f6>{i:m3j6=4>{I0f6>{i:m3i6=4>{I0f6>{i:m3h6=4>{I0f6>{i:m3o6=4>{I0f6>{i:m3n6=4>{I0f6>{i:m3m6=4>{I0f6>{i:mk;6=4>{I0f6>{i:mk:6=4>{I0f6>{i:mk96=4>{I0f6>{i:mk86=4>{I0f6>{i:mk?6=4>{I0f6>{i:mk>6=4>{I0f6>{i:mk=6=4>{I0f6>{i:mk<6=4>{I0f6>{i:mk36=4>{I0f6>{i:mk26=4>{I0f6>{i:mkj6=4>{I0f6>{i:mki6=4>{I0f6>{i:mkh6=4>{I0f6>{i:mko6=4>{I0f6>{i:mkn6=4>{I0f6>{i:mkm6=4>{I0f6>{i:mh;6=4>{I0f6>{i:mh:6=4>{I0f6>{i:mh96=4>{I0f6>{i:mh86=4>{I0f6>{i:mh?6=4>{I0f6>{i:mh>6=4>{I0f6>{i:mh=6=4>{I0f6>{i:mh<6=4>{I0f6>{i:mh36=4>{I0f6>{i:mh26=4>{I0f6>{i:mhj6=4>{I0f6>{i:mhi6=4>{I0f6>{i:mhh6=4>{I0f6>{i:mho6=4>{I0f6>{i:mhn6=4>{I0f6>{i:mhm6=4>{I0f6>{i:mi;6=4>{I0f6>{i:mi:6=4>{I0f6>{i:mi96=4>{I0f6>{i:mi86=4>{I0f6>{i:mi?6=4>{I0f6>{i:mi>6=4>{I0f6>{i:mi=6=4>{I0f6>{i:mi<6=4>{I0f6>{i:mi36=4>{I0f6>{i:mi26=4>{I0f6>{i:mij6=4>{I0f6>{i:mii6=4>{I0f6>{i:mih6=4>{I0f6>{i:mio6=4>{I0f6>{i:min6=4>{I0f6>{i:mim6=4>{I0f6>{i:mn;6=4>{I0f6>{i:mn:6=4>{I0f6>{i:mn96=4>{I0f6>{i:mn86=4>{I0f6>{i:mn?6=4>{I0f6>{i:mn>6=4>{I0f6>{i:mn=6=4>{I0f6>{i:mn<6=4>{I0f6>{i:mn36=4>{I0f6>{i:mn26=4>{I0f6>{i:mnj6=4>{I0f6>{i:mni6=4>{I0f6>{i:mnh6=4>{I0f6>{i:mno6=4>{I0f6>{i:mnn6=4>{I0f6>{i:mnm6=4>{I0f6>{i:mo;6=4>{I0f6>{i:mo:6=4>{I0f6>{i:mo96=4>{I0f6>{i:mo86=4>{I0f6>{i:mo?6=4>{I0f6>{i:mo>6=4>{I0f6>{i:mo=6=4>{I0f6>{i:mo<6=4>{I0f6>{i:mo36=4>{I0f6>{i:mo26=4>{I0f6>{i:moj6=4>{I0f6>{i:moi6=4>{I0f6>{i:moh6=4>{I0f6>{i:moo6=4>{I0f6>{i:mon6=4>{I0f6>{i:mom6=4>{I0f6>{i:ml;6=4>{I0f6>{i:ml:6=4>{I0f6>{i:ml96=4>{I0f6>{i:ml86=4>{I0f6>{i:ml?6=4>{I0f6>{i:ml>6=4>{I0f6>{i:ml=6=4>{I0f6>{i:ml<6=4>{I0f6>{i:ml36=4>{I0f6>{i:ml26=4>{I0f6>{i:mlj6=4>{I0f6>{i:mli6=4>{I0f6>{i:mlh6=4>{I0f6>{i:mlo6=4>{I0f6>{i:mln6=4>{I0f6>{i:mlm6=4>{I0f6>{i:l:;6=4>{I0f6>{i:l::6=4>{I0f6>{i:l:96=4>{I0f6>{i:l:86=4>{I0f6>{i:l:?6=4>{I0f6>{i:l:>6=4>{I0f6>{i:l:=6=4>{I0f6>{i:l:<6=4>{I0f6>{i:l:36=4>{I0f6>{i:l:26=4>{I0f6>{i:l:j6=4>{I0f6>{i:l:i6=4>{I0f6>{i:l:h6=4>{I0f6>{i:l:o6=4>{I0f6>{i:l:n6=4>{I0f6>{i:l:m6=4>{I0f6>{i:l;;6=4>{I0f6>{i:l;:6=4>{I0f6>{i:l;96=4>{I0f6>{i:l;86=4>{I0f6>{i:l;?6=4>{I0f6>{i:l;>6=4>{I0f6>{i:l;=6=4>{I0f6>{i:l;<6=4>{I0f6>{i:l;36=4>{I0f6>{i:l;26=4>{I0f6>{i:l;j6=4>{I0f6>{i:l;i6=4>{I0f6>{i:l;h6=4>{I0f6>{i:l;o6=4>{I0f6>{i:l;n6=4>{I0f6>{i:l;m6=4>{I0f6>{i:l8;6=4>{I0f6>{i:l8:6=4>{I0f6>{i:l896=4>{I0f6>{i:l886=4>{I0f6>{i:l8?6=4>{I0f6>{i:l8>6=4>{I0f6>{i:l8=6=4>{I0f6>{i:l8<6=4>{I0f6>{i:l836=4>{I0f6>{i:l826=4>{I0f6>{i:l8j6=4>{I0f6>{i:l8i6=4>{I0f6>{i:l8h6=4>{I0f6>{i:l8o6=4>{I0f6>{i:l8n6=4>{I0f6>{i:l8m6=4>{I0f6>{i:l9;6=4>{I0f6>{i:l9:6=4>{I0f6>{i:l996=4>{I0f6>{i:l986=4>{I0f6>{i:l9?6=4>{I0f6>{i:l9>6=4>{I0f6>{i:l9=6=4>{I0f6>{i:l9<6=4>{I0f6>{i:l936=4>{I0f6>{i:l926=4>{I0f6>{i:l9j6=4>{I0f6>{i:l9i6=4>{I0f6>{i:l9h6=4>{I0f6>{i:l9o6=4>{I0f6>{i:l9n6=4>{I0f6>{i:l9m6=4>{I0f6>{i:l>;6=4>{I0f6>{i:l>:6=4>{I0f6>{i:l>96=4>{I0f6>{i:l>86=4>{I0f6>{i:l>?6=4>{I0f6>{i:l>>6=4>{I0f6>{i:l>=6=4>{I0f6>{i:l><6=4>{I0f6>{i:l>36=4>{I0f6>{i:l>26=4>{I0f6>{i:l>j6=4>{I0f6>{i:l>i6=4>{I0f6>{i:l>h6=4>{I0f6>{i:l>o6=4>{I0f6>{i:l>n6=4>{I0f6>{i:l>m6=4>{I0f6>{i:l?;6=4>{I0f6>{i:l?:6=4>{I0f6>{i:l?96=4>{I0f6>{i:l?86=4>{I0f6>{i:l??6=4>{I0f6>{i:l?>6=4>{I0f6>{i:l?=6=4>{I0f6>{i:l?<6=4>{I0f6>{i:l?36=4>{I0f6>{i:l?26=4>{I0f6>{i:l?j6=4>{I0f6>{i:l?i6=4>{I0f6>{i:l?h6=4>{I0f6>{i:l?o6=4>{I0f6>{i:l?n6=4>{I0f6>{i:l?m6=4>{I0f6>{i:l<;6=4>{I0f6>{i:l<:6=4>{I0f6>{i:l<96=4>{I0f6>{i:l<86=4>{I0f6>{i:l<?6=4>{I0f6>{i:l<>6=4>{I0f6>{i:l<=6=4>{I0f6>{i:l<<6=4>{I0f6>{i:l<36=4>{I0f6>{i:l<26=4>{I0f6>{i:l<j6=4>{I0f6>{i:l<i6=4>{I0f6>{i:l<h6=4>{I0f6>{i:l<o6=4>{I0f6>{i:l<n6=4>{I0f6>{i:l<m6=4>{I0f6>{i:l=;6=4>{I0f6>{i:l=:6=4>{I0f6>{i:l=96=4>{I0f6>{i:l=86=4>{I0f6>{i:l=?6=4>{I0f6>{i:l=>6=4>{I0f6>{i:l==6=4>{I0f6>{i:l=<6=4>{I0f6>{i:l=36=4>{I0f6>{i:l=26=4>{I0f6>{i:l=j6=4>{I0f6>{i:l=i6=4>{I0f6>{i:l=h6=4>{I0f6>{i:l=o6=4>{I0f6>{i:l=n6=4>{I0f6>{i:l=m6=4>{I0f6>{i:l2;6=4>{I0f6>{i:l2:6=4>{I0f6>{i:l296=4>{I0f6>{i:l286=4>{I0f6>{i:l2?6=4>{I0f6>{i:l2>6=4>{I0f6>{i:l2=6=4>{I0f6>{i:l2<6=4>{I0f6>{i:l236=4>{I0f6>{i:l226=4>{I0f6>{i:l2j6=4>{I0f6>{i:l2i6=4>{I0f6>{i:l2h6=4>{I0f6>{i:l2o6=4>{I0f6>{i:l2n6=4>{I0f6>{i:l2m6=4>{I0f6>{i:l3;6=4>{I0f6>{i:l3:6=4>{I0f6>{i:l396=4>{I0f6>{i:l386=4>{I0f6>{i:l3?6=4>{I0f6>{i:l3>6=4>{I0f6>{i:l3=6=4>{I0f6>{i:l3<6=4>{I0f6>{i:l336=4>{I0f6>{i:l326=4>{I0f6>{i:l3j6=4>{I0f6>{i:l3i6=4>{I0f6>{i:l3h6=4>{I0f6>{i:l3o6=4>{I0f6>{i:l3n6=4>{I0f6>{i:l3m6=4>{I0f6>{i:lk;6=4>{I0f6>{i:lk:6=4>{I0f6>{i:lk96=4>{I0f6>{i:lk86=4>{I0f6>{i:lk?6=4>{I0f6>{i:lk>6=4>{I0f6>{i:lk=6=4>{I0f6>{i:lk<6=4>{I0f6>{i:lk36=4>{I0f6>{i:lk26=4>{I0f6>{i:lkj6=4>{I0f6>{i:lki6=4>{I0f6>{i:lkh6=4>{I0f6>{i:lko6=4>{I0f6>{i:lkn6=4>{I0f6>{i:lkm6=4>{I0f6>{i:lh;6=4>{I0f6>{i:lh:6=4>{I0f6>{i:lh96=4>{I0f6>{i:lh86=4>{I0f6>{i:lh?6=4>{I0f6>{i:lh>6=4>{I0f6>{i:lh=6=4>{I0f6>{i:lh<6=4>{I0f6>{i:lh36=4>{I0f6>{i:lh26=4>{I0f6>{i:lhj6=4>{I0f6>{i:lhi6=4>{I0f6>{i:lhh6=4>{I0f6>{i:lho6=4>{I0f6>{i:lhn6=4>{I0f6>{i:lhm6=4>{I0f6>{i:li;6=4>{I0f6>{i:li:6=4>{I0f6>{i:li96=4>{I0f6>{i:li86=4>{I0f6>{i:li?6=4>{I0f6>{i:li>6=4>{I0f6>{i:li=6=4>{I0f6>{i:li<6=4>{I0f6>{i:li36=4>{I0f6>{i:li26=4>{I0f6>{i:lij6=4>{I0f6>{i:lii6=4>{I0f6>{i:lih6=4>{I0f6>{i:lio6=4>{I0f6>{i:lin6=4>{I0f6>{i:lim6=4>{I0f6>{i:ln;6=4>{I0f6>{i:ln:6=4>{I0f6>{i:ln96=4>{I0f6>{i:ln86=4>{I0f6>{i:ln?6=4>{I0f6>{i:ln>6=4>{I0f6>{i:ln=6=4>{I0f6>{i:ln<6=4>{I0f6>{i:ln36=4>{I0f6>{i:ln26=4>{I0f6>{i:lnj6=4>{I0f6>{i:lni6=4>{I0f6>{i:lnh6=4>{I0f6>{i:lno6=4>{I0f6>{i:lnn6=4>{I0f6>{i:lnm6=4>{I0f6>{i:lo;6=4>{I0f6>{i:lo:6=4>{I0f6>{i:lo96=4>{I0f6>{i:lo86=4>{I0f6>{i:lo?6=4>{I0f6>{i:lo>6=4>{I0f6>{i:lo=6=4>{I0f6>{i:lo<6=4>{I0f6>{i:lo36=4>{I0f6>{i:lo26=4>{I0f6>{i:loj6=4>{I0f6>{i:loi6=4>{I0f6>{i:loh6=4>{I0f6>{i:loo6=4>{I0f6>{i:lon6=4>{I0f6>{i:lom6=4>{I0f6>{i:ll;6=4>{I0f6>{i:ll:6=4>{I0f6>{i:ll96=4>{I0f6>{i:ll86=4>{I0f6>{i:ll?6=4>{I0f6>{i:ll>6=4>{I0f6>{i:ll=6=4>{I0f6>{i:ll<6=4>{I0f6>{i:ll36=4>{I0f6>{i:ll26=4>{I0f6>{i:llj6=4>{I0f6>{i:lli6=4>{I0f6>{i:llh6=4>{I0f6>{i:llo6=4>{I0f6>{i:lln6=4>{I0f6>{i:llm6=4>{I0f6>{i:o:;6=4>{I0f6>{i:o::6=4>{I0f6>{i:o:96=4>{I0f6>{i:o:86=4>{I0f6>{i:o:?6=4>{I0f6>{i:o:>6=4>{I0f6>{i:o:=6=4>{I0f6>{i:o:<6=4>{I0f6>{i:o:36=4>{I0f6>{i:o:26=4>{I0f6>{i:o:j6=4>{I0f6>{i:o:i6=4>{I0f6>{i:o:h6=4>{I0f6>{i:o:o6=4>{I0f6>{i:o:n6=4>{I0f6>{i:o:m6=4>{I0f6>{i:o;;6=4>{I0f6>{i:o;:6=4>{I0f6>{i:o;96=4>{I0f6>{i:o;86=4>{I0f6>{i:o;?6=4>{I0f6>{i:o;>6=4>{I0f6>{i:o;=6=4>{I0f6>{i:o;<6=4>{I0f6>{i:o;36=4>{I0f6>{i:o;26=4>{I0f6>{i:o;j6=4>{I0f6>{i:o;i6=4>{I0f6>{i:o;h6=4>{I0f6>{i:o;o6=4>{I0f6>{i:o;n6=4>{I0f6>{i:o;m6=4>{I0f6>{i:o8;6=4>{I0f6>{i:o8:6=4>{I0f6>{i:o896=4>{I0f6>{i:o886=4>{I0f6>{i:o8?6=4>{I0f6>{i:o8>6=4>{I0f6>{i:o8=6=4>{I0f6>{i:o8<6=4>{I0f6>{i:o836=4>{I0f6>{i:o826=4>{I0f6>{i:o8j6=4>{I0f6>{i:o8i6=4>{I0f6>{i:o8h6=4>{I0f6>{i:o8o6=4>{I0f6>{i:o8n6=4>{I0f6>{i:o8m6=4>{I0f6>{i:o9;6=4>{I0f6>{i:o9:6=4>{I0f6>{i:o996=4>{I0f6>{i:o986=4>{I0f6>{i:o9?6=4>{I0f6>{i:o9>6=4>{I062>N5m;1vb?h<6;295~N5m;1vb?h<7;295~N5m;1vb?h<8;295~N5m;1vb?h<9;295~N5m;1vb?h<a;295~N5m;1vb?h<b;295~N5m;1vb?h<c;295~N5m;1vb?h<d;295~N5m;1vb?h<e;295~N5m;1vb?h<f;295~N5m;1vb?h;0;295~N5m;1vb?h;1;295~N5m;1vb?h;2;295~N5m;1vb?h;3;295~N5m;1vb?h;4;295~N5m;1vb?h;5;295~N5m;1vb?h;6;295~N5m;1vb?h;7;295~N5m;1vb?h;8;295~N5m;1vb?h;9;295~N5m;1vb?h;a;295~N5m;1vb?h;b;295~N5m;1vb?h;c;295~N5m;1vb?h;d;295~N5m;1vb?h;e;295~N5m;1vb?h;f;295~N5m;1vb?h:0;295~N5m;1vb?h:1;295~N5m;1vb?h:2;295~N5m;1vb?h:3;295~N5m;1vb?h:4;295~N5m;1vb?h:5;295~N5m;1vb?h:6;295~N5m;1vb?h:7;295~N5m;1vb?h:8;295~N5m;1vb?h:9;295~N5m;1vb?h:a;295~N5m;1vb?h:b;295~N5m;1vb?h:c;295~N5m;1vb?h:d;295~N5m;1vb?h:e;295~N5m;1vb?h:f;295~N5m;1vb?h90;295~N5m;1vb?h91;295~N5m;1vb?h92;295~N5m;1vb?h93;295~N5m;1vb?h94;295~N5m;1vb?h95;295~N5m;1vb?h96;295~N5m;1vb?h97;295~N5m;1vb?h98;295~N5m;1vb?h99;295~N5m;1vb?h9a;295~N5m;1vb?h9b;295~N5m;1vb?h9c;295~N5m;1vb?h9d;295~N5m;1vb?h9e;295~N5m;1vb?h9f;295~N5m;1vb?h80;295~N5m;1vb?h81;295~N5m;1vb?h82;295~N5m;1vb?h83;295~N5m;1vb?h84;295~N5m;1vb?h85;295~N5m;1vb?h86;295~N5m;1vb?h87;295~N5m;1vb?h88;295~N5m;1vb?h89;295~N5m;1vb?h8a;295~N5m;1vb?h8b;295~N5m;1vb?h8c;295~N5m;1vb?h8d;295~N5m;1vb?h8e;295~N5m;1vb?h8f;295~N5m;1vb?h70;295~N5m;1vb?h71;295~N5m;1vb?h72;295~N5m;1vb?h73;295~N5m;1vb?h74;295~N5m;1vb?h75;295~N5m;1vb?h76;295~N5m;1vb?h77;295~N5m;1vb?h78;295~N5m;1vb?h79;295~N5m;1vb?h7a;295~N5m;1vb?h7b;295~N5m;1vb?h7c;295~N5m;1vb?h7d;295~N5m;1vb?h7e;295~N5m;1vb?h7f;295~N5m;1vb?h60;295~N5m;1vb?h61;295~N5m;1vb?h62;295~N5m;1vb?h63;295~N5m;1vb?h64;295~N5m;1vb?h65;295~N5m;1vb?h66;295~N5m;1vb?h67;295~N5m;1vb?h68;295~N5m;1vb?h69;295~N5m;1vb?h6a;295~N5m;1vb?h6b;295~N5m;1vb?h6c;295~N5m;1vb?h6d;295~N5m;1vb?h6e;295~N5m;1vb?h6f;295~N5m;1vb?hn0;295~N5m;1vb?hn1;295~N5m;1vb?hn2;295~N5m;1vb?hn3;295~N5m;1vb?hn4;295~N5m;1vb?hn5;295~N5m;1vb?hn6;295~N5m;1vb?hn7;295~N5m;1vb?hn8;295~N5m;1vb?hn9;295~N5m;1vb?hna;295~N5m;1vb?hnb;295~N5m;1vb?hnc;295~N5m;1vb?hnd;295~N5m;1vb?hne;295~N5m;1vb?hnf;295~N5m;1vb?hm0;295~N5m;1vb?hm1;295~N5m;1vb?hm2;295~N5m;1vb?hm3;295~N5m;1vb?hm4;295~N5m;1vb?hm5;295~N5m;1vb?hm6;295~N5m;1vb?hm7;295~N5m;1vb?hm8;295~N5m;1vb?hm9;295~N5m;1vb?hma;295~N5m;1vb?hmb;295~N5m;1vb?hmc;295~N5m;1vb?hmd;295~N5m;1vb?hme;295~N5m;1vb?hmf;295~N5m;1vb?hl0;295~N5m;1vb?hl1;295~N5m;1vb?hl2;295~N5m;1vb?hl3;295~N5m;1vb?hl4;295~N5m;1vb?hl5;295~N5m;1vb?hl6;295~N5m;1vb?hl7;295~N5m;1vb?hl8;295~N5m;1vb?hl9;295~N5m;1vb?hla;295~N5m;1vb?hlb;295~N5m;1vb?hlc;295~N5m;1vb?hld;295~N5m;1vb?hle;295~N5m;1vb?hlf;295~N5m;1vb?hk0;295~N5m;1vb?hk1;295~N5m;1vb?hk2;295~N5m;1vb?hk3;295~N5m;1vb?hk4;295~N5m;1vb?hk5;295~N5m;1vb?hk6;295~N5m;1vb?hk7;295~N5m;1vb?hk8;295~N5m;1vb?hk9;295~N5m;1vb?hka;295~N5m;1vb?hkb;295~N5m;1vb?hkc;295~N5m;1vb?hkd;295~N5m;1vb?hke;295~N5m;1vb?hkf;295~N5m;1vb?hj0;295~N5m;1vb?hj1;295~N5m;1vb?hj2;295~N5m;1vb?hj3;295~N5m;1vb?hj4;295~N5m;1vb?hj5;295~N5m;1vb?hj6;295~N5m;1vb?hj7;295~N5m;1vb?hj8;295~N5m;1vb?hj9;295~N5m;1vb?hja;295~N5m;1vb?hjb;295~N5m;1vb?hjc;295~N5m;1vb?hjd;295~N5m;1vb?hje;295~N5m;1vb?hjf;295~N5m;1vb?hi0;295~N5m;1vb?hi1;295~N5m;1vb?hi2;295~N5m;1vb?hi3;295~N5m;1vb?hi4;295~N5m;1vb?hi5;295~N5m;1vb?hi6;295~N5m;1vb?hi7;295~N5m;1vb?hi8;295~N5m;1vb?hi9;295~N5m;1vb?hia;295~N5m;1vb?hib;295~N5m;1vb?hic;295~N5m;1vb?hid;295~N5m;1vb?hie;295~N5m;1vb?hif;295~N5m;1vb>>?0;295~N5m;1vb>>?1;295~N5m;1vb>>?2;295~N5m;1vb>>?3;295~N5m;1vb>>?4;295~N5m;1vb>>?5;295~N5m;1vb>>?6;295~N5m;1vb>>?7;295~N5m;1vb>>?8;295~N5m;1vb>>?9;295~N5m;1vb>>?a;295~N5m;1vb>>?b;295~N5m;1vb>>?c;295~N5m;1vb>>?d;295~N5m;1vb>>?e;295~N5m;1vb>>?f;295~N5m;1vb>>>0;295~N5m;1vb>>>1;295~N5m;1vb>>>2;295~N5m;1vb>>>3;295~N5m;1vb>>>4;295~N5m;1vb>>>5;295~N5m;1vb>>>6;295~N5m;1vb>>>7;295~N5m;1vb>>>8;295~N5m;1vb>>>9;295~N5m;1vb>>>a;295~N5m;1vb>>>b;295~N5m;1vb>>>c;295~N5m;1vb>>>d;295~N5m;1vb>>>e;295~N5m;1vb>>>f;295~N5m;1vb>>=0;295~N5m;1vb>>=1;295~N5m;1vb>>=2;295~N5m;1vb>>=3;295~N5m;1vb>>=4;295~N5m;1vb>>=5;295~N5m;1vb>>=6;295~N5m;1vb>>=7;295~N5m;1vb>>=8;295~N5m;1vb>>=9;295~N5m;1vb>>=a;295~N5m;1vb>>=b;295~N5m;1vb>>=c;295~N5m;1vb>>=d;295~N5m;1vb>>=e;295~N5m;1vb>>=f;295~N5m;1vb>><0;295~N5m;1vb>><1;295~N5m;1vb>><2;295~N5m;1vb>><3;295~N5m;1vb>><4;295~N5m;1vb>><5;295~N5m;1vb>><6;295~N5m;1vb>><7;295~N5m;1vb>><8;295~N5m;1vb>><9;295~N5m;1vb>><a;295~N5m;1vb>><b;295~N5m;1vb>><c;295~N5m;1vb>><d;295~N5m;1vb>><e;295~N5m;1vb>><f;295~N5m;1vb>>;0;295~N5m;1vb>>;1;295~N5m;1vb>>;2;295~N5m;1vb>>;3;295~N5m;1vb>>;4;295~N5m;1vb>>;5;295~N5m;1vb>>;6;295~N5m;1vb>>;7;295~N5m;1vb>>;8;295~N5m;1vb>>;9;295~N5m;1vb>>;a;295~N5m;1vb>>;b;295~N5m;1vb>>;c;295~N5m;1vb>>;d;295~N5m;1vb>>;e;295~N5m;1vb>>;f;295~N5m;1vb>>:0;295~N5m;1vb>>:1;295~N5m;1vb>>:2;295~N5m;1vb>>:3;295~N5m;1vb>>:4;295~N5m;1vb>>:5;295~N5m;1vb>>:6;295~N5m;1vb>>:7;295~N5m;1vb>>:8;295~N5m;1vb>>:9;295~N5m;1vb>>:a;295~N5m;1vb>>:b;295~N5m;1vb>>:c;295~N5m;1vb>>:d;295~N5m;1vb>>:e;295~N5m;1vb>>:f;295~N5m;1vb>>90;295~N5m;1vb>>91;295~N5m;1vb>>92;295~N5m;1vb>>93;295~N5m;1vb>>94;295~N5m;1vb>>95;295~N5m;1vb>>96;295~N5m;1vb>>97;295~N5m;1vb>>98;295~N5m;1vb>>99;295~N5m;1vb>>9a;295~N5m;1vb>>9b;295~N5m;1vb>>9c;295~N5m;1vb>>9d;295~N5m;1vb>>9e;295~N5m;1vb>>9f;295~N5m;1vb>>80;295~N5m;1vb>>81;295~N5m;1vb>>82;295~N5m;1vb>>83;295~N5m;1vb>>84;295~N5m;1vb>>85;295~N5m;1vb>>86;295~N5m;1vb>>87;295~N5m;1vb>>88;295~N5m;1vb>>89;295~N5m;1vb>>8a;295~N5m;1vb>>8b;295~N5m;1vb>>8c;295~N5m;1vb>>8d;295~N5m;1vb>>8e;295~N5m;1vb>>8f;295~N5m;1vb>>70;295~N5m;1vb>>71;295~N5m;1vb>>72;295~N5m;1vb>>73;295~N5m;1vb>>74;295~N5m;1vb>>75;295~N5m;1vb>>76;295~N5m;1vb>>77;295~N5m;1vb>>78;295~N5m;1vb>>79;295~N5m;1vb>>7a;295~N5m;1vb>>7b;295~N5m;1vb>>7c;295~N5m;1vb>>7d;295~N5m;1vb>>7e;295~N5m;1vb>>7f;295~N5m;1vb>>60;295~N5m;1vb>>61;295~N5m;1vb>>62;295~N5m;1vb>>63;295~N5m;1vb>>64;295~N5m;1vb>>65;295~N5m;1vb>>66;295~N5m;1vb>>67;295~N5m;1vb>>68;295~N5m;1vb>>69;295~N5m;1vb>>6a;295~N5m;1vb>>6b;295~N5m;1vb>>6c;295~N5m;1vb>>6d;295~N5m;1vb>>6e;295~N5m;1vb>>6f;295~N5m;1vb>>n0;295~N5m;1vb>>n1;295~N5m;1vb>>n2;295~N5m;1vb>>n3;295~N5m;1vb>>n4;295~N5m;1vb>>n5;295~N5m;1vb>>n6;295~N5m;1vb>>n7;295~N5m;1vb>>n8;295~N5m;1vb>>n9;295~N5m;1vb>>na;295~N5m;1vb>>nb;295~N5m;1vb>>nc;295~N5m;1vb>>nd;295~N5m;1vb>>ne;295~N5m;1vb>>nf;295~N5m;1vb>>m0;295~N5m;1vb>>m1;295~N5m;1vb>?84;295~N5m;1vqpsO@By037d=k8h8o9>9}ABA\7f5{GHYqvLM
\ No newline at end of file
+$b5b\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:23054<88>0<<>?2:270>6389>0<5>?1:30?4(7:91:87GAPTV9TWI@WLG[XTQ[HSGPL9742949;6?;:HLSQQ<WZFMTI@^[Y^VKV@UOWHYXI_Z31283:71<9=0BB][[:QPLCZCJX]STXE\JSI]MABGSM5;86=0>f:37>LHW]]0[^BIPFTNO[QNUMZB7=>4?>34851<NFY__6]\@G^DVHIYS@[OXDRO\SDPW845=878=7<:5IORVP?VUGNUMYABPTIPFWMYIMNK_I1?<:1<14>732@D[YY4\7frne\ahvsqV~c~h}g<0194;4038>1EC^ZT;rqkbYbey~rSyf}erj\evubz}6:?7>126920?OIX\^1|\7fah_dosp|Ys`{oxdR`jg`vf845=87;m7<:5IORVP?vugnUmyabPtipfwm:6;3:5>;5>4;KMTPR=x{elSk{cl^vkv`uoWhyxi\7fz31283:70<9=0BB][[:qplcZ`rdeU\7fd\7fk|h^lfcdrb4891<3?k;069KPRW]]0OIO[H_SF\TKRU4891<3?k;069KPRW]]0OIO[H_VP\TKRU4891<3?k;069KPRW]]0YHRJNT@]@KPHS4891<3?k;069KPRW]]0\^RJNT@]@KPHS4891<3?n;069KPRW]]0omyoPcnwmp974294996?;:NWWTPR=llh~kR|k_qlwvZvk}z;T~1?<:1<2b>732F__\XZ5dd`vcZtcWyd\7f~R|31283:4`<9=0DYY^ZT;fffpaXzmU{by|Pw=30>585=2;?6B[[PTV9``droV}yS}`{r^roqv7X\7f5;86=0=5:37>JSSX\^1hhlzg^uq[uhszVzgy~<Pw=30>586n2;?6B[[PTV9``droV}yS}`{r^p?56<768l0=94@UURVP?bbj|mT{\7fQ\7fnup\s974294:h6?;:NWWTPR=zmUomyoPcnwmp974294:h6?;:NWWTPR=\7f{UomyoPcnwmp974294:86?::HLSQQ<FLMXJ0<:50?37?43=AGZ^X7OKDS@?51<76819=?5<2;KMTPR=L@K7?<4?>00877<NFY__6IGM<2394;753:81EC^ZT;FJJ956294:>6==:HLSQQ<cag68=7>112906?IR\Y__6IAN<2394;743:81CXZ_UU8GKG:493:5=95<2;MVPUSS2ME^X1=>:1<20>552F__\XZ5dnww867=87808=?>;58JJUSS2MC[M1=50?32?1<NFY__6IG_B=194;773=0BB][[:VGB86<768:087GAPTV9S@D;;3:5=?5;:NWWTPR=LFZJ0>4?>0080?IR\Y__6IA_B=194;`<<\7ffs8=hi106;)4663?80:4:468;56>>7<22;<=:483620>>3:8;0595601;1?<0330<=555NDEPB858>3HNO^L2>0?;8EABUI5;:245NDEPB844912KOH_O312<a?DBCZH6:87>19:CG@WG;9=437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF41437LJKR@>::==FLMXI0=06;@FGVG:68730MIJ]B=32:<=FLMXI0<<19:CG@WD;9:4i7LJKRC>20?6912KOH_L315<;?DBCZK6:255NDEPA878?3HNO^O2<>99B@ATE4=437LJKRC>6:==FLMXI0;07;@FGVG:0611JHI\M<9<;?DBCZK622n5NLLMPPZCJX]Si7LBBORV\BPJK12KXUCMPRDE0?GS502H^_RGAFN38Gd=DIZIJHHGAA`9@EVEFLLCENo5LARAB@@IR\Hh0OL]LAEGLQQD53JO97NG;;BNHE1=DDBH87NB]9:ALIHOS\LN<7N\JAUGG5>Ba3MOIYJQ]AL]FIUR^m2NNNXIPR@O\BPJKn2NNNXIPR@O\VAYBFo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g<LF__0>?50?:8@JSS4:;5;6J@UU>0:2=CG\^78394DNWW80803ME^X1817:FLQQ:06>1OCXZ38?58@JSS40497H::;DOSP\5<MGK87H@M2:D10>@5N9?0JLB\E29E@F2<NMIN?6HKE29E@U2<NMZN86HI2168BPJK=2Lj`~k>;F18CKB63@80E=<4I008M74<A:20ECG[P^23<>OIA]ZT<<64IOKWTZ6502CEEY^P02:8MKOSXV:?46GAIUR\40><AGC_\R>98:KMMQVX8>30ECG[SUCWA2=NF@^T<=94IOKW[5703@DBXR>=7:KMMQY7;>1BBDZP0558MKOSW9?<7D@FT^253>OIA]U;;:5FNHV\4=1<AGC_S=78;HLJPZ6F?2CEEYQ?B69JJLRX8J=0ECG[_1F4?LHN\V:N;6GAIU]3B2=NF@^T==94IOKW[4703@DBXR?=7:KMMQY6;>1BBDZP1558MKOSW8?<7D@FT^353>OIA]U:;:5FNHV\5=1<AGC_S<78;HLJPZ7F?2CEEYQ>B69JJLRX9J=0ECG[_0F4?LHN\V;N;6GAIU]2B2=NF@^T>=94IOKW[7703@DBXR<=7:KMMQY5;>1BBDZP2558MKOSW;?<7D@FT^053>OIA]U9;:5FNHV\6=1<AGC_S?78;HLJPZ4F?2CEEYQ=B69JJLRX:J=0ECG[_3F4?LHN\V8N;6GAIU]1B2=NF@^T?=94IOKW[6703@DBXR==7:KMMQY4;>1BBDZP3558MKOSW:?<7D@FT^153>OIA]U8;:5FNHV\7=1<AGC_S>78;HLJPZ5F?2CEEYQ<B69JJLRX;J=0ECG[_2F4?LHN\V9N;6GAIU]0B3=NF@^TM;5FNHV\F<=NF@^TJDBJ3:KMR44<AG\N^YQLLJ]GKDYUMN;97D@YESV\GIMXLFHT^HI<;HMA6>JN:2FD:6B@AEGG3>JHO@IJ@95CUU37?ISS:=1GYY=;;MWW03=K]]>T@85BUYAZ1>KRPO?>7@[WF7a8Idlhz_oy\7fdaac:OjjjtQm{ybcc<4N018J4643G;:?6@>259M57733G;9>95A1367?K75>=1E=?9;;O31=6=I9:>0B<=?4:L2772<F89??6@>459M51333G;?:95A1557?K730=1E=97<;O360>H6=9>0B<;>4:L2172<F8?886@>5568J432<2D:9;:4N0740>H6=1>0B<;63:L221=I9?:?7C?9159M53433G;=?95A1767?K71==1E=;8;;O3531=I9?2?7C?9929M522<F8=;86@>7068J415<2D:;>:4N0570>H6?<>0B<994:L2322<F8=3?6@>859M5=533G;3895A1977?K7?>=1E=59;;O3;<1=I91387C?64:L2=52<F83:86@>9368J4?4<2D:59:4N0;60>H61?>0B<762:L17>H58=1E>=>;;O0351=I:98?7C<?359M65233G8;995A2147?K47?=1E>=6;;O03=6=I:8>0B???4:L1542<F;;9?6@=229M665<F;>87C<:3:L126=I:>90B?6<;O0:7>H48:1E?<=4N200?K54;2D88>5A3418J6043G>:?6@;339M17=I>;1E;?5A839M=c=IJVH^_]GA_QPLTV1<FL^\C_E8;OMNAWAB<2DDBH?4O39LO==H^LXM@BY>;Q68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE29SV@g<X[ELSHC_TX37?UTHOVOF\YWPTIPFWM:768?0\_AH_DOSP\YS@[OXD1??>078TWI@WLG[XTQ[HSGPL97668?0\_AH_DOSP\YS@[OXD1?=>058TWI@WLG[XTQ[HSGPL974294:96^]OF]FIUR^W]BYI^F312<20>VUGNUNA]ZV_UJQAVN;97;?7]\@G^GNTQ_X\AXN_E2=>068TWI@WLG[XTQ[HSGPL9599=1[^BIPELRW]ZROZLYC090>4:RQKBYBEY^RSYF]ERJ?1;733YXDKRKBPU[\PMTB[A6=2<:4PSMD[@KW\PU_D_K\H=5=51=WZFMTI@^[Y^VKV@UO414:86^]OF]FIUR^W]BYI^F39?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1>11b9SVJAXMDZ_URZGRDQK[DUTM[^7==0>c:RQKBYBEY^RSYF]ERJ\EVUBZ]6:=3?l;QPLCZCJX]STXE\JSI]BWVCU\5;92<k4PSMD[@KW\PU_D_K\H^CPW@TS4891<3?l;QPLCZCJX]STXE\JSI]BWVCU\5;82<l4PSMD[@KW\PU_D_K\H^CPW@TS484:n6^]OF]FIUR^W]BYI^FPARQFVQ:568h0\_AH_DOSP\YS@[OXDRO\SDPW8686j2ZYCJQJMQVZ[QNUMZBTM^]JRU>7:4d<X[ELSHC_TX]WLWCT@VKX_H\[<4<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y29>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0:0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]632<l4PSMD[@KW\PU_D_K\H^CPW@TS404:n6^]OF]FIUR^W]BYI^FPNDEBP@:768i0\_AH_DOSP\YS@[OXDR@JG@VF84699j1[^BIPELRW]ZROZLYCSCKHAUG?5486k2ZYCJQJMQVZ[QNUMZBTBHINTD>26;7b3YXDKRKBPU[\PMTB[AUEIJO[E=30>586k2ZYCJQJMQVZ[QNUMZBTBHINTD>27;7e3YXDKRKBPU[\PMTB[AUEIJO[E=3=5g=WZFMTI@^[Y^VKV@UOWGOLMYK32?3a?UTHOVOF\YWPTIPFWMYIMNK_I1=11c9SVJAXMDZ_URZGRDQK[KC@I]O783?m;QPLCZCJX]STXE\JSI]MABGSM5?5=o5_RNE\AHVSQV^C^H]G_OGDEQC;>7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9199k1[^BIPELRW]ZROZLYCSCKHAUG?<;7e3YXDKRKBPU[\PMTB[AUEIJO[E=;==>VUGNUMYAB>3:RQKBYA]EFTXE\JSI>3:42<X[ELSK[CL^VKV@UO48:5=95_RNE\BPJKW]BYI^F310<20>VUGNUMYABPTIPFWM:6:7;=7]\@G^DVHIYS@[OXD1?<:1<20>VUGNUMYABPTIPFWM:6;7;87]\@G^DVHIYS@[OXD1?1129SVJAXN\FGSYF]ERJ?6;743YXDKRHZLM]WLWCT@595=>5_RNE\BPJKW]BYI^F34?30?UTHOVL^@AQ[HSGPL9399:1[^BIPFTNO[QNUMZB7:3?<;QPLCZ@RDEU_D_K\H=5=56=WZFMTJXBC_UJQAVN;07;87]\@G^DVHIYS@[OXD1711`9SVJAXN\FGSYF]ERJ\EVUBZ]6;2<l4PSMD[CSKDV^C^H]G_@QPAWR;994:n6^]OF]EQIJX\AXN_EQNSRGQP97668h0\_AH_GWOHZROZLYCSL]\ESV?5786l2ZYCJQIUMN\PMTB[AUJ_^K]T=30>586j2ZYCJQIUMN\PMTB[AUJ_^K]T=30:4g<X[ELSK[CL^VKV@UOWHYXI_Z31?3b?UTHOVL^@AQ[HSGPLZGT[LX_0?0>a:RQKBYA]EFTXE\JSI]BWVCU\595=l5_RNE\BPJKW]BYI^FPARQFVQ:368k0\_AH_GWOHZROZLYCSL]\ESV?1;7f3YXDKRHZLM]WLWCT@VKX_H\[<7<2e>VUGNUMYABPTIPFWMYF[ZOYX1911`9SVJAXN\FGSYF]ERJ\EVUBZ]632<o4PSMD[CSKDV^C^H]G_@QPAWR;17;j7]\@G^DVHIYS@[OXDR@JG@VF8586j2ZYCJQIUMN\PMTB[AUEIJO[E=33:4d<X[ELSK[CL^VKV@UOWGOLMYK310<2f>VUGNUMYABPTIPFWMYIMNK_I1?=>0f8TWI@WO_G@RZGRDQK[KC@I]O7=>4?>0`8TWI@WO_G@RZGRDQK[KC@I]O7=>0>a:RQKBYA]EFTXE\JSI]MABGSM5;5=l5_RNE\BPJKW]BYI^FPNDEBP@:568k0\_AH_GWOHZROZLYCSCKHAUG?7;7f3YXDKRHZLM]WLWCT@VDNKLZJ<5<2e>VUGNUMYABPTIPFWMYIMNK_I1;11`9SVJAXN\FGSYF]ERJ\J@AF\L6=2<o4PSMD[CSKDV^C^H]G_OGDEQC;?7;j7]\@G^DVHIYS@[OXDR@JG@VF8=86i2ZYCJQIUMN\PMTB[AUEIJO[E=;=5>W13[KFN<8i;SCN[@^SMGYBCCQNf:PBIZC_\LDXEB@PB79Q@ZEKC8:0^IQKAUC\GJSI\5:5=<5]D^FBPDYDG\D_0<>1109Q@ZBF\HUHCX@[<03=54=ULVNJXLQLOTLW84499:1YHRJNT@]@KPHS4891<3?>;SF\@DRFWJE^BY2>3?33?WBXLH^JSNAZNU>2:46<ZMUOMYOPCNWMP949991YHRJNT@]@KPHS4:4:<6\K_ECWEZEH]G^783??;SF\@DRFWJE^BY2:>028VAYCI]KTOB[AT=4=55=ULVNJXLQLOTLW828682XOSIO[A^ALQKR;07;;7_JPD@VB[FIRF]62285]D^GMg>TBIMU\EIZG_@a8V@GCW^COXEQM6:PFCFCF>2XNKNKM3:PPP4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRFa>S7'noeSl}!ffcp=>SILLXM@BYn;TQFVZGKAHYh7X]JR^TJWLDKM<1]MAGK3:T@G<=QAL]TXT^J6:UFE969>2]NM1?16:UFE94902]NM1=50?48S@G;;7<0[HL30?48S@D;97<0[HL32?:8S@D;;3:5:6YJB=1=f>QUA]OTJD\\Tb9TVLRBWDEOIROl;VPJP@YJGMOTNi5XRHVF[ROC\AUJh6Y]IUG\SLBS@VH=7Z\PABI5?RTXKEA:<6Y]_ECWEZEH]G^7<3?>;VP\@DRFWJE^BY2>0?32?RTXLH^JSNAZNU>25;763^XTHLZN_BMVJQ:6:7;87Z\PD@VB[FIRF]6:?7>1109TVZBF\HUHCX@[<01=55=PZVNJXLQLOTLW848682]YSIO[A^ALQKR;:7;;7Z\PD@VB[FIRF]682<>4WS]GEQGXKF_EX1:1119TVZBF\HUHCX@[<4<24>QUWMK_MRM@UOV?2;773^XTHLZN_BMVJQ:068:0[_QKAUC\GJSI\525==5XR^FBPDYDG\D_040:;VP\AK7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML3<PFXHU;5WSUNJF2=_[]ULBI94XRV\RFEe3QUHC_KPIODL55=_WJEYIRGAFN]OMVR13QniSDji;Yfk[Utne_oy\7fdaa119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkke<iegd\7fyQjmqvzf>gkefy\7fSk{cl79aefmrxm1imnezp^obvncu;2igg<k4cnpfc`h\7fp&~f|"Qlg.djbj71c^$ekbj4d`vb[firf}";%i5kauc\gjsi|!;"i6jnt`]`kphs 8:"i6jnt`]`kphs 8;"i6jnt`]`kphs 88"i6jnt`]`kphs 89"h6jnt`]`kphs ;#o7io{a^alqkr/; n0hlzn_bmvjq.3!m1omyoPcnwmp-3.l2njxlQlotlw,3/c3mk\7fmRm`uov+3,b<lh~jSnaznu*;-a=ci}kTob{at);*`>bf|hUhcx`{<1<24>bf|hUhcx`{<0194;1<lkc\7fi\7f|>8:fffpaXzmU{by|Ppmwp5Zt;87;27ikmuf]q`Zvi|{U{`x}>_s>24;7>3moiyjQ}d^rmpwYwd|y:S\7f2>1?3:?ace}nUyhR~ats]shpu6W{6:>3?m;egaqbYulVzex\7fQ\7fltq2[w:6;3:5=45kecwd[wbXxg~yS}bzs0]q8459911oio{h_sf\tkruWyf~\7f<Q}<0<2<>bbj|mT~iQ\7fnup\tist9Vx7>3?7;egaqbYulVzex\7fQ\7fltq2[w:46820hhlzg^pg[uhszVzgy~?Pr=6=5==cmk\7flS\7fjPpovq[ujr{8Uy080>8:fffpaXzmU{by|Ppmwp5Zt;>7;37ikmuf]q`Zvi|{U{`x}>_s>4:4><llh~kR|k_qlwvZvk}z;T~161199gags`W{nT|cz}_qnvw4Yu404:>6jjbte\vaYwf}xT~1>1129gags`W{nT|cz}_s>24;743moiyjQ}d^rmpwYu48;5=>5kecwd[wbXxg~yS\7f2>2?36?ace}nUyhR~ats]q845=87;87ikmuf]q`Zvi|{Uy0<=1139gags`W{nT|cz}_s>2:44<llh~kR|k_qlwvZt;:7;97ikmuf]q`Zvi|{Uy0>0>2:fffpaXzmU{by|Pr=6=57=cmk\7flS\7fjPpovq[w:26880hhlzg^pg[uhszVx7:3?=;egaqbYulVzex\7fQ}<6<26>bbj|mT~iQ\7fnup\v9>99;1oio{h_sf\tkruW{622<<4dd`vcZtcWyd\7f~Ry30?30?ace}nUyhR~ats]t84699:1oio{h_sf\tkruW~6:=3?<;egaqbYulVzex\7fQx<00=50=cmk\7flS\7fjPpovq[r:6;3:5=>5kecwd[wbXxg~ySz2>3?31?ace}nUyhR~ats]t8486:2nnnxiPre]sjqtX\7f585=?5kecwd[wbXxg~ySz2<>008``droVxoS}`{r^u?0;753moiyjQ}d^rmpwYp4<4:>6jjbte\vaYwf}xT{181139gags`W{nT|cz}_v>4:44<llh~kR|k_qlwvZq;07;97ikmuf]q`Zvi|{U|040>8:fffpaX\7f{U{by|Ppmwp5Zq;87;27ikmuf]tvZvi|{U{`x}>_v>24;7>3moiyjQxr^rmpwYwd|y:Sz2>1?3:?ace}nU|~R~ats]shpu6W~6:>3?m;egaqbYpzVzex\7fQ\7fltq2[r:6;3:5=45kecwd[rtXxg~yS}bzs0]t8459911oio{h_vp\tkruWyf~\7f<Qx<0<2<>bbj|mT{\7fQ\7fnup\tist9V}7>3?7;egaqbYpzVzex\7fQ\7fltq2[r:46820hhlzg^uq[uhszVzgy~?Pw=6=5==cmk\7flSz|Ppovq[ujr{8U|080>8:fffpaX\7f{U{by|Ppmwp5Zq;>7;37ikmuf]tvZvi|{U{`x}>_v>4:4><llh~kRy}_qlwvZvk}z;T{161199gags`W~xT|cz}_qnvw4Yp404:46jjbte\swYwf}xT|a{|2^u?4;7>3moiyjQxr^rmpwYwd|y9Sz2>0?3:?ace}nU|~R~ats]shpu5W~6:=3?6;egaqbYpzVzex\7fQ\7fltq1[r:6:7;i7ikmuf]tvZvi|{U{`x}=_v>27?69901oio{h_vp\tkruWyf~\7f?Qx<01=5==cmk\7flSz|Ppovq[ujr{;U|0<0>8:fffpaX\7f{U{by|Ppmwp6Zq;:7;37ikmuf]tvZvi|{U{`x}=_v>0:4><llh~kRy}_qlwvZvk}z8T{1:1199gags`W~xT|cz}_qnvw7Yp4<4:46jjbte\swYwf}xT|a{|2^u?2;7?3moiyjQxr^rmpwYwd|y9Sz28>0:8``droV}yS}`{r^roqv4X\7f525=55kecwd[rtXxg~yS}bzs3]t8<86:2nnnxiPws]sjqtXz5:5=>5kecwd[rtXxg~yS\7f2>0?30?ace}nU|~R~ats]q84799:1oio{h_vp\tkruW{6:>3?:;egaqbYpzVzex\7fQ}<0194;743moiyjQxr^rmpwYu4895=?5kecwd[rtXxg~yS\7f2>>008``droV}yS}`{r^p?6;753moiyjQxr^rmpwYu4:4:>6jjbte\swYwf}xT~1:1139gags`W~xT|cz}_s>6:44<llh~kRy}_qlwvZt;>7;97ikmuf]tvZvi|{Uy0:0>2:fffpaX\7f{U{by|Pr=:=57=cmk\7flSz|Ppovq[w:>6880hhlzg^uq[uhszV}7<3?<;egaqbYpzVzex\7fQx<02=56=cmk\7flSz|Ppovq[r:697;87ikmuf]tvZvi|{U|0<<1149gags`W~xT|cz}_v>27?699:1oio{h_vp\tkruW~6:?3?=;egaqbYpzVzex\7fQx<0<26>bbj|mT{\7fQ\7fnup\s9499;1oio{h_vp\tkruW~682<<4dd`vcZquWyd\7f~Ry34?31?ace}nU|~R~ats]t8086:2nnnxiPws]sjqtX\7f5<5=?5kecwd[rtXxg~ySz28>008``droV}yS}`{r^u?<;753moiyjQxr^rmpwYp404=7iga(1+5?aoi 8#<7iga(02*3>bnf!;:%:5kio*26,1<l`d#=>'8;ekm,42.?2nbb%?:)69gmk.6> =0hd`'16+4?aoi 82";6jfn)3:-3=cag"9%:5kio*14,1<l`d#><'8;ekm,74.?2nbb%<<)69gmk.5< =0hd`'24+4?aoi ;<";6jfn)04-2=cag"94$94dhl+6</13mce$>'8;ekm,66.?2nbb%=>)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk.?!?1oec&6)79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;1<l`d7=808;ekm8409?2nbb1?8>69gmk:607=0hd`318<5?aoi484<7iga<32=3>bnf58:2:5kio>16;1<l`d7>>08;ekm8729?2nbb1<:>69gmk:5>7=0hd`326<4?aoi4;25;6jfn=0::3=cag692:5kio>04;?<l`d7?<4?>69gmk:497<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss 9#<7iazt)3*<>bh}}":<$64dnww,47.02ndyy&>2(:8`jss 89"46j`uu*20,><lf\7f\7f$<;&8:flqq.6> 20hb{{(05*<>bh}}":4$64dnww,4?.?2ndyy&=)99gkpr/:9#37iazt)02-==cg|~#>?'7;emvp-44!11ocxz'25+;?air|!8>%55kotv+63/?3me~x%<8)99gkpr/:1#37iazt)0:-2=cg|~#?$64dnww,66.02ndyy&<1(58`jss =#<7iazt)7*3>bh}}"=%:5kotv+3,1<lf\7f\7f$5'8;emvp-?.?2ndyy2?>99gkpr;99437iazt=32:==cg|~7=?07;emvp974611ocxz315<;?air|5;>255kotv?538?3me~x1?8>99gkpr;91437iazt=3::2=cg|~7=364dnww876902ndyy2=1?:8`jss4;8546j`uu>17;><lf\7f\7f0?:18:flqq:5=720hb{{<34=<>bh}}69;364dnww87>902ndyy2=9?58`jss4;437iazt=13:d=cg|~7?<4?>99gkpr;;84<7iazt=1=3>bh}}6?2:5kotv?1;1<lf\7f\7f0;08;emvp919?2ndyy27>69gkpr;1720iigi2oeg1>cjx}s37kgio04hS74<n`ld=;eX_gkekZabflxjxb|Pv2]0[}usW88:7kgio^efj`tf|fxTz>Q<,!Alv`abf)3%>d?9;gkekZabflxjxb|Pv2]0[du33o\7fg`55agb`vmib1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}969>o1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:687=97ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48:5S\7fz9f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>608jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<\vq0a3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8449?;1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=39>;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<0Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=0=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959?81ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:46Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2:?4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs783Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<4<\vq0b3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838092dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}909W{~=i6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5;<5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6<2R|{6d9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>638jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?=;163geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8<8Xz}=>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZjr|5:5SD@Y_153?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=33:26<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479?91ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9;4<<6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?39<;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?<>^pw2c=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5;0a3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949>o1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<m7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5>5:k5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7938i;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1816g9mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?4e?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=:=2c=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?=;023geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<389;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5581>2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<?1679mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9756?<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>3?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz32?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;;7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz36?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;?7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz38?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ?629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[4033geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<;:4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^3221=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW88=86``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP1240?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY5>:1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS>8<;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]726=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ9629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[2043geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U3:>5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_857?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:76>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1??>6:8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9776Vx\7f;85aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=3==ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48;5S\7fz85:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?578002dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=?0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4:4<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw33?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5;:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<\vq133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2:94nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:398;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0:087:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?3;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161769mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>658jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9W{~<n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSa{{<1<\MKPX8><0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>0?55?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;984<:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<00=33=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;82:74nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>27;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:56>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2<>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:36>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2:>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:16>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f28>678jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?6>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f26>7`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0=09c:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:<38l;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;:2;m4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4885:n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9:4=n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:66?h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8781j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>0:3d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<5<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2:>7`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0;09b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6<2;l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs414=n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:>6?30bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\43?<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP17c8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T==8n;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8;=m6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ75>h1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]273?<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP27;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T?;74nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<?30bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\13?<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP67;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T;;74nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?30bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\==0<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7<368;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az84690h1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>0?]qp=1<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=<07a:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9766Vx\7f4:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;>f3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<<1_sv;2>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5445aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:Zts0?1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>9;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7Uyx584nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;>>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0>0Pru:5?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4356``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=[wr?>2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1889mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26Vx\7f4;5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:=?<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t948jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7227ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<6<\vq>13geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir05079:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9>9W{~3:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=<<=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp622R|{8e9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\hpr;87UBB[Q?899mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;994346``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>25;>?3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?=>9:8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu48954o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?568Xz}2<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=3=<2=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7>368;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9590>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|34?:4?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5?54:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?2;>03geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~191869mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;072<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=;=3a=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=2=3`=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=33:2c<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>25;1b3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5780m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8459?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop959?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop929?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop939?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop919?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9>9?m1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9?j1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw8580l2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?5580l2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?5480l2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?5780l2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?5680k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?5;1d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>1:2e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=1=3f=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<5<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz35?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~by29>6a8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fex1917b9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7f0508c:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~7539m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U;;o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8=h7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY68>i0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ76?j1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[440k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\561e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]13g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_25a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ;7c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS89m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U=;o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW>=i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY??k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[<0b3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8581n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9776>80bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;994T~y8i;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<?1739mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>1?]qp3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5780:2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9756Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=3Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?52?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<\vq0b3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868092dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959W{~=i6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5;<5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2R|{6d9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>638jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;163geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4<=6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161709mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>^pw2`=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::27<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?=;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[iss494TECXP0628jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<02=35=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?548082dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6:7=;7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;82:=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<=1_sv5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8781n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:46?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6>2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0;09f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f28>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<9<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::33<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6;2;84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>24;013geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=<096:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8449>?1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1?<>778jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:66??0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2=>778jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:46??0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2;>778jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:26??0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y29>778jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:06??0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y27>778jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:>6?90bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR>93:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\532<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;;:95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_0350>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9;<?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>3718jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ41;2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T?;=4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^657>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX=?90bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR893:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\335<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V2=?6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP9668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}969?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1799mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8469W{~<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;984T~y9:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;1?3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:>3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw34?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<4<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir05087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?<;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu171769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8<8Xz}=i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~T`xz30?]JJSY7??1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>648jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:697==7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<42>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4895;45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=30:Zts?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1=1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1;1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~191749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9>9?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1716c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<38l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;;2;m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48;5:n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9;4=o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6;7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?6;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;16c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5=5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]32<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>6`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[441i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^302<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ=689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]62<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ9689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;994T~y9:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>25;1?3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:=3Q}t678jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9756>20bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?=>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs78398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>7:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir08087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:?6Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;17Uyx:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQcuu>3:ZOI^V:<:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<02=33=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;:2:84nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>26;113geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=>089:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8459W{~<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4;4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<2<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<4<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4?4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<6<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu414<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2?>7a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0<>16b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=<09c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:>38l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;82;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs484=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:56?h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8681j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>7:3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<4<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y29>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0:09b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}632;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs404=56``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ6112dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^35e>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR??6`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:=;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9;<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[45112dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^05=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR=99:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V>=56``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ3112dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^45=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR999:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V2=56``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ??>2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1>1869mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6872j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=[wr??2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>9c8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;984T~y68;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az84490h1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2>2?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=366;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<3<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz76:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959001ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw<3=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6?2574nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?0;Yu|1<0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw35?::?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4T~y69;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az838?12dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv;2>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5445aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:Zts0?1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot27>9;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;07Uyx584nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?=;>>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir040Pru:g?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZjr|5:5SD@Y_1:;?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;;2564nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479011ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<;<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?36m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9746Vx\7f4:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5;>03geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1<1869mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;72<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=6=<2=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx79368;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9090>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?:4?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5254:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?=;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?4;1b3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5580m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8479?l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9756>o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6;7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;:7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;;7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;<7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;>7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;?7=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07=o7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17=h7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjq:76>n0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9776>n0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9766>n0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9756>n0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp9746>i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmp979?j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw8780k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?7;1d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>7:2e<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=7=3f=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<7<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz37?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~by27>6a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fex1717c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=9m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:;n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8:<o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98=h7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY6:>i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ74?k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[71e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]03g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_55a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ:7c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;9m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<;o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW1=i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY>:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<1<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWjs7==0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[f\7f;984956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_b{?578502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSnw31?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp692?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}959:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<5<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWjs793<7;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\g|:16;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQly=5=6==ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir050=8:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[f\7f;17827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?4;4f3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolT`xz311<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0<?12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5;92?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]oqq:6;7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?5;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolT`xz32?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xd|~7?3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\hpr;<7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?1;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolT`xz36?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xd|~7;3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\hpr;07827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?=;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|30?0b?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xpfx7==0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu48;5>l5aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9756;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQwos>27;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|31?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xpfx7>3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\|jt;;7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPxnp?0;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|35?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xpfx7:3<6;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\|jt;?7827ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPxnp?<;4>3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|39?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6;2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]`}9776;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQly=32:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu1?=>3:8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYdq5;5>55aosodak(adkf`#@}zb^vntZvi|{U|Sigif^az878502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSnw33?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6?2?64nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]`}939:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRmv<7<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWjs7;3<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:?6;20bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQly=;=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1>12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|5;;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:6978j7ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPltv?5785i2dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSa{{<01=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1?1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|585>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp959:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=6=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1;1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZjr|5<5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^nvp919:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRbzt=:=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x171289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz5:5>l5aosodak(adkf`#@}zb^vntZvi|{U|Sigif^zlv9776;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>25;4f3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|313<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0<=1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz5;5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^zlv949:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRv`r=1=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVrd~1:1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz5?5>45aosodak(adkf`#@}zb^vntZvi|{U|Sigif^zlv909:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYpWmcmjRv`r=5=6<=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVrd~161289mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz535=<5aosodak(adkf`#C??109mkwk`mg$m`obd/O3254=ig{glic ilcnh+K75981ec\7fcheo,ehgjl'G;8=<5aosodak(adkf`#C?;109mkwk`mg$m`obd/O3654=ig{glic ilcnh+K71981ec\7fcheo,ehgjl'G;<=<5aosodak(adkf`#C?7109mkwk`mg$m`obd/O3:54=ig{glic ilcnh+K47981ec\7fcheo,ehgjl'G8:=<5aosodak(adkf`#C<=109mkwk`mg$m`obd/O0054=ig{glic ilcnh+K43981ec\7fcheo,ehgjl'G8>=<5aosodak(adkf`#C<9109mkwk`mg$m`obd/O0454=ig{glic ilcnh+K4?981ec\7fcheo,ehgjl'G82=<5aosodak(adkf`#C=?109mkwk`mg$m`obd/O1254=ig{glic ilcnh+K55981ec\7fcheo,ehgjl'G98==5aosodak(adkf`#C8>0:llvhabf'lgnae N633?kiuenoe"kbmlj-M<46<ffxfkh`!fm`oo*H>;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt789:T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2344YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>?2^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89:8S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3452XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc\7f>?04]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*wb\7f'Drd~R~ats]dgZ~hz9:;:R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!rez,I}iuWyd\7f~Ril_ymq4560W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?01:\V`urd};846``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ\7fnup\cfY\7fg{:;<4Q]erwop45?3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVzex\7fQhc^zlv5668VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%~iv Mymq[uhszVmhSua}0132[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(ulq%Ftb|Ppovq[beXpfx;<<<PRdqvhq75<2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS=<;;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\573<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU:<?;4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]2573<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU:>?;4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]2772<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU9>95aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^110>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW=8?7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP5368jjtjold%jalck.aoohic'jr%~iv POVQ[GY1:=1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNR9=4:llvhabf'lgnae cminka)dp'xot"^ATS]A[=433geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHT5?o4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw67898i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLPxnp34566:h1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r12357d<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?0031e>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>=2c9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;><<n;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt78999n6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4564:;k0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos23414e3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?01626d=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?53`8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<8?=a:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:=>n5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567>8;8<6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq456198UX[=<m;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789<9>k5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567>Vhoh=<n;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789=9n6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq45609;k0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234=4e3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?01:263=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj6;2?94nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?5585?2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1?>>358jjtjold%jalck.aoohic'jr%~iv povq[be;9;49;6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=30:70<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni7=3<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8785>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1=1279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:36;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm35?05?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad4?49:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=5=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj632?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?=;423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS=<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[4413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS<>=6:llvhabf'lgnae cminka)dp'xot"~ats]dgZ76:?1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQ>2348jjtjold%jalck.aoohic'jr%~iv povq[beX9:8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_306?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW:8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_506?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW<8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_706?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW>8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_906?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadW0827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?4;4f3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<311<1e>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90<?12`9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:5;92?o4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7:6;7827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?5;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<32?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87?3<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;<7827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?1;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<36?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87;3<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;07827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?=;4?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P03:8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U:>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6Z77:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2^326<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R?=289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V;8>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{6Z4502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=_20;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8T8?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7Y2:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw2^41<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9S:<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X0;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]:6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0=0=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;994956``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z?548512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv313<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr7=>0=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;97837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y>1:7><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt1=1299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f4=4946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z?1;4?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu29>3:8jjtjold%jalck.aoohic'jr%~iv povq[beXp5=5>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{8=8502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv39?04?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU;>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[44?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ>03:8jjtjold%jalck.aoohic'jr%~iv povq[beXpV;:>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[44502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRvP1204?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU9>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[6403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ;269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW<8<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]562=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS:<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y?:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_80a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>?2c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<<<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:9>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw678:8i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4563:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23404e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01256g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?060a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>72c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<4<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89;;>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw67988i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4575;91ec\7fcheo,ehgjl'jf`abj cy,q`})seyU}ma{j6^2\|jt789:8>6``rlefj+`kjea$oaeboe-`|+tcp&~f|Rxnltg5[5Y\7fg{:;<=<>359mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V:Ttb|?012\WR64=2dd~`ijn/dofim(keafci!lx/pg|*rjxV|j`xk9_1]{kw6789Uihi<<0:llvhabf'lgnae cminka)dp'xot"zbp^tbhpc1W8Usc\7f>?0112?kiuenoe"kbmlj-`hnkhl&is"\7fjw/uos[sgk}l<T=Rv`r12344573geyajka.gnahn)ddbgdh"mw.sf{+qkwW\7fkgyh8P2^zlv5678:;0bb|bgdl-bidkc&igg`ak/bz-va~(|dzTzlbze7]1[}iu89:;=>>4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y4Wqey<=>?359mkwk`mg$m`obd/bnhijb(kq$yhu!{mq]ueisb>V9Ttb|?012\WR6402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw6789UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1235ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=>=_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt7899T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2341YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^rmpwY`kVrd~=>?5^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:=S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3451XZly~`y?<8:llvhabf'lgnae cminka)dp'}yt"Cwos]sjqtXojUsc\7f>?09]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*rt\7f'Drd~R~ats]dgZ~hz9:;5R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuWyd\7f~Ril_ymq4577W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\tkruWniTtb|?003\V`urd};846``rlefj+`kjea$oaeboe-`|+qup&Gsc\7fQ\7fnup\cfY\7fg{:;=?Q]erwop4433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT<?:4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]260=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV;;>85aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^3260=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV;9>85aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^3061=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV8986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_207?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX<;>0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ:259mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ05<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS:<;;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\<72<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKU2>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv5678;h0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos234575i2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}01226g=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?100b?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=<=b:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:9=?o4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678:8i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34555:h1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r12307d<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?0531e>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>:2c9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;9<<n;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\|jt789<9o6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4561989;7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp345069VY\<?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678?89j6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4561Wkno<?o4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678>8i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34516:h1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123<7d<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?09312>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k5:5>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>24;403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh0<?1269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:6:78<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<01=63=ig{glic ilcnh+fjlefn$ou xry-sjqtXoj6:2?84nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa?6;413geyajka.gnahn)ddbgdh"mw.vp{+uhszVmh0>0=6:llvhabf'lgnae cminka)dp'}yt"~ats]dg929:?1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTkn2:>348jjtjold%jalck.aoohic'jr%{\7fv povq[be;>78=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<6<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k525>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>::73<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniT<?;4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\570<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniT==<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[475>2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloR?=279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY6;;?0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmP2378jjtjold%jalck.aoohic'jr%{\7fv povq[beX;;?0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmP4378jjtjold%jalck.aoohic'jr%{\7fv povq[beX=;?0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmP6378jjtjold%jalck.aoohic'jr%{\7fv povq[beX?;?0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmP8378jjtjold%jalck.aoohic'jr%{\7fv povq[beX1;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>3:7g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?2>0?0b?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87=<0=a:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54885>l5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{69746;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>2:7?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?2=>3;8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;682?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7:36;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>6:7?<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?29>3;8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;6<2?74nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7:?6;30bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>::7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q?299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:V;956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[46512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_031=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S<<=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W89946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[74?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<P33:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp;U?>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6Z3502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=_70;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T;?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y?:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^;1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7<3<6;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:687827ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y>25;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2>2?0:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6:?3<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:66;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=0=6==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs0>0=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~;<7837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y>6:7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt181299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f4>4946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z?<;4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu26>358jjtjold%jalck.aoohic'jr%{\7fv povq[beXpV:9;6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\57><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR??299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fW8;946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\574?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ>3358jjtjold%jalck.aoohic'jr%{\7fv povq[beXpV89;6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\771<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR:=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X=;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^413>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT;?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z>5?2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP93`8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpfx;<=>=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9:;=?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|jt78989n6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^zlv567;;h0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPxnp34525j2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv`r12317d<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtb|?0141f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?73`8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpfx;<=6=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9:;5?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|jt788:9n6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^zlv5669;h0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPxnp3444482dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_1]{kw6789997ca}mfgm*cjedb%h`fc`d.a{*rt\7f'}g{S{ocud4\4Z~hz9:;<??<4:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W9Usc\7f>?01]PS5523geyajka.gnahn)ddbgdh"mw.vp{+qkwW\7fkgyh8P0^zlv5678Vhoh?=?;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X9Vrd~=>?0238jjtjold%jalck.aoohic'jr%{\7fv tlr\rdjrm?U:Sua}0123566<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q=_ymq4567;81ec\7fcheo,ehgjl'jf`abj cy,tv})seyU}ma{j6^0\|jt789::?=5aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z5Xpfx;<=><4:llvhabf'lgnae cminka)dp'}yt"zbp^tbhpc1W:Usc\7f>?01]PS57a3geyajka.gnahn)ulVnjxlQlotlw[lY79o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW88;7ca}mfgm*cjedb%yhRjnt`]`kphsW`U:<?>4nnpnc`h)nehgg"|k_ecweZeh}g~TeR?>219mkwk`mg$m`obd/sf\`drfWje~byQf_0014>hhzdmnb#hcbmi,vaYci}kTob{at^k\567a3geyajka.gnahn)ulVnjxlQlotlw[lY59o1ec\7fcheo,ehgjl'{nThlzn_bmvjqYnW:;m7ca}mfgm*cjedb%yhRjnt`]`kphsW`U?=k5aosodak(adkf`#\7fjPd`vb[firf}UbS8?i;omqibci&ofi`f!}d^fbpdYdg|d\7fSdQ91g9mkwk`mg$m`obd/sf\`drfWje~byQf_63e?kiuenoe"kbmlj-q`Zbf|hUhcx`{_h];5c=ig{glic ilcnh+wbXlh~jSnaznu]j[<473geyajka.gnahn)ux{ofci!]AL]Q@ZCI9;<0bb|bgdl-bidkc&~c~`ak/`gokbod'LG[XTQfnw0g?kiuenoe"kbmlj-wlwkhl&kn`bifc.GNTQ_Xag|:SD@Y_10a?kiuenoe"kbmlj-wlwkhl&kn`bifc.coijusWlg{xtQf339mkwk`mg$m`obd/ujqijb(ilfdkdm amolwqYbey~rSdQ`r123465<ffxfkh`!fm`oo*rozdeo#lkcofk`+djjgz~Ti`~{y^k\kw6789;9h6``rlefj+`kjea$xe|boe-baii`aj%hmh? c`pq}kcs4949h6``rlefj+`kjea$xe|boe-baii`aj%hmh? c`pq}kcs4849h6``rlefj+`kjea$xe|boe-baii`aj%hmh? c`pq}kcs4;49h6``rlefj+`kjea$xe|boe-baii`aj%hmh? c`pq}kcs4:49h6``rlefj+`kjea$xe|boe-baii`aj%hmh? c`pq}kcs4=49h6``rlefj+`kjea$xe|boe-baii`aj%hmh? c`pq}kcs4<48i6``rlefj+`kjea$xe|boe-baii`aj%hmh? epabw`(ean$f`}U?]/dpp+kaa{y\7f?o5aosodak(adkf`#yf}mnf,e`jho`i$olk>/ds`evc)j`m%aa~T1\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!jqbcpa+dno'gg|V<R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP?P bie,ng|5e3geyajka.gnahn)s`{gdh"ojlnejg*efm8%n}no|e/`jc+kkxR>V"`gk.laz7g=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'l{hm~k!bhe-iiv\=T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)byjkxi#lfg/oot^0Z&dco"`mv269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7;878<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1=3=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc6'\7f;7>3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5959:>1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?34?04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q95?5>:5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3?2;4a3geyajka.gnahn)s`{gdh"ojlnejg*efm8%}=R>Paof34566:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#{?P1^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji<!y1^0\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk>/w3\7Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne0-u5Z2Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g2+s7X=Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba4)q9V<Tmcj?01226a=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'jky~t`jt=2=6a=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'jky~t`jt=3=6a=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'jky~t`jt=0=6a=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'jky~t`jt=1=6a=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'jky~t`jt=6=6a=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'jky~t`jt=7=7`=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'l{hm~k!bhe-iiv\8T$m\7fy bfhppp6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&ozol}j.ckd*hjwS8W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(mxij\7fh mif,nhu]5U'gbh#cly2`8jjtjold%jalck.vkvhic'hogcjgl/bcf6*cvkhyn"ogh.lns_6[)e`n%anw<b:llvhabf'lgnae tipnka)fmeelen!lad0,atef{l$iej blqY7Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[4_-ilb)ejs8n6``rlefj+`kjea$xe|boe-baii`aj%hmh< epabw`(ean$f`}U9]/oj`+kdq;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x><1<13>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~86:2?94nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.t28785?2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<2<>358jjtjold%jalck.vkvhic'hogcjgl/bcf6*p64=49;6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0>6:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:0;0=f:llvhabf'lgnae tipnka)fmeelen!lad0,r4Y7Whdo<=>?13d8jjtjold%jalck.vkvhic'hogcjgl/bcf6*p6W8Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`4(~8U9Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb:&|:S>Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil8$z<Q;_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn>"x>_4]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh< v0]5[dhc89:;=?;4nnpnc`h)nehgg"zgrlmg+dckgnch#nabp^cf573<ffxfkh`!fm`oo*rozdeo#lkcofk`+fijxVkn>?;4nnpnc`h)nehgg"zgrlmg+d`kgnch#K[CL^kmr7e<ffxfkh`!fm`oo*rozdeo#lhcofk`+CSKDVcez<QFNW]36d=ig{glic ilcnh+qnuefn$mkb`gha,eikh{}UmyabPi238jjtjold%jalck.vkvhic'hlgcjgl/`nnkvrXn|fgSdQ`r123464<ffxfkh`!fm`oo*rozdeo#lhcofk`+djjgz~Tjxbc_h]lv567888o7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!laspzj`r;878o7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!laspzj`r;978o7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!laspzj`r;:78o7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!laspzj`r;;78o7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!laspzj`r;<78o7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!laspzj`r;=79n7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!jqbcpa+dno'gg|V>R.gqw*h`nzz~8n6``rlefj+`kjea$xe|boe-bbii`aj%hmk? epabw`(ean$f`}U>]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="k~c`qf*go`&df{W?S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$i|mnsd,amb(jdyQ8Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&ozol}j.ckd*hjwS=W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(mxij\7fh mif,nhu]2U'gbh#cly2`8jjtjold%jalck.vkvhic'hlgcjgl/bce5*cvkhyn"ogh.lns_3[)e`n%anw=7:llvhabf'lgnae tipnka)fneelen!lag3,r4:76;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x><0<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8692?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t28685?2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$z<2;>358jjtjold%jalck.vkvhic'hlgcjgl/bce5*p64<49;6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0>5:7`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:S=Qnne234575n2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$z<Q>_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x>_3]bja6789;9j6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0]0[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t2[1Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag3,r4Y2Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce5*p6W?Ujbi>?0131`>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(khxyuck{<1<1`>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(khxyuck{<0<1`>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(khxyuck{<3<1`>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(khxyuck{<2<1`>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(khxyuck{<5<1`>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(khxyuck{<4<0a>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(mxij\7fh mif,nhu]7U'lxx#ciisqw7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'l{hm~k!bhe-iiv\9T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)byjkxi#lfg/oot^4Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+`wdizo%ndi!mmrX7X(jam$fot=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-fufgtm'hbk#ccpZ6^*hoc&dir?o5aosodak(adkf`#yf}mnf,ecjho`i$olh=/ds`evc)j`m%aa~T5\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!jqbcpa+dno'gg|V8R.lkg*he~:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?30?04?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q95;5>:5aosodak(adkf`#yf}mnf,ecjho`i$olh=/w3?6;403geyajka.gnahn)s`{gdh"oilnejg*efn;%}=1=1269mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7;<78<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1=7=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;7:3<i;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5Z6Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7X9Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q9V8Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;T?Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn;%}=R:Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?P5^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1^4\ekb789::>85aosodak(adkf`#yf}mnf,ecjho`i$obc\7f_`d260=ig{glic ilcnh+qnuefn$mkb`gha,gjkwWhl9>55aosodak(adkf`#yf}mnf,aii`aj%NA]ZV_OMMV@A5j2dd~`ijn/dofim(|axfci!jlnejg*CJX]STBB@]EF]j571<ffxfkh`!fm`oo*rozdeo#hb`gha,VDKXMDZ_URg=a:llvhabf'lgnae tipnka)bdfmbo"m>/bcqv|hb|5:5>l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:66;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm\7f|vndv?6;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<2<1e>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+fguzpdnx1:12`9mkwk`mg$m`obd/ujqijb(meelen!l1.abvw\7fim}6>2>l4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!jqbcpa+dno'gg|V>R.gqw*h`nzz~846``rlefj+`kjea$xe|boe-fhjank&i:#h\7flarg-fla)eezP=P bie,ng|5?3geyajka.gnahn)s`{gdh"kcofk`+f7(mxij\7fh mif,nhu]5U'gbh#cly2:8jjtjold%jalck.vkvhic'lfdkdm c0-fufgtm'hbk#ccpZ1^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h="k~c`qf*go`&df{W9S!mhf-if\7f402dd~`ijn/dofim(|axfci!jlnejg*e6'l{hm~k!bhe-iiv\=T$fei bcx1;?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,atef{l$iej blqY5Y+knl'ghu?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=2=61=ig{glic ilcnh+qnuefn$iaahib-`5*p6484986``rlefj+`kjea$xe|boe-fhjank&i:#{?32?07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4:46;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=1:1259mkwk`mg$m`obd/ujqijb(meelen!l1.t28085<2dd~`ijn/dofim(|axfci!jlnejg*e6'\7f;7:3<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]3[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^3\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_3]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i:#{?P3^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<Q;_`lg45679;i0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=R;Paof34566:j1ec\7fcheo,ehgjl'}byabj emmdmf)d9&|:S;Qnne234575i2dd~`ijn/dofim(|axfci!jlnejg*e5'jky~t`jt=2=6d=ig{glic ilcnh+qnuefn$iaahib-`6*efz{seiy2>>3c8jjtjold%jalck.vkvhic'lfdkdm c3-`ewt~fl~7>3<n;omqibci&ofi`f!{hsol`*ckgnch#n< c`pq}kcs4:49m6``rlefj+`kjea$xe|boe-fhjank&i9#no}rxlfp929:h1ec\7fcheo,ehgjl'}byabj emmdmf)d:&ij~\7fwaeu>6:6d<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+`wdizo%ndi!mmrX5X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n< epabw`(ean$f`}U=]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k;%n}no|e/`jc+kkxR9V"`gk.laz7==ig{glic ilcnh+qnuefn$iaahib-`6*cvkhyn"ogh.lns_1[)e`n%anw<8:llvhabf'lgnae tipnka)bdfmbo"m=/ds`evc)j`m%aa~T5\,nma(jkp937ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$i|mnsd,amb(jdyQ=Q#cfd/o`}72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q95:5>95aosodak(adkf`#yf}mnf,aii`aj%h>"x><0<10>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7;:78?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<2<>368jjtjold%jalck.vkvhic'lfdkdm c3-u5929:=1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:080=4:llvhabf'lgnae tipnka)bdfmbo"m=/w3?2;4d3geyajka.gnahn)s`{gdh"kcofk`+f4(~8U;Sl`k012357e<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q9V;Tmcj?01226f=ig{glic ilcnh+qnuefn$iaahib-`6*p6W;Ujbi>?0131g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X;Vkeh=>?000`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4Y3Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c3-u5Z3Xign;<=>>2b9mkwk`mg$m`obd/ujqijb(meelen!l2.t2[3Yffm:;<=?=4:llvhabf'lgnae tipnka)bdfmbo"m`mq3lqq433geyajka.gnahn)s`{gdh"kcofk`+fijx;e~x?94nnpnc`h)nehgg"zgrlmg+cjho`i$JXBC_OMMV@A5i2dd~`ijn/dofim(|axfci!ilnejg*@RDEUECC\JG^k263=ig{glic ilcnh+qnuefn$jaahib-QEHYA]EFTe?j4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.abvw\7fim}6;2?j4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.abvw\7fim}6:2?j4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.abvw\7fim}692?j4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.abvw\7fim}682?j4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.abvw\7fim}6?2?j4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.abvw\7fim}6>2>k4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.grgdub&kcl"`b\7f[1_-bvr)eocy\7fy=m;omqibci&ofi`f!{hsol`*`kgnch#nabp0-fufgtm'hbk#ccpZ3^*hoc&dir?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/ds`evc)j`m%aa~T2\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!jqbcpa+dno'gg|V=R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#h\7flarg-fla)eezP8P bie,ng|5e3geyajka.gnahn)s`{gdh"hcofk`+fijx8%n}no|e/`jc+kkxR?V"`gk.laz7g=ig{glic ilcnh+qnuefn$jaahib-`khv6'l{hm~k!bhe-iiv\>T$fei bcx04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q95:5>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?5;403geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=1<1269mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7;;78<7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!y1=6=62=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;793<8;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5909:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?P0^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!y1^3\ekb789::>k5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3\6Zgil9:;<<<i;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5Z5Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7X<Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9V?Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;T:Road123444c3geyajka.gnahn)s`{gdh"hcofk`+fijx;%hm\7f|vndv?4;4c3geyajka.gnahn)s`{gdh"hcofk`+fijx;%hm\7f|vndv?5;4c3geyajka.gnahn)s`{gdh"hcofk`+fijx;%hm\7f|vndv?6;4c3geyajka.gnahn)s`{gdh"hcofk`+fijx;%hm\7f|vndv?7;4c3geyajka.gnahn)s`{gdh"hcofk`+fijx;%hm\7f|vndv?0;4c3geyajka.gnahn)s`{gdh"hcofk`+fijx;%hm\7f|vndv?1;5b3geyajka.gnahn)s`{gdh"hcofk`+fijx;%n}no|e/`jc+kkxR:V"k}{.ldjvvr4j2dd~`ijn/dofim(|axfci!ilnejg*ehey8$i|mnsd,amb(jdyQ:Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS;W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]4U'gbh#cly2`8jjtjold%jalck.vkvhic'ofdkdm cnos6*cvkhyn"ogh.lns_1[)e`n%anw<b:llvhabf'lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY6Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.grgdub&kcl"`b\7f[7_-ilb)ejs9;6``rlefj+`kjea$xe|boe-ehjank&ida}< v0>3:71<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:0<0=7:llvhabf'lgnae tipnka)adfmbo"m`mq0,r4:56;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x><2<13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~86?2?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t28085?2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<29>3d8jjtjold%jalck.vkvhic'ofdkdm cnos6*p6W9Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~8U:Sl`k012357`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:S?Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<Q<_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x>_5]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}< v0]6[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t2[3Yffm:;<=?=6:llvhabf'lgnae tipnka)adfmbo"m`mq]eqij6:?1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdzTjxbc2328jjtjold%jalck.vntZvi|{UySigif=2=64=ig{glic ilcnh+qkwWyd\7f~R|Pdhde8469:81ec\7fcheo,ehgjl'}g{S}`{r^p\`l`a48;5><5aosodak(adkf`#yc\7f_qlwvZtXl`lm0<<1209mkwk`mg$m`obd/uos[uhszVxThdhi<01=65=ig{glic ilcnh+qkwWyd\7f~R|Pdhde848582dd~`ijn/dofim(|dzT|cz}_s]gmc`;:78;7ca}mfgm*cjedb%\7fa}Q\7fnup\vZbnno682?>4nnpnc`h)nehgg"zbp^rmpwYuWmcmj1:1219mkwk`mg$m`obd/uos[uhszVxThdhi<4<14>hhzdmnb#hcbmi,phvXxg~yS\7fQkigd?2;473geyajka.gnahn)seyU{by|Pr^fjbc:06;:0bb|bgdl-bidkc&~f|R~ats]q[aoan525>=5aosodak(adkf`#yc\7f_qlwvZtXl`lm040=0:llvhabf'lgnae tlr\tkruW~Uoekh30?02?kiuenoe"kbmlj-wiuYwf}xT{Rjffg>24;463geyajka.gnahn)seyU{by|Pw^fjbc:6978:7ca}mfgm*cjedb%\7fa}Q\7fnup\sZbnno6:>3<>;omqibci&ofi`f!{mq]sjqtX\7fVnbjk2>3?03?kiuenoe"kbmlj-wiuYwf}xT{Rjffg>2:76<ffxfkh`!fm`oo*rjxVzex\7fQx_ekeb949:91ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a4:49<6``rlefj+`kjea$x`~Ppovq[rYcaol783<?;omqibci&ofi`f!{mq]sjqtX\7fVnbjk2:>328jjtjold%jalck.vntZvi|{U|Sigif=4=65=ig{glic ilcnh+qkwWyd\7f~RyPdhde828582dd~`ijn/dofim(|dzT|cz}_v]gmc`;078;7ca}mfgm*cjedb%\7fa}Q\7fnup\sZbnno622?>4nnpnc`h)nehgg"y\7frdol`*TFEV]YSH@>1g9mkwk`mg$m`obd/vp\`drfWje~byQf_13e?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]265=ig{glic ilcnh+rtXlh~jSnaznu]j[46582dd~`ijn/dofim(\7f{UomyoPcnwmpZoX988;7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U:>?>4nnpnc`h)nehgg"y}_ecweZeh}g~TeR?<1g9mkwk`mg$m`obd/vp\`drfWje~byQf_33e?kiuenoe"kbmlj-tvZbf|hUhcx`{_h]05c=ig{glic ilcnh+rtXlh~jSnaznu]j[17a3geyajka.gnahn)pzVnjxlQlotlw[lY29o1ec\7fcheo,ehgjl'~xThlzn_bmvjqYnW?;m7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U<=k5aosodak(adkf`#z|Pd`vb[firf}UbS5?i;omqibci&ofi`f!xr^fbpdYdg|d\7fSdQ6169mkwk`mg$bbobd/sf\vvrXnk;27ca}mfgm*lhedb%yhR||t^da[57f3geyajka.hlahn)ulVxxxRgat^22g>hhzdmnb#gabmi,vaYu{}UbbyQ?_03;?kiuenoe"d`mlj-q`Ztt|Vxnk<94nnpnc`h)aghgg"y}_sqw[cd602dd~`ijn/kmfim(\7f{Uy\7fyQ}ef46?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP0^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX9Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP2^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX;Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP4^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX=Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVk%nd`keb]jjvrXi&oecxzP6^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^c-flhcmjUbb~zPa.gmkprX?Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxzP0^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX9Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxzP2^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX;Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxzP4^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX=Vg~t=>?0046?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-amkbbkVh%nd`keb]jjvrXj&oecxzP6^ov|56788<>7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%iecjjc^`-flhcmjUbb~zPb.gmkprX?Vg~t=>?006b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0=0;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5;58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:56=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oemRo}r`{?7;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<5<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1;14`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip6=29o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;?7>j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8583i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=3=0d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2=>5c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmfZguzhs7?3:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4=4?m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}939<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>5:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw37?7`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi64g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_17f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]240`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[472n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y6:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W89>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U:88h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S<;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q>64d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_056b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]2<0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[4?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y5=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V8:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T>?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R<<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P257e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^061c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\633a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z40=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X:1?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V829h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S>>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_77f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^56a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7];1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\=0e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d61a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g721`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7240c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6543b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1442m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b074=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c36<<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`29<?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=8<>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<;<9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?:48k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=4;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9?>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=24g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:227f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5366a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4061`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7120c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6623b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e17>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b04>=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c34=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c348<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2;8?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya==?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=<?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=??o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=>?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=1?o7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=0?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V:>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T==;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R?>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P137e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^301c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\513a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z72=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9??m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V;<9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T=5;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R?65d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_326b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]150`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[742n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y5;<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W;>>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U998h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S?8:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q=74d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_3:6b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]1=0c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[63a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z57=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X<<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W<?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V<>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U<9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T48k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S4;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=;>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z268<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0442j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6270d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4066f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:>54`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8<8:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>:;8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<82>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z261<k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\073e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5031g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;207a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9<<5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?>9;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=8<9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3:1?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[14>=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]770d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4226f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:<14c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT89;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=?>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z21=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]730g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP497b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS97:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8;k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8?>5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>24g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:127f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5066a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4361`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7220c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6523b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e14>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b07>=m1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c35=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c358<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:8?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;8>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<889h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?988k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>>8;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9?8:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8<85d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;=84g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:287g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi527f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5226a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4121a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g771a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g761a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g751a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g741a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7;1a=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7:1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\40c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[43a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z77=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X98?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V;99k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T=>;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R?;5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P147e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^351c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\523a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z7?=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X90?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V8>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U9<8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S??:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q=24d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_316b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]100`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[732n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y5><l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W;=>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U948h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S?7:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P317f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^66a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]61`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\20c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[23b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z>2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y>=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6553e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4321g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:137a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8?<5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>=9;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<;>9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y29??i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[070=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]65=3e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_43:1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:24`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9?>:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?9=8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=;>>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z35=<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1702j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7130d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP53:6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;=94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9>;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<9;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2;8?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[055=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6763e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4171g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:347a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8=95c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>?:;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<939o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2;0?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[022j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7740d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5536f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;;24`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT99=:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV??:8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X===>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z330<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\11?2i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^761g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:557a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8;:5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>9;;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<?<9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2=1?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[03>=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]620d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5726f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;914`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9;<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?=?8o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=>?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[0>2i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7:1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ914`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:<>:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<:>8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>89>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z06<<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2432j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4220d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6056f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8>84`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:<7:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<99o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1:9?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[346=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5663e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7071g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9267a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;<75c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU=>4;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?9>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z048<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2672i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^471d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ954c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:;;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?=>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z0?=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L241c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2452b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5573m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J465<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K77>=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H699>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I98;?j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;:=9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:=?:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=<=;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<?;4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?>55g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>176f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1057a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N03;0`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O32=1b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L261c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2652b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5773m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J445<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K75;=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6:=>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9;??i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F88=8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;9;9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:>5:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=?7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<=;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<;;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<8;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<9;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<6;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B?>;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B??;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B??>4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<=4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<<4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<;4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<:4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<94e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<84e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<74e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<64e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=?4d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C=>15f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<25f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<35f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<45f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<55f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<65f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<75f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<85f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<95f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;05g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@;106g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A436g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A426g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A456g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A446g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A476g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A516f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A5037`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N407`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N417`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N467`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N477`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N447`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N457`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4:7`>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4;7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N76g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A616g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A606g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A636g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A626g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A656g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A646g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A676g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A666g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A696g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A686`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A75f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@805f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@815f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@825f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@835f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@845f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@855f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@865f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@875f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@885f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@895a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@74e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6?4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6>4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6=4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6<4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6;4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C6:4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C694e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C684e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C674e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C664b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4>;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B4;;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B48;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B49;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B46;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B47:5:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$\7fhbPphrf8582=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`zn0<0:5:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$\7fhbPphrf8782<2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS=;;;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%xiaQ\7fiqg\502<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.qfhZvnxlU98n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg77494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf46;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva55:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`24959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b02?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>0=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<?30?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=<2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:=1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8;783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd695<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg764>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva57:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`26979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b00?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>2=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<<37?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:?1>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;80<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj897>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6;5>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf45;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`279>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc378583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b06?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm15>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>4=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<:35?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=929>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:81914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8?7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9<6:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6=5858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg724:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf43;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`21909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc368283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm17>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>6=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?9<3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<833?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h::1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8<7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?6329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6?5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg70484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf41;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`23929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc348083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b05?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm16>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?7<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<631?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=52=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:41=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;3090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj82793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd605=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4?;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5<:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2=949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3:8683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0;?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm18>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>9=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<738?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>=2?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9<1?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8;0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;:7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:96?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd585?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg474?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva65:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`15969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc028483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b33?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm20>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=1=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<><4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn??36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k890=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;87=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:;6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5:5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg454=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf74;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`16919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc018=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b31?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm22>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=3=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<<<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?=34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>2:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9?1814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k880:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;9743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:=6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5<5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg434;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf72;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`10939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b36?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm25>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=5=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<:<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?;32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h991:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;?7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:<6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5=5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg41494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf70;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva63:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`12959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b34?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm27>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=6=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<9<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?930?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>:2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9;1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;=783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:>6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5?5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg404>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;07>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva0969<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc6?5;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm4=0=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo:33?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i81:14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k>793:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre<5<58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg2;?7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva09>9<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?4;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm5=3=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo;32?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i91=14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k?783:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre=5?58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg3;>7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva1919<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc7?<;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=2=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo831?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i:1<14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k<7?3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre>5>58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg0;=7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva2909<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc4?3;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm6=:=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo930?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i;1?14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k=7>3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre?5958o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg1;<7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva3939<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc5?2;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm7=5=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo938?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i41>14c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k27=3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre05858o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg>;;7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva<929<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc:?1;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm8=4=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo637?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i41614c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k37<3:m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre15;58o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg?;:7>i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva=959<k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc;?0;2e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm9=7=0g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo736?6a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i51914c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k374386;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]7U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY24X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T10_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<<R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;8Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6<T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX50[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[04^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:4P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\90W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:45aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_4[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[32^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<>]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ9>P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\::W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_72Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ06Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=6\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>:S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;2V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;74nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^4Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?30bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ1^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3?<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V:R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7;8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR?V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;74nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^0Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?30bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ5^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3?<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V6R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7;8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR3V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\<m4nnpnc`h)elgi`f!{mq]qehYulVoe=i5aosodak(jmdhgg"zbp^pbiZtcWld:46ayesdokrg<x{elShc\7ftx37?uthoVof|ywPtipfwm.7!8>0|\7fah_dosp|Ys`{oxd%?&149svjaXmdz\7fuRzgrdqk,46.9<1{~biPelrw}Zrozlyc$<?&149svjaXmdz\7fuRzgrdqk,44.9<1{~biPelrw}Zrozlyc$<=&159svjaXmdz\7fuRzgrdqk,7/6<2zycjQjmqvz[qnumzb#?$?;;qplcZcjx}sTxe|jsi*7-42<x{elShc\7ftx]wlwct`!?"=95\7frne\ahvsqV~c~h}g(7+20>vugnUna}zv_ujqavn/? ;?7}|`g^gntq\7fX|axn\7fe&7)068twi`Wlg{xtQ{hsgpl-?.9=1{~biPelrw}Zrozlyc0=0>5:rqkbYbey~rSyf}erj?5586=2zycjQjmqvz[qnumzb7=<0>5:rqkbYbey~rSyf}erj?5786?2zycjQjmqvz[qnumzb7=>4?>078twi`Wlg{xtQ{hsgpl97468>0|\7fah_dosp|Ys`{oxd1?1159svjaXmdz\7fuRzgrdqk8786<2zycjQjmqvz[qnumzb7?3?;;qplcZcjx}sTxe|jsi>7:42<x{elShc\7ftx]wlwct`5?5=95\7frne\ahvsqV~c~h}g<7<20>vugnUna}zv_ujqavn;?7;?7}|`g^gntq\7fX|axn\7fe27>068twi`Wlg{xtQ{hsgpl9?99k1{~biPelrw}ZrozlycSl}|esv+4,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)3*5f=wzfmTi`~{y^vkv`uoWhyxi\7fz'11+2g>vugnUna}zv_ujqavnXizyn~y&>1(3`?uthoVof|ywPtipfwmYf{zoyx%?=)0a8twi`Wlg{xtQ{hsgplZgt{lx\7f$<=&1c9svjaXmdz\7fuRzgrdqk[dutm{~#>$?m;qplcZcjx}sTxe|jsi]bwvcu|!9"=o5\7frne\ahvsqV~c~h}g_`qpawr/< ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-3.9k1{~biPelrw}ZrozlycSl}|esv+2,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)5*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'8(3a?uthoVof|ywPtipfwmYf{zoyx%7&1c9svjaXmdz\7fuRzgrdqk[dutm{~7<3?l;qplcZcjx}sTxe|jsi]bwvcu|5;;2<m4psmd[`kw|pU\7fd\7fk|h^cpw`ts48;5=n5\7frne\ahvsqV~c~h}g_`qpawr;9;4:i6~}of]fiur~W}byi~fParqfvq:6;3:5=n5\7frne\ahvsqV~c~h}g_`qpawr;9:4:n6~}of]fiur~W}byi~fParqfvq:668h0|\7fah_dosp|Ys`{oxdRo|sdpw8786j2zycjQjmqvz[qnumzbTm~}jru>0:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{<5<2f>vugnUna}zv_ujqavnXizyn~y2:>0`8twi`Wlg{xtQ{hsgplZgt{lx\7f0;0>b:rqkbYbey~rSyf}erj\evubz}6<2<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts414:n6~}of]fiur~W}byi~fParqfvq:>68h0|\7fah_dosp|Ys`{oxdR`jg`vf,5/6j2zycjQjmqvz[qnumzbTbhintd*2-4e<x{elShc\7ftx]wlwct`Vdnklzj(02*5f=wzfmTi`~{y^vkv`uoWgolmyk'10+2g>vugnUna}zv_ujqavnXflmjxh&>2(3`?uthoVof|ywPtipfwmYimnk\7fi%?<)0`8twi`Wlg{xtQ{hsgplZhboh~n$?'>b:rqkbYbey~rSyf}erj\j`af|l"8%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb =#:n6~}of]fiur~W}byi~fPndebp`.2!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,3/6j2zycjQjmqvz[qnumzbTbhintd*4-4d<x{elShc\7ftx]wlwct`Vdnklzj(9+2f>vugnUna}zv_ujqavnXflmjxh&6)0`8twi`Wlg{xtQ{hsgplZhboh~n0=0>c:rqkbYbey~rSyf}erj\j`af|l6:<3?l;qplcZcjx}sTxe|jsi]mabgsm5;:2<m4psmd[`kw|pU\7fd\7fk|h^lfcdrb4885=h5\7frne\ahvsqV~c~h}g_ogdeqc;9:0;2<m4psmd[`kw|pU\7fd\7fk|h^lfcdrb4895=o5\7frne\ahvsqV~c~h}g_ogdeqc;97;i7}|`g^gntq\7fX|axn\7feQaefcwa9499k1{~biPelrw}ZrozlycSckhaug?7;7e3yxdkRkbpu{\pmtb{aUeijo{e=6=5g=wzfmTi`~{y^vkv`uoWgolmyk35?3a?uthoVof|ywPtipfwmYimnk\7fi1811c9svjaXmdz\7fuRzgrdqk[kc`i}o7;3?m;qplcZcjx}sTxe|jsi]mabgsm525=o5\7frne\ahvsqV~c~h}g_ogdeqc;1730|\7fah_gwoh45<x{elSk{cl^vkv`uo 9#:?6~}of]eqijX|axn\7fe&>)068twi`Wo\7fg`Rzgrdqk,46.9=1{~biPftno[qnumzb#=<'>4:rqkbYa}efTxe|jsi*26,733yxdkRhzlm]wlwct`!;8%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|\7fah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axn\7fe&8)018twi`Wo\7fg`Rzgrdqk,=/6;2zycjQiumn\pmtb{a"2%<=4psmd[cskdV~c~h}g<1<20>vugnUmyabPtipfwm:687;?7}|`g^dvhiYs`{oxd1?>>068twi`Wo\7fg`Rzgrdqk84499?1{~biPftno[qnumzb7=>4?>068twi`Wo\7fg`Rzgrdqk84599:1{~biPftno[qnumzb7=3?<;qplcZ`rdeU\7fd\7fk|h=0=56=wzfmTjxbc_ujqavn;;7;87}|`g^dvhiYs`{oxd1:1129svjaXn|fgSyf}erj?1;743yxdkRhzlm]wlwct`5<5=>5\7frne\bpjkW}byi~f37?30?uthoVl~`aQ{hsgpl9>99:1{~biPftno[qnumzb753?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 9#:m6~}of]eqijX|axn\7feQnsrgqp-7.9k1{~biPftno[qnumzbTm~}jru*24,7e3yxdkRhzlm]wlwct`Vkx\7fh|{(03*5g=wzfmTjxbc_ujqavnXizyn~y&>2(3a?uthoVl~`aQ{hsgplZgt{lx\7f$<=&1`9svjaXn|fgSyf}erj\evubz}"9%<o4psmd[cskdV~c~h}g_`qpawr/; ;j7}|`g^dvhiYs`{oxdRo|sdpw,1/6i2zycjQiumn\pmtb{aUj\7f~k}t)7*5d=wzfmTjxbc_ujqavnXizyn~y&9)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#;$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 1#:m6~}of]eqijX|axn\7feQnsrgqp-?.9h1{~biPftno[qnumzbTm~}jru>3:4d<x{elSk{cl^vkv`uoWhyxi\7fz311<2f>vugnUmyabPtipfwmYf{zoyx1?>>0`8twi`Wo\7fg`Rzgrdqk[dutm{~7=?0>d:rqkbYa}efTxe|jsi]bwvcu|5;86=0>b:rqkbYa}efTxe|jsi]bwvcu|5;82<o4psmd[cskdV~c~h}g_`qpawr;97;j7}|`g^dvhiYs`{oxdRo|sdpw8786i2zycjQiumn\pmtb{aUj\7f~k}t=1=5d=wzfmTjxbc_ujqavnXizyn~y2;>0c8twi`Wo\7fg`Rzgrdqk[dutm{~793?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4?4:m6~}of]eqijX|axn\7feQnsrgqp9199h1{~biPftno[qnumzbTm~}jru>;:4g<x{elSk{cl^vkv`uoWhyxi\7fz39?3b?uthoVl~`aQ{hsgplZhboh~n$='>a:rqkbYa}efTxe|jsi]mabgsm!;"=o5\7frne\bpjkW}byi~fPndebp`.68 ;i7}|`g^dvhiYs`{oxdR`jg`vf,47.9k1{~biPftno[qnumzbTbhintd*26,7e3yxdkRhzlm]wlwct`Vdnklzj(01*5d=wzfmTjxbc_ujqavnXflmjxh&=)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#?$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb =#:m6~}of]eqijX|axn\7feQaefcwa-3.9h1{~biPftno[qnumzbTbhintd*5-4g<x{elSk{cl^vkv`uoWgolmyk'7(3b?uthoVl~`aQ{hsgplZhboh~n$5'>a:rqkbYa}efTxe|jsi]mabgsm!3"=l5\7frne\bpjkW}byi~fPndebp`:768h0|\7fah_gwohZrozlycSckhaug?5586j2zycjQiumn\pmtb{aUeijo{e=32:4d<x{elSk{cl^vkv`uoWgolmyk313<2`>vugnUmyabPtipfwmYimnk\7fi1?<:1<2f>vugnUmyabPtipfwmYimnk\7fi1?<>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o7=3?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb4;4:m6~}of]eqijX|axn\7feQaefcwa9599h1{~biPftno[qnumzbTbhintd>7:4g<x{elSk{cl^vkv`uoWgolmyk35?3b?uthoVl~`aQ{hsgplZhboh~n0;0>a:rqkbYa}efTxe|jsi]mabgsm5=5=l5\7frne\bpjkW}byi~fPndebp`:?68k0|\7fah_gwohZrozlycSckhaug?=;0<zmUh`f??;sf\`drfWje~by&?)028vaYci}kTob{at)3*54=ulVnjxlQlotlw,46.981yhRjnt`]`kphs 8;"=<5}d^fbpdYdg|d\7f$<<&109q`Zbf|hUhcx`{(01*55=ulVnjxlQlotlw,7/682xoSio{a^alqkr/; ;;7\7fjPd`vb[firf}"?%<>4re]geqgXkf\7fex%;&119q`Zbf|hUhcx`{(7+24>tcWmk\7fmRm`uov+3,773{nThlzn_bmvjq.?!8:0~iQkauc\gjsi|!3"==5}d^fbpdYdg|d\7f0=0>1:pg[agsiVidycz311<25>tcWmk\7fmRm`uov?548692xoSio{a^alqkr;9;4:?6|k_ecweZeh}g~7=>4?>038vaYci}kTob{at=30:46<zmUomyoPcnwmp979991yhRjnt`]`kphs4;4:<6|k_ecweZeh}g~7?3??;sf\`drfWje~by2;>028vaYci}kTob{at=7=55=ulVnjxlQlotlw838682xoSio{a^alqkr;?7;;7\7fjPd`vb[firf}632<>4re]geqgXkf\7fex1715:pg[`h13{nT~~z<;sqw3>uea}oy~95|rrv:?phcm{lgcz;4v`nj`3=pzVkhg;5xr^aoo46<\7f{UomyoPcnwmp-6.991|~Rjnt`]`kphs 8#:=6y}_ecweZeh}g~#=='>1:uq[agsiVidycz'10+25>quWmk\7fmRm`uov+57/692}ySio{a^alqkr/9:#:<6y}_ecweZeh}g~#>$??;vp\`drfWje~by&<)028swYci}kTob{at)6*55=pzVnjxlQlotlw,0/682}ySio{a^alqkr/> ;;7z|Pd`vb[firf}"<%<>4ws]geqgXkf\7fex%6&119tvZbf|hUhcx`{(8+24>quWmk\7fmRm`uov?4;763~xThlzn_bmvjq:687;:7z|Pd`vb[firf}6:=3?>;vp\`drfWje~by2>2?30?rtXlh~jSnaznu>27?69981|~Rjnt`]`kphs4895==5xr^fbpdYdg|d\7f0<0>0:uq[agsiVidycz32?33?rtXlh~jSnaznu>0:46<\7f{UomyoPcnwmp929991|~Rjnt`]`kphs4<4:<6y}_ecweZeh}g~7:3??;vp\`drfWje~by28>028swYci}kTob{at=:=55=pzVnjxlQlotlw8<823~xTic84ws]qwq763ÊÁ¶±Ë°ØÌżĸºÖ¿»³o0ÝϱßÌ¿ÕÆ¿ÌÆ»ÍÌwKL}=82c9CD}56?3L187?tS669042=j3;8>5jl7;04566|f:;;6<5a30390>"48l08<:5rS619042=j3;8>5jl7;04566<[8no69?m:18277>ck>09;<==;R50>17e290:??6kc68134523m>::7>51;3xW22=<8>1n7?<29f`3?409::0(>>l:53;?sR5l00;6<4>:472\7fV132=;?6o4>33:gg2<5?89;7)<j5;3ga>P48o09wx?j7;38q4c?291v(?;l:2:8f171290=97=564yK6`4<R?218v<;:37975<5k3w/>ik54048 66d2=;37W=?d;0x04>=<821qb=>2;29?le283:17bm<8;29 73b2j>>7c<:d;28?je4?3:1(?;j:b66?k42l3;07bm<6;29 73b2j>>7c<:d;08?je4=3:1(?;j:b66?k42l3907bm;0;29 73b2j>>7c<:d;68?je4n3:1(?;j:b66?k42l3?07bm<e;29 73b2j>>7c<:d;48?je4l3:1(?;j:b66?k42l3=07bm<c;29 73b2j>>7c<:d;:8?je4j3:1(?;j:b66?k42l3307bm<a;29 73b2j>>7c<:d;c8?je413:1(?;j:b66?k42l3h07bm<4;29 73b2j>>7c<:d;a8?je4;3:1(?;j:b66?k42l3n07dm86;29?je2m3:1(?;j:b4a?k42l3:07bm:d;29 73b2j<i7c<:d;38?je2k3:1(?;j:b4a?k42l3807bm:b;29 73b2j<i7c<:d;18?je1>3:1(?;j:b4a?k42l3>07bm95;29 73b2j<i7c<:d;78?je1<3:1(?;j:b4a?k42l3<07bm93;29 73b2j<i7c<:d;58?je1:3:1(?;j:b4a?k42l3207bm91;29 73b2j<i7c<:d;;8?je183:1(?;j:b4a?k42l3k07bm:f;29 73b2j<i7c<:d;`8?je2i3:1(?;j:b4a?k42l3i07bm:9;29 73b2j<i7c<:d;f8?j26i3:17b:>5;29?le203:17dm:2;29?j5bk3:1(?;j:52a?k42l3:07b=jb;29 73b2=:i7c<:d;38?j5b13:1(?;j:52a?k42l3807b=j8;29 73b2=:i7c<:d;18?j5b?3:1(?;j:52a?k42l3>07b=j6;29 73b2=:i7c<:d;78?j5b=3:1(?;j:52a?k42l3<07b=j4;29 73b2=:i7c<:d;58?j5b;3:1(?;j:52a?k42l3207b=j2;29 73b2=:i7c<:d;;8?j5b93:1(?;j:52a?k42l3k07b=j0;29 73b2=:i7c<:d;`8?j5cm3:1(?;j:52a?k42l3i07b=kd;29 73b2=:i7c<:d;f8?j5ck3:1(?;j:52a?k42l3o07b=kb;29 73b2=:i7c<:d;d8?j5ci3:1(?;j:52a?k42l3;;76a<d883>!42m3>;n6`=5e825>=h;m21<7*=5d874g=i:<n1=?54o2f4>5<#:<o18=l4n37g>45<3f9o:7>5$37f>16e3g8>h7?;;:m0`0<72-8>i7:?b:l11a<6=21d?k<50;&11`<38k1e>8j51798k6`6290/>8k541`8j73c28=07b=i0;29 73b2=:i7c<:d;3;?>i4mo0;6)<:e;63f>h5=m0:565`3dg94?"5=l0?<o5a24f95d=<g:oo6=4+24g905d<f;?o6<l4;n1fe?6=,;?n69>m;o06`?7d32e8hk4?:%06a?27j2d99i4>d:9l7a2=83.99h4;0c9m60b=9l10c>j<:18'60c=<9h0b?;k:0d8?l5fj3:1(?;j:2ab?k42l3:07d=na;29 73b2:ij7c<:d;38?l5f03:1(?;j:2ab?k42l3807d=n7;29 73b2:ij7c<:d;18?l5f>3:1(?;j:2ab?k42l3>07d=n5;29 73b2:ij7c<:d;78?l5f<3:1(?;j:2ab?k42l3<07d=n3;29 73b2:ij7c<:d;58?l5f:3:1(?;j:2ab?k42l3207d=n1;29 73b2:ij7c<:d;;8?l5f83:1(?;j:2ab?k42l3k07d=6f;29 73b2:ij7c<:d;`8?l5>l3:1(?;j:2ab?k42l3i07d=6c;29 73b2:ij7c<:d;f8?l5>j3:1(?;j:2ab?k42l3o07d=6a;29 73b2:ij7c<:d;d8?l5>13:1(?;j:2ab?k42l3;;76g<9983>!42m39hm6`=5e825>=n;0=1<7*=5d80gd=i:<n1=?54i2;5>5<#:<o1?no4n37g>45<3`9297>5$37f>6ef3g8>h7?;;:k0=1<72-8>i7=la:l11a<6=21b?o?50;&11`<4kh1e>8j51798m6d7290/>8k53bc8j73c28=07d=nf;29 73b2:ij7c<:d;3;?>o4il0;6)<:e;1`e>h5=m0:565f3`f94?"5=l08ol5a24f95d=<a:kh6=4+24g97fg<f;?o6<l4;h1b=?6=,;?n6>mn;o06`?7d32c85h4?:%06a?5di2d99i4>d:9j7<5=83.99h4<c`9m60b=9l10e>7=:18'60c=;jk0b?;k:0d8?j56;3:17dm<2;29?g4b<3:1=7>50zJ1a7=#:mo1>h:4o37a>5<<uk;397>51;294~N5m;1/>ik51978k4>32900qo88:18g1?5=k8qC>h<4Z7:953}6:3;=6?:512811?722::1>n4>7;3`>42=9j0997=?:36956<5k3;<6<8514820?752t.9hh4;169'5ag=9190(>>l:53;?!42<38>56a<1383>>od=80;66gm8983>!42m3h296`=5e83?>oe0>0;6)<:e;`:1>h5=m0:76gm8783>!42m3h296`=5e81?>oe0<0;6)<:e;`:1>h5=m0876gm9183>!42m3h296`=5e87?>oe0o0;6)<:e;`:1>h5=m0>76gm8d83>!42m3h296`=5e85?>oe0m0;6)<:e;`:1>h5=m0<76gm8b83>!42m3h296`=5e8;?>oe0k0;6)<:e;`:1>h5=m0276gm8`83>!42m3h296`=5e8b?>oe000;6)<:e;`:1>h5=m0i76gm8583>!42m3h296`=5e8`?>oe0:0;6)<:e;`:1>h5=m0o76amd`83>>od==0;66al5783>>oenm0;6)<:e;a3e>h5=m0;76gmfb83>!42m3i;m6`=5e82?>oenk0;6)<:e;a3e>h5=m0976gmf`83>!42m3i;m6`=5e80?>od8<0;6)<:e;a3e>h5=m0?76gl0583>!42m3i;m6`=5e86?>od8:0;6)<:e;a3e>h5=m0=76gl0383>!42m3i;m6`=5e84?>od880;6)<:e;a3e>h5=m0376gl0183>!42m3i;m6`=5e8:?>oeno0;6)<:e;a3e>h5=m0j76gmfd83>!42m3i;m6`=5e8a?>oen00;6)<:e;a3e>h5=m0h76gmf983>!42m3i;m6`=5e8g?>od=90;66am8383>>ie080;66g<1483>>id;10;6)<:e;a71>h5=m0;76al3683>!42m3i?96`=5e82?>id;?0;6)<:e;a71>h5=m0976al3483>!42m3i?96`=5e80?>id<90;6)<:e;a71>h5=m0?76al3g83>!42m3i?96`=5e86?>id;l0;6)<:e;a71>h5=m0=76al3e83>!42m3i?96`=5e84?>id;j0;6)<:e;a71>h5=m0376al3c83>!42m3i?96`=5e8:?>id;h0;6)<:e;a71>h5=m0j76al3883>!42m3i?96`=5e8a?>id;=0;6)<:e;a71>h5=m0h76al3283>!42m3i?96`=5e8g?>oei<0;6)<:e;`a6>h5=m0;76gma583>!42m3hi>6`=5e82?>oei:0;6)<:e;`a6>h5=m0976gma383>!42m3hi>6`=5e80?>oeim0;6)<:e;`a6>h5=m0?76gmab83>!42m3hi>6`=5e86?>oeik0;6)<:e;`a6>h5=m0=76gma`83>!42m3hi>6`=5e84?>oei00;6)<:e;`a6>h5=m0376gma983>!42m3hi>6`=5e8:?>oei>0;6)<:e;`a6>h5=m0j76gma783>!42m3hi>6`=5e8a?>oei80;6)<:e;`a6>h5=m0h76gma183>!42m3hi>6`=5e8g?>od??0;66al5d83>!42m3i=n6`=5e83?>id=m0;6)<:e;a5f>h5=m0:76al5b83>!42m3i=n6`=5e81?>id=k0;6)<:e;a5f>h5=m0876al6783>!42m3i=n6`=5e87?>id><0;6)<:e;a5f>h5=m0>76al6583>!42m3i=n6`=5e85?>id>:0;6)<:e;a5f>h5=m0<76al6383>!42m3i=n6`=5e8;?>id>80;6)<:e;a5f>h5=m0276al6183>!42m3i=n6`=5e8b?>id=o0;6)<:e;a5f>h5=m0i76al5`83>!42m3i=n6`=5e8`?>id=00;6)<:e;a5f>h5=m0o76a;1`83>>i39<0;66gl5983>>oem90;6)<:e;`f`>h5=m0;76gmdg83>!42m3hnh6`=5e82?>oell0;6)<:e;`f`>h5=m0976gmde83>!42m3hnh6`=5e80?>oem10;6)<:e;`f`>h5=m0?76gme683>!42m3hnh6`=5e86?>oem?0;6)<:e;`f`>h5=m0=76gme483>!42m3hnh6`=5e84?>oem=0;6)<:e;`f`>h5=m0376gme283>!42m3hnh6`=5e8:?>oem;0;6)<:e;`f`>h5=m0j76gme083>!42m3hnh6`=5e8a?>oelj0;6)<:e;`f`>h5=m0h76gmdc83>!42m3hnh6`=5e8g?>o49?0;66gl7683>>id=:0;66al5683>>id=<0;66gl5383>>i4mj0;6)<:e;63f>h5=m0;76a<ec83>!42m3>;n6`=5e82?>i4m00;6)<:e;63f>h5=m0976a<e983>!42m3>;n6`=5e80?>i4m>0;6)<:e;63f>h5=m0?76a<e783>!42m3>;n6`=5e86?>i4m<0;6)<:e;63f>h5=m0=76a<e583>!42m3>;n6`=5e84?>i4m:0;6)<:e;63f>h5=m0376a<e383>!42m3>;n6`=5e8:?>i4m80;6)<:e;63f>h5=m0j76a<e183>!42m3>;n6`=5e8a?>i4ll0;6)<:e;63f>h5=m0h76a<de83>!42m3>;n6`=5e8g?>i4lj0;6)<:e;63f>h5=m0n76a<dc83>!42m3>;n6`=5e8e?>i4lh0;6)<:e;63f>h5=m0:<65`3e;94?"5=l0?<o5a24f954=<g:n36=4+24g905d<f;?o6<<4;n1g3?6=,;?n69>m;o06`?7432e8h;4?:%06a?27j2d99i4>4:9l7a3=83.99h4;0c9m60b=9<10c>h=:18'60c=<9h0b?;k:048?j5a93:1(?;j:52a?k42l3;<76a<f183>!42m3>;n6`=5e82<>=h;ll1<7*=5d874g=i:<n1=454o2gf>5<#:<o18=l4n37g>4g<3f9nh7>5$37f>16e3g8>h7?m;:m0ad<72-8>i7:?b:l11a<6k21d?ih50;&11`<38k1e>8j51e98k6b3290/>8k541`8j73c28o07b=k3;29 73b2=:i7c<:d;3e?>o4ik0;6)<:e;1`e>h5=m0;76g<a`83>!42m39hm6`=5e82?>o4i10;6)<:e;1`e>h5=m0976g<a683>!42m39hm6`=5e80?>o4i?0;6)<:e;1`e>h5=m0?76g<a483>!42m39hm6`=5e86?>o4i=0;6)<:e;1`e>h5=m0=76g<a283>!42m39hm6`=5e84?>o4i;0;6)<:e;1`e>h5=m0376g<a083>!42m39hm6`=5e8:?>o4i90;6)<:e;1`e>h5=m0j76g<9g83>!42m39hm6`=5e8a?>o41m0;6)<:e;1`e>h5=m0h76g<9b83>!42m39hm6`=5e8g?>o41k0;6)<:e;1`e>h5=m0n76g<9`83>!42m39hm6`=5e8e?>o4100;6)<:e;1`e>h5=m0:<65f38:94?"5=l08ol5a24f954=<a:3<6=4+24g97fg<f;?o6<<4;h1:2?6=,;?n6>mn;o06`?7432c8584?:%06a?5di2d99i4>4:9j7<2=83.99h4<c`9m60b=9<10e>l>:18'60c=;jk0b?;k:048?l5e83:1(?;j:2ab?k42l3;<76g<ag83>!42m39hm6`=5e82<>=n;ho1<7*=5d80gd=i:<n1=454i2cg>5<#:<o1?no4n37g>4g<3`9jo7>5$37f>6ef3g8>h7?m;:k0e<<72-8>i7=la:l11a<6k21b?4k50;&11`<4kh1e>8j51e98m6?4290/>8k53bc8j73c28o07d=62;29 73b2:ij7c<:d;3e?>i49:0;66g<1583>>od9h0;6)<:e;a13>h5=m0;76gl1883>!42m3i9;6`=5e82?>od910;6)<:e;a13>h5=m0976gl1683>!42m3i9;6`=5e80?>od:;0;6)<:e;a13>h5=m0?76gl2083>!42m3i9;6`=5e86?>od:90;6)<:e;a13>h5=m0=76gl1g83>!42m3i9;6`=5e84?>od9l0;6)<:e;a13>h5=m0376gl1e83>!42m3i9;6`=5e8:?>od9j0;6)<:e;a13>h5=m0j76gl1c83>!42m3i9;6`=5e8a?>od9?0;6)<:e;a13>h5=m0h76gl1483>!42m3i9;6`=5e8g?>i49m0;6)<:e;113>h5=m0;76a<1b83>!42m399;6`=5e82?>i49k0;6)<:e;113>h5=m0976a<1`83>!42m399;6`=5e80?>i4:<0;6)<:e;113>h5=m0?76a<2583>!42m399;6`=5e86?>i4::0;6)<:e;113>h5=m0=76a<2383>!42m399;6`=5e84?>i4:80;6)<:e;113>h5=m0376a<2183>!42m399;6`=5e8:?>i49o0;6)<:e;113>h5=m0j76a<1d83>!42m399;6`=5e8a?>i4900;6)<:e;113>h5=m0h76a<1983>!42m399;6`=5e8g?>i4:10;66gmc383>!42m3hhj6`=5e83?>oek80;6)<:e;``b>h5=m0:76gmc183>!42m3hhj6`=5e81?>oejo0;6)<:e;``b>h5=m0876gmc`83>!42m3hhj6`=5e87?>oek00;6)<:e;``b>h5=m0>76gmc983>!42m3hhj6`=5e85?>oek>0;6)<:e;``b>h5=m0<76gmc783>!42m3hhj6`=5e8;?>oek<0;6)<:e;``b>h5=m0276gmc583>!42m3hhj6`=5e8b?>oek:0;6)<:e;``b>h5=m0i76gmbd83>!42m3hhj6`=5e8`?>oejm0;6)<:e;``b>h5=m0o76gl3383>>d48h0;6<4?:1y'6ac=91?0D>>6;I0f6>i60=0;66sm2ed94?7393>::7:ibzJ1a7=#:mo18<74Z7:956}5:3886<j51d82b?7>28h1>=4>8;02>7`=9h0o6?l510824?`=m3i1q)m89;a4<>i003:17b98:188m7b72900c;;50;9j6a3=831d;;4?::m4=?6=3f8m97>5;n342?6=3`9;:7>5;n0f4?6=3f<?6=44i3a3>5<<g;?j6=44o3g0>5<<g8296=44o343>5<<a;l=6=44o3:f>5<<g:=26=4+24g972><f;?o6=54o254>5<#:<o1?:64n37g>4=<g:==6=4+24g972><f;?o6?54o256>5<#:<o1?:64n37g>6=<g:296=4+24g972><f;?o6954o2:2>5<#:<o1?:64n37g>0=<g:2;6=4+24g972><f;?o6;54o25e>5<#:<o1?:64n37g>2=<g:=n6=4+24g972><f;?o6554o25g>5<#:<o1?:64n37g><=<g:=h6=4+24g972><f;?o6l54o25a>5<#:<o1?:64n37g>g=<g:=j6=4+24g972><f;?o6n54o257>5<#:<o1?:64n37g>a=<g:236=4+24g97=1<f;?o6=54o2:5>5<#:<o1?594n37g>4=<g:2>6=4+24g97=1<f;?o6?54o2:7>5<#:<o1?594n37g>6=<g:3:6=4+24g97=1<f;?o6954o2;3>5<#:<o1?594n37g>0=<g:2m6=4+24g97=1<f;?o6;54o2:f>5<#:<o1?594n37g>2=<g:2o6=4+24g97=1<f;?o6554o2:`>5<#:<o1?594n37g><=<g:2i6=4+24g97=1<f;?o6l54o2:b>5<#:<o1?594n37g>g=<g:226=4+24g97=1<f;?o6n54o2:0>5<#:<o1?594n37g>a=<g:8n6=4+24g977b<f;?o6=54o20`>5<#:<o1??j4n37g>4=<g:8i6=4+24g977b<f;?o6?54o20b>5<#:<o1??j4n37g>6=<g:9<6=4+24g977b<f;?o6954o215>5<#:<o1??j4n37g>0=<g:9>6=4+24g977b<f;?o6;54o217>5<#:<o1??j4n37g>2=<g:986=4+24g977b<f;?o6554o211>5<#:<o1??j4n37g><=<g:9:6=4+24g977b<f;?o6l54o213>5<#:<o1??j4n37g>g=<g:8m6=4+24g977b<f;?o6n54o20:>5<#:<o1??j4n37g>a=<g:9o6=4+24g976e<f;?o6=54o21a>5<#:<o1?>m4n37g>4=<g:9j6=4+24g976e<f;?o6?54o21:>5<#:<o1?>m4n37g>6=<g:>=6=4+24g976e<f;?o6954o266>5<#:<o1?>m4n37g>0=<g:>?6=4+24g976e<f;?o6;54o260>5<#:<o1?>m4n37g>2=<g:>96=4+24g976e<f;?o6554o262>5<#:<o1?>m4n37g><=<g:>;6=4+24g976e<f;?o6l54o21e>5<#:<o1?>m4n37g>g=<g:9n6=4+24g976e<f;?o6n54o21;>5<#:<o1?>m4n37g>a=<g:>h6=4+24g971d<f;?o6=54o26b>5<#:<o1?9l4n37g>4=<g:>26=4+24g971d<f;?o6?54o26;>5<#:<o1?9l4n37g>6=<g:?>6=4+24g971d<f;?o6954o277>5<#:<o1?9l4n37g>0=<g:?86=4+24g971d<f;?o6;54o271>5<#:<o1?9l4n37g>2=<g:?:6=4+24g971d<f;?o6554o273>5<#:<o1?9l4n37g><=<g:>m6=4+24g971d<f;?o6l54o26f>5<#:<o1?9l4n37g>g=<g:>o6=4+24g971d<f;?o6n54o264>5<#:<o1?9l4n37g>a=<g1o1<7*=5d8;`>h5=m0;76a7c;29 73b21n0b?;k:098k=d=83.99h47d:l11a<532e3m7>5$37f>=b<f;?o6>54o8594?"5=l03h6`=5e87?>i>>3:1(?;j:9f8j73c2<10c4;50;&11`<?l2d99i49;:m:0?6=,;?n65j4n37g>2=<g091<7*=5d8;`>h5=m0376a62;29 73b21n0b?;k:898k<7=83.99h47d:l11a<f32e2<7>5$37f>=b<f;?o6o54o9d94?"5=l03h6`=5e8`?>i?13:1(?;j:9f8j73c2m10c?hl:18'60c=:oh0b?;k:198k7`f290/>8k52g`8j73c2810c?h6:18'60c=:oh0b?;k:398k7`?290/>8k52g`8j73c2:10c>>::18'60c=:oh0b?;k:598k663290/>8k52g`8j73c2<10c>><:18'60c=:oh0b?;k:798k665290/>8k52g`8j73c2>10c>>>:18'60c=:oh0b?;k:998k667290/>8k52g`8j73c2010c?hi:18'60c=:oh0b?;k:`98k7`b290/>8k52g`8j73c2k10c?hk:18'60c=:oh0b?;k:b98k7`0290/>8k52g`8j73c2m10c>;m:18'60c=;<k0b?;k:198k63>290/>8k534c8j73c2810c>;7:18'60c=;<k0b?;k:398k630290/>8k534c8j73c2:10c>8;:18'60c=;<k0b?;k:598k604290/>8k534c8j73c2<10c>8=:18'60c=;<k0b?;k:798k606290/>8k534c8j73c2>10c>8?:18'60c=;<k0b?;k:998k63a290/>8k534c8j73c2010c>;j:18'60c=;<k0b?;k:`98k63c290/>8k534c8j73c2k10c>;l:18'60c=;<k0b?;k:b98k631290/>8k534c8j73c2m10c:h50;&11`<0m2d99i4?;:m4`?6=,;?n6:k4n37g>4=<g>i1<7*=5d84a>h5=m0976a8b;29 73b2>o0b?;k:298k=>=83.99h48e:l11a<332e3;7>5$37f>2c<f;?o6854o9494?"5=l0<i6`=5e85?>i?=3:1(?;j:6g8j73c2>10c5:50;&11`<0m2d99i47;:m;7?6=,;?n6:k4n37g><=<g181<7*=5d84a>h5=m0j76a71;29 73b2>o0b?;k:c98k=6=83.99h48e:l11a<d32e<m7>5$37f>2c<f;?o6i54o24b>5<#:<o1?;74n37g>5=<g:<36=4+24g973?<f;?o6<54o244>5<#:<o1?;74n37g>7=<g:<=6=4+24g973?<f;?o6>54o250>5<#:<o1?;74n37g>1=<g:=96=4+24g973?<f;?o6854o252>5<#:<o1?;74n37g>3=<g:=;6=4+24g973?<f;?o6:54o24e>5<#:<o1?;74n37g>==<g:<n6=4+24g973?<f;?o6454o24g>5<#:<o1?;74n37g>d=<g:<h6=4+24g973?<f;?o6o54o24a>5<#:<o1?;74n37g>f=<g:<>6=4+24g973?<f;?o6i54o04g>5<#:<o1=;m4n37g>5=<g8<i6=4+24g953e<f;?o6<54o04:>5<#:<o1=;m4n37g>7=<g8<36=4+24g953e<f;?o6>54o044>5<#:<o1=;m4n37g>1=<g8<=6=4+24g953e<f;?o6854o046>5<#:<o1=;m4n37g>3=<g8<?6=4+24g953e<f;?o6:54o040>5<#:<o1=;m4n37g>==<g8<96=4+24g953e<f;?o6454o042>5<#:<o1=;m4n37g>d=<g8<;6=4+24g953e<f;?o6o54o07f>5<#:<o1=;m4n37g>f=<g8?o6=4+24g953e<f;?o6i54o07`>5<#:<o1=;m4n37g>`=<g8?i6=4+24g953e<f;?o6k54o07b>5<#:<o1=;m4n37g>46<3f;>57>5$37f>40d3g8>h7?>;:m21=<72-8>i7?9c:l11a<6:21d=8950;&11`<6>j1e>8j51298k431290/>8k517a8j73c28>07b?:5;29 73b28<h7c<:d;36?>i6?=0;6)<:e;35g>h5=m0::65`16194?"5=l0::n5a24f952=<g8=96=4+24g953e<f;?o6<64;n345?6=,;?n6<8l;o06`?7>32e:;=4?:%06a?71k2d99i4>a:9l53`=83.99h4>6b9m60b=9k10c<8j:18'60c=9?i0b?;k:0a8?j71i3:1(?;j:04`?k42l3;o76a>5g83>!42m3;=o6`=5e82a>=h9<>1<7*=5d822f=i:<n1=k54o3a5>5<#:<o1>n;4n37g>5=<g;i?6=4+24g96f3<f;?o6<54o3a0>5<#:<o1>n;4n37g>7=<g;i96=4+24g96f3<f;?o6>54o3ae>5<#:<o1>n;4n37g>1=<g;in6=4+24g96f3<f;?o6854o3ag>5<#:<o1>n;4n37g>3=<g;ih6=4+24g96f3<f;?o6:54o3aa>5<#:<o1>n;4n37g>==<g;ij6=4+24g96f3<f;?o6454o3a:>5<#:<o1>n;4n37g>d=<g;i36=4+24g96f3<f;?o6o54o3a4>5<#:<o1>n;4n37g>f=<g;i:6=4+24g96f3<f;?o6i54i356>5<#:<o1>::4n37g>5=<a;=86=4+24g9622<f;?o6<54i351>5<#:<o1>::4n37g>7=<a;=:6=4+24g9622<f;?o6>54i35f>5<#:<o1>::4n37g>1=<a;=o6=4+24g9622<f;?o6854i35`>5<#:<o1>::4n37g>3=<a;=i6=4+24g9622<f;?o6:54i35b>5<#:<o1>::4n37g>==<a;=26=4+24g9622<f;?o6454i35;>5<#:<o1>::4n37g>d=<a;=<6=4+24g9622<f;?o6o54i355>5<#:<o1>::4n37g>f=<a;=;6=4+24g9622<f;?o6i54ig694?"5=l0m?6`=5e83?>oa:3:1(?;j:g18j73c2810ek>50;&11`<a;2d99i4=;:kfb?6=,;?n6k=4n37g>6=<alo1<7*=5d8e7>h5=m0?76gjd;29 73b2o90b?;k:498m`e=83.99h4i3:l11a<132cnn7>5$37f>c5<f;?o6:54idc94?"5=l0m?6`=5e8;?>ob13:1(?;j:g18j73c2010eh650;&11`<a;2d99i4n;:kf3?6=,;?n6k=4n37g>g=<al?1<7*=5d8e7>h5=m0h76gj4;29 73b2o90b?;k:e98m`5=83.99h4i3:l11a<b32cn>7>5$37f>c5<f;?o6k54id394?"5=l0m?6`=5e824>=nm90;6)<:e;d0?k42l3;:76gkf;29 73b2o90b?;k:008?lbb290/>8k5f29m60b=9:10eij50;&11`<a;2d99i4>4:9j`f<72-8>i7h<;o06`?7232cmn7>5$37f>c5<f;?o6<84;hdb>5<#:<o1j>5a24f952=<ao31<7*=5d8e7>h5=m0:465ff983>!42m3l87c<:d;3:?>oa?3:1(?;j:g18j73c28k07dh9:18'60c=n:1e>8j51c98mc3=83.99h4i3:l11a<6k21bj<4?:%06a?`43g8>h7?k;:kf2?6=,;?n6k=4n37g>4c<3`ni6=4+24g9b6=i:<n1=k54i3`1>5<#:<o1>o?4n37g>5=<a;h;6=4+24g96g7<f;?o6<54i3ce>5<#:<o1>o?4n37g>7=<a;kn6=4+24g96g7<f;?o6>54i3`a>5<#:<o1>o?4n37g>1=<a;hj6=4+24g96g7<f;?o6854i3`:>5<#:<o1>o?4n37g>3=<a;h36=4+24g96g7<f;?o6:54i3`4>5<#:<o1>o?4n37g>==<a;h=6=4+24g96g7<f;?o6454i3`6>5<#:<o1>o?4n37g>d=<a;h?6=4+24g96g7<f;?o6o54i3`0>5<#:<o1>o?4n37g>f=<a;ko6=4+24g96g7<f;?o6i54i3c0>5<#:<o1>l<4n37g>5=<a;k:6=4+24g96d4<f;?o6<54i3c3>5<#:<o1>l<4n37g>7=<a;3m6=4+24g96d4<f;?o6>54i3c`>5<#:<o1>l<4n37g>1=<a;ki6=4+24g96d4<f;?o6854i3cb>5<#:<o1>l<4n37g>3=<a;k26=4+24g96d4<f;?o6:54i3c;>5<#:<o1>l<4n37g>==<a;k<6=4+24g96d4<f;?o6454i3c5>5<#:<o1>l<4n37g>d=<a;k>6=4+24g96d4<f;?o6o54i3c7>5<#:<o1>l<4n37g>f=<a;3n6=4+24g96d4<f;?o6i54i3;7>5<#:<o1>4=4n37g>5=<a;396=4+24g96<5<f;?o6<54i3;2>5<#:<o1>4=4n37g>7=<a;3;6=4+24g96<5<f;?o6>54i3;g>5<#:<o1>4=4n37g>1=<a;3h6=4+24g96<5<f;?o6854i3;a>5<#:<o1>4=4n37g>3=<a;3j6=4+24g96<5<f;?o6:54i3;:>5<#:<o1>4=4n37g>==<a;336=4+24g96<5<f;?o6454i3;4>5<#:<o1>4=4n37g>d=<a;3=6=4+24g96<5<f;?o6o54i3;6>5<#:<o1>4=4n37g>f=<a;2m6=4+24g96<5<f;?o6i54i3:7>5<#:<o1>5=4n37g>5=<a;296=4+24g96=5<f;?o6<54i3:2>5<#:<o1>5=4n37g>7=<a;2;6=4+24g96=5<f;?o6>54i3:g>5<#:<o1>5=4n37g>1=<a;2h6=4+24g96=5<f;?o6854i3:a>5<#:<o1>5=4n37g>3=<a;2j6=4+24g96=5<f;?o6:54i3::>5<#:<o1>5=4n37g>==<a;236=4+24g96=5<f;?o6454i3:4>5<#:<o1>5=4n37g>d=<a;2=6=4+24g96=5<f;?o6o54i3:6>5<#:<o1>5=4n37g>f=<a;=m6=4+24g96=5<f;?o6i54i345>5<#:<o1>;;4n37g>5=<a;<?6=4+24g9633<f;?o6<54i340>5<#:<o1>;;4n37g>7=<a;<96=4+24g9633<f;?o6>54i34e>5<#:<o1>;;4n37g>1=<a;<n6=4+24g9633<f;?o6854i34g>5<#:<o1>;;4n37g>3=<a;<h6=4+24g9633<f;?o6:54i34a>5<#:<o1>;;4n37g>==<a;<j6=4+24g9633<f;?o6454i34:>5<#:<o1>;;4n37g>d=<a;<36=4+24g9633<f;?o6o54i344>5<#:<o1>;;4n37g>f=<a;<:6=4+24g9633<f;?o6i54b22b>5<6290;w)<ke;3;1>N4801C>h<4o0:7>5<<uk9;n7>51;294~"5ll09i95G31;8L7c53f8>n7>5;|`;a1<72=0;6=u+2eg952`<@::27E<j2:J52>"61:0:7d86:188m23=831b>8h50;9l6ge=831vn5k7:186>5<7s-8oi7?70:J04<=O:l80D;84$0;0>4=n>00;66g9e;29?l122900e?;i:188k7dd2900qo6j7;290?6=8r.9hh4>7g9K75?<@;o97E89;%3:7?7<a?31<75f7483>>o5=o0;66a=bb83>>{e0l<1<7;50;2x 7bb282;7E=?9:J1a7=O>?1/=4=51:k5=?6=3`<n6=44i6794?=n:<l1<75`2ca94?=zj?:=6=4::183\7f!4cm3;3<6F<089K6`4<,8386l5f6883>>o1m3:17d9::188m73a2900c?ll:188yg07?3:197>50z&1``<6091C?=74H3g1?!7>;3k0e;750;9j2`<722c<97>5;h06b?6=3f8io7>5;|`54f<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl90e83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th=<h4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd18o0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`555<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl91083>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th==?4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd19:0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`54=<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl90c83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th=<44?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd18h0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`6g7<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c7`=?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb4g2>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<ii6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e=l81<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm5bf94?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl:e283>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd2ko0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg3b<3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo;k1;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn8k::180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f0b4290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa1`0=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi9i;50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th>i:4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`6`2<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c7f<?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk?o57>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj<o26=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb4a0>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm5ea94?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e=j?1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd2lm0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl:c683>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;ke;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg3cn3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo;m4;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?i97>55;294~"5ll0:4=5G31;8L7c53-;2?7o4i7;94?=n>l0;66g85;29?l42n3:17b<mc;29?xd2jh0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`6fg<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:bb83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th>ni4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd2jl0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`6fc<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:c183>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th>o<4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd2j?0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`6f<<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:b683>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th>n54?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd2?l0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg3?=3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f0?c29086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn868:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi94k50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa1=?=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`6=c<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th>4o4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk?j<7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c7;`?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb4c2>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<2m6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e=h81<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm58394?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl:a283>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd21:0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg3f<3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo;65;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn8o::180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f01a290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa1<>=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi95?50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th>544?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`6<6<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c7:e?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk?2n7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c6f<?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo:j9;291?6=8r.9hh4>819K75?<@;o97)?63;c8m3?=831b:h4?::k41?6=3`8>j7>5;n0ag?6=3th?ih4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd3mo0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`7b5<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl;f083>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th?j?4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd3n:0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`7b1<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl;f483>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th?il4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd3mm0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`7ag<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl;eb83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th?m94?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk>jn7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj=i86=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb5cg>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm4b694?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e<hl1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd3k<0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl;b083>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo:l6;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg2e;3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f1e029086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn9l::187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi8n650;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa0g1=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`7g<<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th?n44?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk>hm7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c6af?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb5aa>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj=k>6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<ko1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm4`594?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl;bg83>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd3i00;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg2d83:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo:l1;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg3083:197>50z&1``<6091C?=74H3g1?!7>;3k0e;750;9j2`<722c<97>5;h06b?6=3f8io7>5;|`634<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:7783>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th>;:4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd2?10;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`63<<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:7`83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th>;o4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd2?j0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`63a<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:7383>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th>;84?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd2?:0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`631<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl:3`83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;;1;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn8;6:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f024290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa10g=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi99;50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th>9o4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`602<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c76g?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk??57>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj<?o6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb46a>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm54g94?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e==n1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd2=o0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl:4g83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;90;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg3293:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f00629086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn8=m:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi98:50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa16b=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`610<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th>?k4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk?>:7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c763?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk>2:7>55;294~"5ll0:4=5G31;8L7c53-;2?7o4i7;94?=n>l0;66g85;29?l42n3:17b<mc;29?xd31>0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`7=f<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl;9e83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th?5h4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd31o0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`7e5<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl;a083>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th?m?4?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd3i:0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`7==<72<0;6=u+2eg952b<@::27E<j2:&2=6<63`<26=44i7g94?=n?;0;66g85;29?j4ek3:17pl;9c83>0<729q/>ik516f8L66>3A8n>6*>9282?l0>2900e;k50;9j37<722c<97>5;n0ag?6=3th?544?:483>5}#:mo1=:j4H22:?M4b:2.:5>4>;h4:>5<<a?o1<75f7383>>o0=3:17b<mc;29?xd31h0;684?:1y'6ac=9>n0D>>6;I0f6>"61:0:7d86:188m3c=831b;?4?::k41?6=3f8io7>5;|`725<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c653?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb55e>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj=<26=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<1:1<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm47`94?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl;8083>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd3>m0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg2?:3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo:9f;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn96<:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f116290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa0=2=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi8:=50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th?484?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`730<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c6;2?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk><;7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj=2<6=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb542>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm46c94?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e<?91<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd3?k0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl;6483>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo:8c;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg20l3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo;=c;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?9h7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg34:3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c707?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;<4;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?897>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg34>3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c703?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;<8;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?857>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg35m3:197>50z&1``<6?m1C?=74H3g1?!7>;3;0e;750;9j2`<722c<>7>5;h56>5<<g;hh6=44}c71b?6==3:1<v*=dd823a=O;930D?k=;%3:7?7<a?31<75f6d83>>o0:3:17d9::188k7dd2900qo;<0;291?6=8r.9hh4>7e9K75?<@;o97)?63;38m3?=831b:h4?::k46?6=3`=>6=44o3``>5<<uk?8=7>55;294~"5ll0:;i5G31;8L7c53-;2?7?4i7;94?=n>l0;66g82;29?l122900c?ll:188yg2a>3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f1`c290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa143=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi8kh50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th>=;4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`644<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c723?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk?;?7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj<;36=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb426>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm50;94?5=83:p(?jj:22;?M5712B9i?5f6g83>>o6lk0;66a=5983>>{e=9=1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd29h0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl:0883>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo;>b;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg37j3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f07d29086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn8>k:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi9<j50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa0c1=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`655<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th?j44?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk?:=7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c6ef?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb431>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj<;86=4<:183\7f!4cm39;46F<089K6`4<a?l1<75f1e`94?=h:<21<75rb571>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn9;<:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj=?36=4::183\7f!4cm3;<h6F<089K6`4<,8386<5f6883>>o1m3:17d9=:188m23=831d>om50;9~f13>290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb57b>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn9;m:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj=?h6=4::183\7f!4cm3;<h6F<089K6`4<,8386<5f6883>>o1m3:17d9=:188m23=831d>om50;9~f13c290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb57f>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn9;i:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj=??6=4::183\7f!4cm3;<h6F<089K6`4<,8386<5f6883>>o1m3:17d9=:188m23=831d>om50;9~f132290>6=4?{%0ga?70l2B8<45G2d08 4?4281b:44?::k5a?6=3`=96=44i6794?=h:ki1<75rb575>5<2290;w)<ke;34`>N4801C>h<4$0;0>4=n>00;66g9e;29?l152900e:;50;9l6ge=831vn9;8:186>5<7s-8oi7?8d:J04<=O:l80(<7<:09j2<<722c=i7>5;h51>5<<a>?1<75`2ca94?=zj=;h6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<;91<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd3;k0;6>4?:1y'6ac=;920D>>6;I0f6>o1n3:17d?kb;29?j4203:17pl;2483>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo:<c;297?6=8r.9hh4<099K75?<@;o97d8i:188m4be2900c?;7:188yg25?3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f15c29086=4?{%0ga?5702B8<45G2d08m3`=831b=il50;9l60>=831vn9<6:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi8>k50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa07d=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`77c<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th?>i4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk>?<7>53;294~"5ll08<55G31;8L7c53`<m6=44i0fa>5<<g;?36=44}c61b?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb562>5<4290;w)<ke;13<>N4801C>h<4i7d94?=n9mh1<75`24:94?=zj=9:6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e<=81<7=50;2x 7bb2::37E=?9:J1a7=n>o0;66g>dc83>>i5=10;66sm42194?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl;4283>6<729q/>ik531:8L66>3A8n>6g9f;29?l7cj3:17b<:8;29?xd39m0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg24>3:1?7>50z&1``<4811C?=74H3g1?l0a2900e<jm:188k73?2900qo:>f;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn9=8:180>5<7s-8oi7=?8:J04<=O:l80e;h50;9j5ad=831d>8650;9~f146290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa06>=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi8>750;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa<1g=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`;0g<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c:7g?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb96g>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm85g94?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl74g83>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo6:0;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn58::187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi4;850;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th3::4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk2=47>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj1<26=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e0?k1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd?>k0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg>3;3:187>50z&1``<6?o1C?=74H3g1?!7>;3k0e;750;9j30<722c99k4?::m1ff<722wi45;50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th34;4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk23;7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj1236=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e0131<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd?0h0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg>?j3:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f=g7290?6=4?{%0ga?7b<2B8<45G2d08m3`=831b554?::k1`3<722e9954?::\7fa<d7=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`;e7<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c:b7?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb9c7>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm8`794?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl7a783>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo68e;290?6=8r.9hh4>7g9K75?<@;o97)?63;38m3?=831b;84?::k11c<722e9nn4?::\7fa3a4=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`4`6<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c5g0?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb6f6>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm7e494?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl8d683>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo9k8;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn:kk:187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi;hk50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th<ik4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk=m<7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj>l:6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e?o81<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd0n:0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg1d13:197>50z&1``<6091C?=74H3g1?!7>;3k0e;750;9j2`<722c<97>5;h06b?6=3f8io7>5;|`;4c<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c:24?6=<3:1<v*=dd82a1=O;930D?k=;h4e>5<<a021<75f2e494?=h:<21<75rb932>5<3290;w)<ke;3f0>N4801C>h<4i7d94?=n110;66g=d783>>i5=10;66sm80094?2=83:p(?jj:0g7?M5712B9i?5f6g83>>o>03:17d<k6;29?j4203:17pl71283>1<729q/>ik51d68L66>3A8n>6g9f;29?l??2900e?j9:188k73?2900qo6>4;290?6=8r.9hh4>e59K75?<@;o97d8i:188m<>=831b>i850;9l60>=831vn5?::187>5<7s-8oi7?j4:J04<=O:l80e;h50;9j==<722c9h;4?::m11=<722wi4?o50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th3>o4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk29o7>54;294~"5ll0:i95G31;8L7c53`<m6=44i8:94?=n:m<1<75`24:94?=zj18o6=4;:183\7f!4cm3;n86F<089K6`4<a?l1<75f9983>>o5l?0;66a=5983>>{e0;o1<7:50;2x 7bb28o?7E=?9:J1a7=n>o0;66g68;29?l4c>3:17b<:8;29?xd?:o0;694?:1y'6ac=9l>0D>>6;I0f6>o1n3:17d77:188m7b12900c?;7:188yg>483:187>50z&1``<6m=1C?=74H3g1?l0a2900e4650;9j6a0=831d>8650;9~f=61290>6=4?{%0ga?7?82B8<45G2d08 4?4281b:44?::k5a?6=3`=>6=44i37e>5<<g;hh6=44}c4:0?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd11:0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi:4<50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7;2>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg0>k3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th=5o4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm68c94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f3?>290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<247>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl99683>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2<0=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?3>6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo860;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5<c<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e>l;1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn;k?:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c4gb?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd1ll0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi:h750;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7g;>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg0b?3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th=i;4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm6d794?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f3c3290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<n?7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl9e383>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2ab=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?nh6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo8id;290?6=8r.9hh4>7b9K75?<@;o97E89;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd1nj0;694?:1y'6ac=9>i0D>>6;I0f6>N1>2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm6g`94?2=83:p(?jj:05`?M5712B9i?5G679'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?lj6=4;:183\7f!4cm3;<o6F<089K6`4<@?<0(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c531?6=<3:1<v*=dd823f=O;930D?k=;I45?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<<94?:583>5}#:mo1=:m4H22:?M4b:2B=:6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa355=83>1<7>t$3ff>41d3A9;56F=e39K23=#9091=6g99;29?l152900e:;50;9l6ge=831vn:>=:187>5<7s-8oi7?8c:J04<=O:l80D;84$0;0>4=n>00;66g82;29?l122900c?ll:188yg1793:187>50z&1``<6?j1C?=74H3g1?M013-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl80183>1<729q/>ik516a8L66>3A8n>6F96:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e>ol1<7:50;2x 7bb28=h7E=?9:J1a7=O>?1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7df>5<3290;w)<ke;34g>N4801C>h<4H748 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<m57>54;294~"5ll0:;n5G31;8L7c53A<=7)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5b=<72=0;6=u+2eg952e<@::27E<j2:J52>"61:0:7d86:188m24=831b;84?::m1ff<722wi:n;50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb7a7>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg0d;3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th=o?4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm6bf94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f3ed290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk<hn7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl9c`83>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa2f?=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj?i36=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo8l7;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`5g3<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e>j;1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn;m?:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c51b?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0:l0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;?j50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb60`>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg14?3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<?;4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm72794?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f253290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=8?7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl83383>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa367=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>9;6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo9=b;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`46d<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?>i1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn:9m:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c54e?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0?00;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;5:50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb6:0>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg1?:3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<4<4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm79294?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f21a290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=<i7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl87e83>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa32>=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>=<6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo968;290?6=8r.9hh4>7b9K75?<@;o97E89;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd01>0;694?:1y'6ac=9>i0D>>6;I0f6>N1>2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm78494?2=83:p(?jj:05`?M5712B9i?5G679'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>3>6=4;:183\7f!4cm3;<o6F<089K6`4<@?<0(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c5b4?6=<3:1<v*=dd823f=O;930D?k=;I45?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<5k4?:583>5}#:mo1=:m4H22:?M4b:2B=:6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa3<c=83>1<7>t$3ff>41d3A9;56F=e39K23=#9091=6g99;29?l152900e:;50;9l6ge=831vn:7k:187>5<7s-8oi7?8c:J04<=O:l80D;84$0;0>4=n>00;66g82;29?l122900c?ll:188yg1>k3:187>50z&1``<6?j1C?=74H3g1?M013-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl89c83>1<729q/>ik516a8L66>3A8n>6F96:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?0k1<7:50;2x 7bb28=h7E=?9:J1a7=O>?1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb6;:>5<3290;w)<ke;34g>N4801C>h<4H748 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk=287>54;294~"5ll0:;n5G31;8L7c53A<=7)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`4=6<72=0;6=u+2eg952e<@::27E<j2:J52>"61:0:7d86:188m24=831b;84?::m1ff<722wi;;>50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb67e>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg12m3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<9i4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm77:94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f200290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk==:7>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl86483>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa332=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj><86=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo992;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`424<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?<i1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn:;m:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c407?6=;3:1<v*=dd804==O;930D?k=;h4e>5<<a8ni6=44o37;>5<<uk<997>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=??4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`552<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c410?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`574<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th==;4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk<9?7>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=?=4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`550<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c416?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`57f<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th=>=4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk<9i7>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=?o4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`55c<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c41`?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`57d<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th==h4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk<9o7>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=?44?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`55a<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c41f?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`57=<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th==n4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk<9m7>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=?:4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`55g<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c41=?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`573<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th==l4?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk<947>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=?84?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`55<<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c413?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`571<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th==54?:583>5}#:mo1=h:4H22:?M4b:2c=j7>5;h;;>5<<a;n=6=44o37;>5<<uk<9:7>53;294~"5ll0:i=5G31;8L7c53-;2?7:?;h3;3?6=3`;347>5;n06<?6=3th=>k4?:283>5}#:mo1?=64H22:?M4b:2c=j7>5;h3gf?6=3f8>47>5;|`551<72=0;6=u+2eg95`2<@::27E<j2:k5b?6=3`336=44i3f5>5<<g;?36=44}c415?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`51f<72:0;6=u+2eg975><@::27E<j2:k5b?6=3`;on7>5;n06<?6=3th=8h4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:8l50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa216=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`50a<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa20g=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi:>h50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th=8n4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:8750;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa26c=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`50g<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa233=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi:9750;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th=9:4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:;:50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa21>=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`513<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa235=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi:9950;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th=984?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:;<50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa210=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`511<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa237=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi:9;50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th=9>4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:;>50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa212=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`517<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa20`=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi:9=50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th=9<4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:8k50;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa214=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`515<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa20b=8391<7>t$3ff>66?3A9;56F=e39j2c<722c:ho4?::m11=<722wi:9?50;694?6|,;nn6<k;;I13=>N5m;1b:k4?::k:<?6=3`8o:7>5;n06<?6=3th=8k4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4;0:k2<2<722c:454?::m11=<722wi:8650;194?6|,;nn6>>7;I13=>N5m;1b:k4?::k2`g<722e9954?::\7fa26b=83>1<7>t$3ff>4c33A9;56F=e39j2c<722c247>5;h0g2?6=3f8>47>5;|`50d<72:0;6=u+2eg95`6<@::27E<j2:&2=6<382c:4:4?::k2<=<722e9954?::\7fa3gb=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj>hh6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo9mb;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`4fd<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e?j?1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn:m;:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c5`7?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd0k;0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi;n?50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb6a3>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg1en3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th<nh4?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm7c;94?2=83:p(?jj:05`?M5712B9i?5+18195>o113:17d9=:188m23=831d>om50;9~f2d?290?6=4?{%0ga?70k2B8<45G2d08 4?4281b:44?::k46?6=3`=>6=44o3``>5<<uk2o57>54;294~"5ll0:;n5G31;8L7c53-;2?7?4i7;94?=n?;0;66g85;29?j4ek3:17pl7d983>1<729q/>ik516a8L66>3A8n>6*>9282?l0>2900e:<50;9j30<722e9nn4?::\7fa<a1=83>1<7>t$3ff>41d3A9;56F=e39'5<5=92c=57>5;h51>5<<a>?1<75`2ca94?=zj1n=6=4;:183\7f!4cm3;<o6F<089K6`4<,8386<5f6883>>o0:3:17d9::188k7dd2900qo6j1;290?6=8r.9hh4>7b9K75?<@;o97)?63;38m3?=831b;?4?::k41?6=3f8io7>5;|`;a5<72=0;6=u+2eg952e<@::27E<j2:&2=6<63`<26=44i6094?=n?<0;66a=bb83>>{e0ml1<7:50;2x 7bb28=h7E=?9:J1a7=#9091=6g99;29?l152900e:;50;9l6ge=831vn5jj:187>5<7s-8oi7?8c:J04<=O:l80(<7<:09j2<<722c<>7>5;h56>5<<g;hh6=44}c:g`?6=<3:1<v*=dd823f=O;930D?k=;%3:7?7<a?31<75f7383>>o0=3:17b<mc;29?xd?lj0;694?:1y'6ac=9>i0D>>6;I0f6>"61:0:7d86:188m24=831b;84?::m1ff<722wi4il50;694?6|,;nn6<9l;I13=>N5m;1/=4=51:k5=?6=3`=96=44i6794?=h:ki1<75rb9fb>5<3290;w)<ke;34g>N4801C>h<4$0;0>4=n>00;66g82;29?l122900c?ll:188yg>c=3:187>50z&1``<6?j1C?=74H3g1?!7>;3;0e;750;9j37<722c<97>5;n0ag?6=3th3h94?:583>5}#:mo1=:m4H22:?M4b:2.:5>4>;h4:>5<<a>81<75f7483>>i5jj0;66sm93;94?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb8:0>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;3=?6=<3:1<v*=dd82a3=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:0g<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=d3=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<6d290?6=4?{%0ga?7b>2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?2?3:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>j80;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1821<7:50;2x 7bb28o=7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0?j6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3i87>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2=o4?:583>5}#:mo1=h84H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi58l50;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4l::187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7>c;290?6=8r.9hh4>e79K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pln8883>1<729q/>ik516g8L66>3A8n>6*>9282?l0>2900e;k50;9j30<722e9nn4?::\7fae=>=83>1<7>t$3ff>41b3A9;56F=e39'5<5=92c=57>5;h4f>5<<a>?1<75`2ca94?=zjh2<6=4;:183\7f!4cm3;<i6F<089K6`4<,8386<5f6883>>o1m3:17d9::188k7dd2900qo9<9;297?6=8r.9hh4>e19K75?<@;o97)?63;1:?l7??3:17d?78;29?j4203:17pl99d83>6<729q/>ik51d28L66>3A8n>6*>9280=>o60>0;66g>8983>>i5=10;66sm73;94?5=83:p(?jj:0g3?M5712B9i?5+18197<=n91=1<75f19:94?=h:<21<75rb60;>5<4290;w)<ke;3f4>N4801C>h<4$0;0>6?<a82<6=44i0:;>5<<g;?36=44}c513?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?5>3`;3;7>5;h3;<?6=3f8>47>5;|`463<72:0;6=u+2eg95`6<@::27E<j2:&2=6<412c:4:4?::k2<=<722e9954?::\7fa373=8391<7>t$3ff>4c73A9;56F=e39'5<5=;01b=5950;9j5=>=831d>8650;9~f24329086=4?{%0ga?7b82B8<45G2d08 4?42:30e<68:188m4>?2900c?;7:188yg15;3:1?7>50z&1``<6m91C?=74H3g1?!7>;3927d?77;29?l7?03:17b<:8;29?xd0:;0;6>4?:1y'6ac=9l:0D>>6;I0f6>"61:0856g>8683>>o6010;66a=5983>>{e?;;1<7=50;2x 7bb28o;7E=?9:J1a7=#9091?45f19594?=n9121<75`24:94?=zj>8;6=4<:183\7f!4cm3;n<6F<089K6`4<,8386>74i0:4>5<<a8236=44o37;>5<<uk=:j7>53;294~"5ll0:i=5G31;8L7c53-;2?7=6;h3;3?6=3`;347>5;n06<?6=3th<=h4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4<9:k2<2<722c:454?::m11=<722wi;<j50;194?6|,;nn6<k?;I13=>N5m;1/=4=5389j5=1=831b=5650;9l60>=831vn;6j:180>5<7s-8oi7?j0:J04<=O:l80(<7<:2;8m4>02900e<67:188k73?2900qo87d;297?6=8r.9hh4>e19K75?<@;o97)?63;1:?l7??3:17d?78;29?j4203:17pl98b83>6<729q/>ik51d28L66>3A8n>6*>9280=>o60>0;66g>8983>>i5=10;66sm69`94?5=83:p(?jj:0g3?M5712B9i?5+18197<=n91=1<75f19:94?=h:<21<75rb7:b>5<4290;w)<ke;3f4>N4801C>h<4$0;0>6?<a82<6=44i0:;>5<<g;?36=44}c4;=?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?5>3`;3;7>5;h3;<?6=3f8>47>5;|`5<=<72:0;6=u+2eg95`6<@::27E<j2:&2=6<412c:4:4?::k2<=<722e9954?::\7fa2=1=8391<7>t$3ff>4c73A9;56F=e39'5<5=;01b=5950;9j5=>=831d>8650;9~f3>129086=4?{%0ga?7b82B8<45G2d08 4?42:30e<68:188m4>?2900c?;7:188yg0?=3:1?7>50z&1``<6m91C?=74H3g1?!7>;3927d?77;29?l7?03:17b<:8;29?xd10=0;6>4?:1y'6ac=9l:0D>>6;I0f6>"61:0856g>8683>>o6010;66a=5983>>{e>191<7=50;2x 7bb28o;7E=?9:J1a7=#9091?45f19594?=n9121<75`24:94?=zj?296=4<:183\7f!4cm3;n<6F<089K6`4<,8386>74i0:4>5<<a8236=44o37;>5<<uk=8n7>54;294~"5ll0:i<5G31;8L7c53-;2?7:=;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`5e5<72=0;6=u+2eg95`7<@::27E<j2:&2=6<3:2c:4:4?::k2<=<722c:444?::m11=<722wi;>j50;794?6|,;nn6<k=;I13=>N5m;1/=4=53c9j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn;o=:186>5<7s-8oi7?j2:J04<=O:l80(<7<:2`8m4>02900e<67:188m4>>2900e<6n:188k73?2900qo9<f;297?6=8r.9hh4>e19K75?<@;o97)?63;1:?l7??3:17d?78;29?j4203:17pl9a583>6<729q/>ik51d28L66>3A8n>6*>9280=>o60>0;66g>8983>>i5=10;66sm75394?2=83:p(?jj:0g2?M5712B9i?5+181907=n91=1<75f19:94?=n9131<75`24:94?=zj?k=6=4;:183\7f!4cm3;n=6F<089K6`4<,83869<4i0:4>5<<a8236=44i0::>5<<g;?36=44}c577?6==3:1<v*=dd82a7=O;930D?k=;%3:7?5e3`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`5e=<72<0;6=u+2eg95`4<@::27E<j2:&2=6<4j2c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<a5=8391<7>t$3ff>4c73A9;56F=e39'5<5=:11b=5950;9j5=>=831d>8650;9~f2d029086=4?{%0ga?7b82B8<45G2d08 4?42:30e<68:188m4>?2900c?;7:188yg1e>3:197>50z&1``<6m;1C?=74H3g1?!7>;3>87d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd09j0;6>4?:1y'6ac=9l:0D>>6;I0f6>"61:0856g>8683>>o6010;66a=5983>>{e?8h1<7;50;2x 7bb28o97E=?9:J1a7=#90918>5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj1h?6=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2257>55;294~"5ll0:i?5G31;8L7c53-;2?7:>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th3;44?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi48k50;794?6|,;nn6<k=;I13=>N5m;1/=4=5409j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn5=j:186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo6=3;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl70083>0<729q/>ik51d08L66>3A8n>6*>92875>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm7d494?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb9`0>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c::<?6==3:1<v*=dd82a7=O;930D?k=;%3:7?263`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`;3=<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<0b=83?1<7>t$3ff>4c53A9;56F=e39'5<5=;o1b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f=5c290>6=4?{%0ga?7b:2B8<45G2d08 4?42=;0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg>5:3:197>50z&1``<6m;1C?=74H3g1?!7>;3>:7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?890;684?:1y'6ac=9l80D>>6;I0f6>"61:0?=6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e?l?1<7;50;2x 7bb28o97E=?9:J1a7=#90918<5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj1h96=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk22;7>55;294~"5ll0:i?5G31;8L7c53-;2?7:>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th3;:4?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi48m50;794?6|,;nn6<k=;I13=>N5m;1/=4=53g9j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn5=l:186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo6=1;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl8fg83>0<729q/>ik51d08L66>3A8n>6*>92875>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm7d694?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb9`2>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c::2?6==3:1<v*=dd82a7=O;930D?k=;%3:7?263`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`;33<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<0d=83?1<7>t$3ff>4c53A9;56F=e39'5<5=;o1b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f=5e290>6=4?{%0ga?7b:2B8<45G2d08 4?42=;0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg>583:197>50z&1``<6m;1C?=74H3g1?!7>;3>:7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd0nl0;684?:1y'6ac=9l80D>>6;I0f6>"61:0?=6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e?l91<7;50;2x 7bb28o97E=?9:J1a7=#90918<5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj1h;6=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2297>55;294~"5ll0:i?5G31;8L7c53-;2?7:>;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th3;84?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi48o50;794?6|,;nn6<k=;I13=>N5m;1/=4=53g9j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn5=n:186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo6>f;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl8fe83>0<729q/>ik51d08L66>3A8n>6*>92875>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm7d094?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb664>5<4290;w)<ke;3f4>N4801C>h<4$0;0>16<a82<6=44i0:;>5<<g;?36=44}c4bg?6=;3:1<v*=dd82a5=O;930D?k=;%3:7?273`;3;7>5;h3;<?6=3f8>47>5;|`40d<72=0;6=u+2eg95`7<@::27E<j2:&2=6<4i2c:4:4?::k2<=<722c:444?::m11=<722wi:lh50;694?6|,;nn6<k>;I13=>N5m;1/=4=53`9j5=1=831b=5650;9j5=?=831d>8650;9~f22d290>6=4?{%0ga?7b:2B8<45G2d08 4?42=90e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg0e93:197>50z&1``<6m;1C?=74H3g1?!7>;3>87d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?io0;684?:1y'6ac=9l80D>>6;I0f6>"61:0?=6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e00>1<7;50;2x 7bb28o97E=?9:J1a7=#90918<5f19594?=n9121<75f19;94?=n91k1<75`24:94?=zj1=?6=4::183\7f!4cm3;n>6F<089K6`4<,83869?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2>57>55;294~"5ll0:i?5G31;8L7c53-;2?7=i;h3;3?6=3`;347>5;h3;=?6=3`;3m7>5;n06<?6=3th3?44?:483>5}#:mo1=h<4H22:?M4b:2.:5>4;1:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi4<k50;794?6|,;nn6<k=;I13=>N5m;1/=4=5409j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn:hl:186>5<7s-8oi7?j2:J04<=O:l80(<7<:538m4>02900e<67:188m4>>2900e<6n:188k73?2900qo9j1;291?6=8r.9hh4>e39K75?<@;o97)?63;62?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl7ad83>0<729q/>ik51d08L66>3A8n>6*>92875>o60>0;66g>8983>>o6000;66g>8`83>>i5=10;66sm88194?3=83:p(?jj:0g1?M5712B9i?5+181904=n91=1<75f19:94?=n9131<75f19c94?=h:<21<75rb950>5<2290;w)<ke;3f6>N4801C>h<4$0;0>17<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c:6<?6==3:1<v*=dd82a7=O;930D?k=;%3:7?5b3`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`;7=<72<0;6=u+2eg95`4<@::27E<j2:&2=6<392c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa<4b=83?1<7>t$3ff>4c53A9;56F=e39'5<5=<81b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f2`e290>6=4?{%0ga?7b:2B8<45G2d08 4?42=;0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg1b83:197>50z&1``<6m;1C?=74H3g1?!7>;3>:7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n90;694?:1y'6ac=9l;0D>>6;I0f6>"61:08o6g>8683>>o6010;66g>8883>>i5=10;66sm8dd94?2=83:p(?jj:0g2?M5712B9i?5+181974=n91=1<75f19:94?=n9131<75`24:94?=zj1on6=4;:183\7f!4cm3;n=6F<089K6`4<,8386>?4i0:4>5<<a8236=44i0::>5<<g;?36=44}c:f`?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?1<a82<6=44i0:;>5<<a8226=44o37;>5<<uk2no7>54;294~"5ll0:i<5G31;8L7c53-;2?7=>;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`;ag<72=0;6=u+2eg95`7<@::27E<j2:&2=6<03`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th3il4?:583>5}#:mo1=h?4H22:?M4b:2.:5>48;h3;3?6=3`;347>5;h3;=?6=3f8>47>5;|`;a<<72=0;6=u+2eg95`7<@::27E<j2:&2=6<23`;3;7>5;h3;<?6=3`;357>5;n06<?6=3th<n=4?:283>5}#:mo1=h>4H22:?M4b:2.:5>4<9:k2<2<722c:454?::m11=<722wi;lk50;794?6|,;nn6<k=;I13=>N5m;1/=4=53c9j5=1=831b=5650;9j5=?=831b=5o50;9l60>=831vn:?::180>5<7s-8oi7?j0:J04<=O:l80(<7<:2;8m4>02900e<67:188k73?2900qo9>3;291?6=8r.9hh4>e39K75?<@;o97)?63;1a?l7??3:17d?78;29?l7?13:17d?7a;29?j4203:17pl8b483>1<729q/>ik51d38L66>3A8n>6*>92876>o60>0;66g>8983>>o6000;66a=5983>>{e?8k1<7:50;2x 7bb28o:7E=?9:J1a7=#90918?5f19594?=n9121<75f19;94?=h:<21<75rb6`0>5<2290;w)<ke;3f6>N4801C>h<4$0;0>6d<a82<6=44i0:;>5<<a8226=44i0:b>5<<g;?36=44}c571?6==3:1<v*=dd82a7=O;930D?k=;%3:7?243`;3;7>5;h3;<?6=3`;357>5;h3;e?6=3f8>47>5;|`45=<72<0;6=u+2eg95`4<@::27E<j2:&2=6<4j2c:4:4?::k2<=<722c:444?::k2<d<722e9954?::\7fa2dg=83?1<7>t$3ff>4c53A9;56F=e39'5<5=<:1b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f2e129086=4?{%0ga?7b82B8<45G2d08 4?42;20e<68:188m4>?2900c?;7:188yg>a03:197>50z&1``<6m;1C?=74H3g1?!7>;39o7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n>0;684?:1y'6ac=9l80D>>6;I0f6>"61:027d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n?0;684?:1y'6ac=9l80D>>6;I0f6>"61:027d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n<0;684?:1y'6ac=9l80D>>6;I0f6>"61:037d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n=0;684?:1y'6ac=9l80D>>6;I0f6>"61:027d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n:0;684?:1y'6ac=9l80D>>6;I0f6>"61:0?7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n;0;684?:1y'6ac=9l80D>>6;I0f6>"61:037d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd?n80;684?:1y'6ac=9l80D>>6;I0f6>"61:0=7d?77;29?l7?03:17d?79;29?l7?i3:17b<:8;29?xd2kh0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm5ba94?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<in6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c7g4?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>h?4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa1a2=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn8j9:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg3c03:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl:d`83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e=j>1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb4a5>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk?h47>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`6<3<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi95650;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f0>f29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;7c;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd20l0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm58294?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<396=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c7:0?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>5;4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa1=6=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn86=:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg3?<3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;ab83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e<ho1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb5`3>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk>i>7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`7f1<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi8o850;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f1d?29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo:ma;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd3jj0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm4`494?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj=k36=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c6be?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>8?4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa112=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn8:9:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg3303:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl:4`83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e==i1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb46f>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk?><7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`617<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi9>m50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f05b29096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;;0;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd3>10;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm47c94?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj=<h6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c65a?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th?;=4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa024=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn99;:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg20>3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;7983>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e<?81<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb547>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk>=:7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`7b`<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi9=>50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f06529096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo;?4;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd28?0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm51:94?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj<:j6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c73g?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th><h4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa0c>=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn9hn:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg2ak3:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;2583>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e<;<1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb50;>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<uk>9m7>52;294~"5ll0:hk5G31;8L7c53-;2?7<7;h3;3?6=3f8>47>5;|`76f<72;0;6=u+2eg95a`<@::27E<j2:&2=6<502c:4:4?::m11=<722wi8?k50;094?6|,;nn6<ji;I13=>N5m;1/=4=5299j5=1=831d>8650;9~f15729096=4?{%0ga?7cn2B8<45G2d08 4?42;20e<68:188k73?2900qo:<2;296?6=8r.9hh4>dg9K75?<@;o97)?63;0;?l7??3:17b<:8;29?xd3;=0;6?4?:1y'6ac=9ml0D>>6;I0f6>"61:0946g>8683>>i5=10;66sm40g94?4=83:p(?jj:0fe?M5712B9i?5+18196==n91=1<75`24:94?=zj=8;6=4=:183\7f!4cm3;oj6F<089K6`4<,8386?64i0:4>5<<g;?36=44}c616?6=:3:1<v*=dd82`c=O;930D?k=;%3:7?4?3`;3;7>5;n06<?6=3th>i=4?:383>5}#:mo1=ih4H22:?M4b:2.:5>4=8:k2<2<722e9954?::\7fa1<e=8381<7>t$3ff>4ba3A9;56F=e39'5<5=:11b=5950;9l60>=831vn9m=:181>5<7s-8oi7?kf:J04<=O:l80(<7<:3:8m4>02900c?;7:188yg3203:1>7>50z&1``<6lo1C?=74H3g1?!7>;3837d?77;29?j4203:17pl;7d83>7<729q/>ik51ed8L66>3A8n>6*>9281<>o60>0;66a=5983>>{e=8>1<7<50;2x 7bb28nm7E=?9:J1a7=#9091>55f19594?=h:<21<75rb51b>5<5290;w)<ke;3gb>N4801C>h<4$0;0>7><a82<6=44o37;>5<<ukh3<7>54;294~"5ll0:i<5G31;8L7c53-;2?7?89:k2<2<722c:454?::k2<<<722e9954?::\7fa3d`=83?1<7>t$3ff>4c53A9;56F=e39'5<5=;k1b=5950;9j5=>=831b=5750;9j5=g=831d>8650;9~f273290>6=4?{%0ga?7b:2B8<45G2d08 4?42:h0e<68:188m4>?2900e<66:188m4>f2900c?;7:188yg>0l3:197>50z&1``<6m;1C?=74H3g1?!7>;3;3=6g>8683>>o6010;66g>8883>>o60h0;66a=5983>>{e0=81<7;50;2x 7bb28o97E=?9:J1a7=#9091=5?4i0:4>5<<a8236=44i0::>5<<a82j6=44o37;>5<<uk2;47>55;294~"5ll0:i?5G31;8L7c53-;2?7?8a:k2<2<722c:454?::k2<<<722c:4l4?::m11=<722wi;nl50;794?6|,;nn6<k=;I13=>N5m;1/=4=516c8m4>02900e<67:188m4>>2900e<6n:188k73?2900qo7k5;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1>81<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;5<?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5nm50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?b83:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9g:94?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<ukk;>7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=2g=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7j9;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1o:1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;e3?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5k;50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188ygg7i3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm96g94?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3<h7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=c0=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7l2;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{ei9n1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}cc3a?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5:h50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?d;3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9b694?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3h;7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=f3=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qoo?f;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e11;1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;;4?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5n850;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?d03:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sma0294?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<ukk:=7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa==4=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7l9;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1jk1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;`a?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5nl50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188ygg6:3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9g;94?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3=57>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=fb=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7lf;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1m:1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;5e?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5kl50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?1j3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9gc94?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3o?7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=a7=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7k2;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1oi1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;5g?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5i:50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?c>3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9e594?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3=h7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=cc=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo79e;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1on1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;ge?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5i650;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?c13:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9gd94?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3=j7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=ad=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7kc;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1mn1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;44?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wim=?50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?093:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sma1294?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3n=7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=ac=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7kf;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{ei991<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;47?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5h<50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?b;3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9d694?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3<87>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fae53=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qoo?4;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1>?1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;f3?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5h;50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?b>3:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sma1494?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3<:7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=`>=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7ja;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{e1lh1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;43?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wim=650;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?003:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sma1594?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3ni7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fa=`e=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo7jd;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{ei931<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;4=?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5hh50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?a93:187>50z&1``<6m81C?=74H3g1?!7>;3;<96g>8683>>o6010;66g>8883>>i5=10;66sm9g094?2=83:p(?jj:0g2?M5712B9i?5+1819523<a82<6=44i0:;>5<<a8226=44o37;>5<<uk3<n7>54;294~"5ll0:i<5G31;8L7c53-;2?7?85:k2<2<722c:454?::k2<<<722e9954?::\7fae5e=83>1<7>t$3ff>4c63A9;56F=e39'5<5=9>?0e<68:188m4>?2900e<66:188k73?2900qo78c;290?6=8r.9hh4>e09K75?<@;o97)?63;341>o60>0;66g>8983>>o6000;66a=5983>>{ei9h1<7:50;2x 7bb28o:7E=?9:J1a7=#9091=:;4i0:4>5<<a8236=44i0::>5<<g;?36=44}c;e7?6=<3:1<v*=dd82a4=O;930D?k=;%3:7?70=2c:4:4?::k2<=<722c:444?::m11=<722wi5k:50;694?6|,;nn6<k>;I13=>N5m;1/=4=51678m4>02900e<67:188m4>>2900c?;7:188yg?f;3:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd><00;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1h>1<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0>j6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3jj7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2984?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5oo50;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn48?:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7mc;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl66383>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm9cf94?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb840>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;aa?6=<3:1<v*=dd82a0=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:21<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=g`=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<02290?6=4?{%0ga?7b=2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?d83:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>>?0;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1j;1<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0<<6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3j:7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th28n4?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5l950;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4:k:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7n8;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl64d83>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm9`;94?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb86e>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;be?6=<3:1<v*=dd82a0=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:15<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=dd=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<36290?6=4?{%0ga?7b=2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?fk3:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>=;0;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1hn1<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0?86=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3ji7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2994?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5o>50;694?6|,;nn6<k:;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4;9:187>5<7s-8oi7?j5:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7m2;290?6=8r.9hh4>e49K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl65983>1<729q/>ik51d78L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm9c194?2=83:p(?jj:0g6?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb87:>5<3290;w)<ke;3f1>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;a2?6=<3:1<v*=dd82a0=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:1f<72=0;6=u+2eg95`3<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=g1=83>1<7>t$3ff>4c23A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<3c290?6=4?{%0ga?7b=2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?e03:187>50z&1``<6m<1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>=l0;694?:1y'6ac=9l?0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e1k31<7:50;2x 7bb28o>7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0?m6=4;:183\7f!4cm3;n96F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3in7>54;294~"5ll0:i85G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2:<4?:583>5}#:mo1=h;4H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5=o50;694?6|,;nn6<k9;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4>m:187>5<7s-8oi7?j6:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7>6;290?6=8r.9hh4>e79K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl62083>1<729q/>ik51d48L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm93194?2=83:p(?jj:0g5?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb807>5<3290;w)<ke;3f2>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;11?6=<3:1<v*=dd82a3=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:63<72=0;6=u+2eg95`0<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=71=83>1<7>t$3ff>4c13A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<4?290?6=4?{%0ga?7b>2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?7l3:187>50z&1``<6m?1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd>8l0;694?:1y'6ac=9l<0D>>6;I0f6>o60>0;66g>8983>>o5l?0;66a=5983>>{e19l1<7:50;2x 7bb28o=7E=?9:J1a7=n91=1<75f19:94?=n:m<1<75`24:94?=zj0;;6=4;:183\7f!4cm3;n:6F<089K6`4<a82<6=44i0:;>5<<a;n=6=44o37;>5<<uk3:=7>54;294~"5ll0:i;5G31;8L7c53`;3;7>5;h3;<?6=3`8o:7>5;n06<?6=3th2=?4?:583>5}#:mo1=h84H22:?M4b:2c:4:4?::k2<=<722c9h;4?::m11=<722wi5<=50;694?6|,;nn6<k9;I13=>N5m;1b=5950;9j5=>=831b>i850;9l60>=831vn4?;:187>5<7s-8oi7?j6:J04<=O:l80e<68:188m4>?2900e?j9:188k73?2900qo7>5;290?6=8r.9hh4>e79K75?<@;o97d?77;29?l7?03:17d<k6;29?j4203:17pl61683>1<729q/>ik51d48L66>3A8n>6g>8683>>o6010;66g=d783>>i5=10;66sm90;94?2=83:p(?jj:0g5?M5712B9i?5f19594?=n9121<75f2e494?=h:<21<75rb83b>5<3290;w)<ke;3f2>N4801C>h<4i0:4>5<<a8236=44i3f5>5<<g;?36=44}c;2`?6=<3:1<v*=dd82a3=O;930D?k=;h3;3?6=3`;347>5;h0g2?6=3f8>47>5;|`:5`<72=0;6=u+2eg95`0<@::27E<j2:k2<2<722c:454?::k1`3<722e9954?::\7fa=4`=83>1<7>t$3ff>4c13A9;56F=e39j5=1=831b=5650;9j6a0=831d>8650;9~f<47290?6=4?{%0ga?7b>2B8<45G2d08m4>02900e<67:188m7b12900c?;7:188yg?5:3:187>50z&1``<6m?1C?=74H3g1?l7??3:17d?78;29?l4c>3:17b<:8;29?xd?m<0;694?:1y'6ac=9>l0D>>6;I0f6>"61:0:7d86:188m23=831b>8h50;9l6ge=831vn8jm:181>5<7s-8oi7?k7:J04<=O:l80e<69:188k73?2900qo;67;296?6=8r.9hh4>d69K75?<@;o97d?76;29?j4203:17pl;be83>7<729q/>ik51e58L66>3A8n>6g>8783>>i5=10;66sm54194?4=83:p(?jj:0f4?M5712B9i?5f19494?=h:<21<75rb55:>5<5290;w)<ke;3g3>N4801C>h<4i0:5>5<<g;?36=44}c73b?6=:3:1<v*=dd82`2=O;930D?k=;h3;2?6=3f8>47>5;|`770<72;0;6=u+2eg95a1<@::27E<j2:k2<3<722e9954?::\7fa<53=8381<7>t$3ff>4b03A9;56F=e39j5=0=831d>8650;9~f2e?29096=4?{%0ga?7c?2B8<45G2d08m4>12900c?;7:188ygd1:3:1o?4?:1y'6ac=:kn0D>>6;I0f6>\103ip>;4=7;0:>7g=;;08?7=;:2796a<5m39=6>95}o313?6<f8>26=5+2e5952d<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o:7<:7:&2`1<53-;o97<4$0:a>6=#91i1?6*>8e80?!7?m390(<6i:29'5<6=;2.:h54>7c9'5a?=9>h0(?lj:374?!4en38>;6`=d082?k4c:3;0(?j7:374?!7>9390(<7=:29'6c7=:o:0(?h=:3d3?k4a;3;0b?h;:09'6ag=:2.:594<;%3:1?5<,83=6>5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;2h7=4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-8on7<4i7c94?=n>k0;66g80;29?l162900e<98:188m7b42900e?j;:188m41?2900e?jl:188m7bc2900c;m50;9l2a<722c?m7>5$37f>1?<f;?o6=54i5:94?"5=l0?56`=5e82?>o3?3:1(?;j:5;8j73c2;10e9850;&11`<312d99i4<;:k71?6=,;?n6974n37g>1=<a<91<7*=5d87=>h5=m0>76g:2;29 73b2=30b?;k:798m07=83.99h4;9:l11a<032c><7>5$37f>1?<f;?o6554i5d94?"5=l0?56`=5e8:?>o3m3:1(?;j:5;8j73c2h10e9j50;&11`<312d99i4m;:k7g?6=,;?n6974n37g>f=<a=h1<7*=5d87=>h5=m0o76g;4;29 73b2=30b?;k:d98m0g=83.99h4:9:l11a<732c>47>5$37f>0?<f;?o6<54i4594?"5=l0>56`=5e81?>o2>3:1(?;j:4;8j73c2:10e8;50;&11`<212d99i4;;:k57?6=,;?n6874n37g>0=<a?81<7*=5d86=>h5=m0=76g91;29 73b2<30b?;k:698m36=83.99h4:9:l11a<?32c>j7>5$37f>0?<f;?o6454i4g94?"5=l0>56`=5e8b?>o2l3:1(?;j:4;8j73c2k10e8m50;&11`<212d99i4l;:k6f?6=,;?n6874n37g>a=<a<>1<7*=5d86=>h5=m0n76gm2;29 73b2k;0b?;k:198mg6=83.99h4m1:l11a<632cji7>5$37f>g7<f;?o6?54i`f94?"5=l0i=6`=5e80?>ofk3:1(?;j:c38j73c2=10ell50;&11`<e92d99i4:;:kbe?6=,;?n6o?4n37g>3=<ah31<7*=5d8a5>h5=m0<76gn8;29 73b2k;0b?;k:998md1=83.99h4m1:l11a<>32cj:7>5$37f>g7<f;?o6l54i`794?"5=l0i=6`=5e8a?>of;3:1(?;j:c38j73c2j10el<50;&11`<e92d99i4k;:kb5?6=,;?n6o?4n37g>`=<ah:1<7*=5d8a5>h5=m0m76g6f;29 73b2k;0b?;k:028?l?b290/>8k5b09m60b=9810e4j50;&11`<e92d99i4>2:9j=f<72-8>i7l>;o06`?7432c2n7>5$37f>g7<f;?o6<:4;h;b>5<#:<o1n<5a24f950=<ak31<7*=5d8a5>h5=m0::65fb983>!42m3h:7c<:d;34?>oe?3:1(?;j:c38j73c28207dl9:18'60c=j81e>8j51898mg3=83.99h4m1:l11a<6i21bn94?:%06a?d63g8>h7?m;:ka7?6=,;?n6o?4n37g>4e<3`km6=4+24g9f4=i:<n1=i54i`694?"5=l0i=6`=5e82a>=n100;6)<:e;`2?k42l3;m76gk3;29 73b2m80b?;k:198ma7=83.99h4k2:l11a<632chj7>5$37f>a4<f;?o6?54ibg94?"5=l0o>6`=5e80?>odl3:1(?;j:e08j73c2=10enm50;&11`<c:2d99i4:;:k`f?6=,;?n6i<4n37g>3=<ajk1<7*=5d8g6>h5=m0<76gl9;29 73b2m80b?;k:998mf>=83.99h4k2:l11a<>32ch;7>5$37f>a4<f;?o6l54ib494?"5=l0o>6`=5e8a?>od<3:1(?;j:e08j73c2j10en=50;&11`<c:2d99i4k;:k`6?6=,;?n6i<4n37g>`=<aj;1<7*=5d8g6>h5=m0m76gl0;29 73b2m80b?;k:028?lda290/>8k5d39m60b=9810eok50;&11`<c:2d99i4>2:9jfa<72-8>i7j=;o06`?7432cio7>5$37f>a4<f;?o6<:4;h`a>5<#:<o1h?5a24f950=<amk1<7*=5d8g6>h5=m0::65fd883>!42m3n97c<:d;34?>oc03:1(?;j:e08j73c28207dj8:18'60c=l;1e>8j51898ma0=83.99h4k2:l11a<6i21bh84?:%06a?b53g8>h7?m;:kg0?6=,;?n6i<4n37g>4e<3`n;6=4+24g9`7=i:<n1=i54ib794?"5=l0o>6`=5e82a>=njh0;6)<:e;f1?k42l3;m76g>0183>!42m3lm7c<:d;28?l`b290/>8k5fg9m60b=921bji4?:%06a?`a3g8>h7<4;hd`>5<#:<o1jk5a24f97>=n99?1<7*=5d8241=i:<n1<65f11194?"5=l0:<95a24f95>=n9981<7*=5d8241=i:<n1>65f11394?"5=l0:<95a24f97>=n:lk1<7*=5d81a<=i:<n1<65f2d:94?"5=l09i45a24f95>=n:l=1<7*=5d81a<=i:<n1>65f2d494?"5=l09i45a24f97>=n:ll1<7*=5d81a`=i:<n1<65f2df94?"5=l09ih5a24f95>=n:li1<7*=5d81a`=i:<n1>65f2d`94?"5=l09ih5a24f97>=h98l1<7*=5d825`=i:<n1<65`10f94?"5=l0:=h5a24f95>=h98h1<7*=5d825`=i:<n1>65`10c94?"5=l0:=h5a24f97>=h9831<7*=5d825`=i:<n1865`10:94?"5=l0:=h5a24f91>=h98=1<7*=5d825`=i:<n1:65`10494?"5=l0:=h5a24f93>=h98?1<7*=5d825`=i:<n1465`10694?"5=l0:=h5a24f9=>=h9891<7*=5d825`=i:<n1m65`10094?"5=l0:=h5a24f9f>=h98:1<7*=5d825`=i:<n1o65`11d94?"5=l0:=h5a24f9`>=h99o1<7*=5d825`=i:<n1i65`11f94?"5=l0:=h5a24f9b>=h99i1<7*=5d825`=i:<n1==54o02a>5<#:<o1=<k4n37g>47<3f;;m7>5$37f>47b3g8>h7?=;:m24<<72-8>i7?>e:l11a<6;21d==650;&11`<69l1e>8j51598k460290/>8k510g8j73c28?07b?=6;29 73b28;n7c<:d;35?>i6:<0;6)<:e;32a>h5=m0:;65`13694?"5=l0:=h5a24f95==<g8886=4+24g954c<f;?o6<74;n316?6=,;?n6<?j;o06`?7f32e:><4?:%06a?76m2d99i4>b:9l576=83.99h4>1d9m60b=9j10c<?l:18'60c=98o0b?;k:0f8?j7693:1(?;j:03f?k42l3;n76a>0783>!42m3;:i6`=5e82b>=h9=;1<7*=5d8205=i:<n1<65`12d94?"5=l0:8=5a24f95>=h9:n1<7*=5d8205=i:<n1>65`12a94?"5=l0:8=5a24f97>=h9:h1<7*=5d8205=i:<n1865`12c94?"5=l0:8=5a24f91>=h9:31<7*=5d8205=i:<n1:65`12:94?"5=l0:8=5a24f93>=h9:=1<7*=5d8205=i:<n1465`12494?"5=l0:8=5a24f9=>=h9:?1<7*=5d8205=i:<n1m65`12694?"5=l0:8=5a24f9f>=h9:81<7*=5d8205=i:<n1o65`12394?"5=l0:8=5a24f9`>=h9::1<7*=5d8205=i:<n1i65`13d94?"5=l0:8=5a24f9b>=h9;o1<7*=5d8205=i:<n1==54o00g>5<#:<o1=9>4n37g>47<3f;9o7>5$37f>4273g8>h7?=;:m26g<72-8>i7?;0:l11a<6;21d=?o50;&11`<6<91e>8j51598k44>290/>8k51528j73c28?07b?;8;29 73b28>;7c<:d;35?>i6<>0;6)<:e;374>h5=m0:;65`15494?"5=l0:8=5a24f95==<g8>>6=4+24g9516<f;?o6<74;n370?6=,;?n6<:?;o06`?7f32e:8>4?:%06a?7382d99i4>b:9l514=83.99h4>419m60b=9j10c<=j:18'60c=9=:0b?;k:0f8?j74;3:1(?;j:063?k42l3;n76a>2983>!42m3;?<6`=5e82b>=h9=o1<7*=5d820a=i:<n1<65`15a94?"5=l0:8i5a24f95>=h9=h1<7*=5d820a=i:<n1>65`15c94?"5=l0:8i5a24f97>=h9<91<7*=5d8217=i:<n1<65`14394?"5=l0:9?5a24f95>=h9<:1<7*=5d8217=i:<n1>65`15d94?"5=l0:9?5a24f97>=zjk<o6=4l2;294~"5ll09ni5G31;8L7c53S<36nu=6;04>7?=:h08>7=<:26970<5l38n6>85368~j440291e=9750:&1`2<6?k1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j9:374?!7c<380(<j::39'5=d=;2.:4n4<;%3;`?5<,82n6>5+19d97>"619087)?k8;34f>"6l00:;o5+2cg9601<,;hm6?;8;o0g5?7<f;n96<5+2e:9601<,83:6>5+18097>"5n809j=5+2g096c6<f;l86<5a2g695>"5lh097)?64;18 4?22:1/=4853:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(?jm:39j2d<722c=n7>5;h53>5<<a>;1<75f16594?=n:m91<75f2e694?=n9>21<75f2ea94?=n:mn1<75`6b83>>i1l3:17d:n:18'60c=<01e>8j50:9j0=<72-8>i7:6;o06`?7<3`><6=4+24g90<=i:<n1>65f4783>!42m3>27c<:d;18?l22290/>8k5489m60b=<21b9>4?:%06a?2>3g8>h7;4;h71>5<#:<o1845a24f92>=n=80;6)<:e;6:?k42l3=07d;?:18'60c=<01e>8j58:9j0c<72-8>i7:6;o06`??<3`>n6=4+24g90<=i:<n1m65f4e83>!42m3>27c<:d;`8?l2d290/>8k5489m60b=k21b8o4?:%06a?2>3g8>h7j4;h67>5<#:<o1845a24f9a>=n=h0;6)<:e;7:?k42l3:07d;7:18'60c==01e>8j51:9j12<72-8>i7;6;o06`?4<3`?=6=4+24g91<=i:<n1?65f5483>!42m3?27c<:d;68?l04290/>8k5589m60b==21b:?4?:%06a?3>3g8>h784;h42>5<#:<o1945a24f93>=n>90;6)<:e;7:?k42l3207d;i:18'60c==01e>8j59:9j1`<72-8>i7;6;o06`?g<3`?o6=4+24g91<=i:<n1n65f5b83>!42m3?27c<:d;a8?l3e290/>8k5589m60b=l21b994?:%06a?3>3g8>h7k4;h`1>5<#:<o1n<5a24f94>=nj90;6)<:e;`2?k42l3;07doj:18'60c=j81e>8j52:9jea<72-8>i7l>;o06`?5<3`kh6=4+24g9f4=i:<n1865fac83>!42m3h:7c<:d;78?lgf290/>8k5b09m60b=>21bm44?:%06a?d63g8>h794;hc;>5<#:<o1n<5a24f9<>=ni>0;6)<:e;`2?k42l3307do9:18'60c=j81e>8j5a:9je0<72-8>i7l>;o06`?d<3`k86=4+24g9f4=i:<n1o65fa383>!42m3h:7c<:d;f8?lg6290/>8k5b09m60b=m21bm=4?:%06a?d63g8>h7h4;h;e>5<#:<o1n<5a24f955=<a0o1<7*=5d8a5>h5=m0:=65f9e83>!42m3h:7c<:d;31?>o>k3:1(?;j:c38j73c28907d7m:18'60c=j81e>8j51598m<g=83.99h4m1:l11a<6=21bn44?:%06a?d63g8>h7?9;:ka<?6=,;?n6o?4n37g>41<3`h<6=4+24g9f4=i:<n1=554ic494?"5=l0i=6`=5e82=>=nj<0;6)<:e;`2?k42l3;j76gm4;29 73b2k;0b?;k:0`8?ld4290/>8k5b09m60b=9j10elh50;&11`<e92d99i4>d:9je1<72-8>i7l>;o06`?7b32c257>5$37f>g7<f;?o6<h4;hf0>5<#:<o1h?5a24f94>=nl80;6)<:e;f1?k42l3;07dmi:18'60c=l;1e>8j52:9jg`<72-8>i7j=;o06`?5<3`io6=4+24g9`7=i:<n1865fcb83>!42m3n97c<:d;78?lee290/>8k5d39m60b=>21bol4?:%06a?b53g8>h794;ha:>5<#:<o1h?5a24f9<>=nk10;6)<:e;f1?k42l3307dm8:18'60c=l;1e>8j5a:9jg3<72-8>i7j=;o06`?d<3`i?6=4+24g9`7=i:<n1o65fc283>!42m3n97c<:d;f8?le5290/>8k5d39m60b=m21bo<4?:%06a?b53g8>h7h4;ha3>5<#:<o1h?5a24f955=<akl1<7*=5d8g6>h5=m0:=65fbd83>!42m3n97c<:d;31?>oel3:1(?;j:e08j73c28907dll:18'60c=l;1e>8j51598mgd=83.99h4k2:l11a<6=21bhl4?:%06a?b53g8>h7?9;:kg=?6=,;?n6i<4n37g>41<3`n36=4+24g9`7=i:<n1=554ie594?"5=l0o>6`=5e82=>=nl?0;6)<:e;f1?k42l3;j76gk5;29 73b2m80b?;k:0`8?lb3290/>8k5d39m60b=9j10ei>50;&11`<c:2d99i4>d:9jg0<72-8>i7j=;o06`?7b32cim7>5$37f>a4<f;?o6<h4;h334?6=,;?n6kh4n37g>5=<aoo1<7*=5d8eb>h5=m0:76gid;29 73b2ol0b?;k:398mce=83.99h4if:l11a<432c:<84?:%06a?77<2d99i4?;:k246<72-8>i7??4:l11a<632c:<?4?:%06a?77<2d99i4=;:k244<72-8>i7??4:l11a<432c9il4?:%06a?4b12d99i4?;:k1a=<72-8>i7<j9:l11a<632c9i:4?:%06a?4b12d99i4=;:k1a3<72-8>i7<j9:l11a<432c9ik4?:%06a?4bm2d99i4?;:k1aa<72-8>i7<je:l11a<632c9in4?:%06a?4bm2d99i4=;:k1ag<72-8>i7<je:l11a<432e:=k4?:%06a?76m2d99i4?;:m25a<72-8>i7?>e:l11a<632e:=o4?:%06a?76m2d99i4=;:m25d<72-8>i7?>e:l11a<432e:=44?:%06a?76m2d99i4;;:m25=<72-8>i7?>e:l11a<232e:=:4?:%06a?76m2d99i49;:m253<72-8>i7?>e:l11a<032e:=84?:%06a?76m2d99i47;:m251<72-8>i7?>e:l11a<>32e:=>4?:%06a?76m2d99i4n;:m257<72-8>i7?>e:l11a<e32e:==4?:%06a?76m2d99i4l;:m24c<72-8>i7?>e:l11a<c32e:<h4?:%06a?76m2d99i4j;:m24a<72-8>i7?>e:l11a<a32e:<n4?:%06a?76m2d99i4>0:9l55d=83.99h4>1d9m60b=9810c<>n:18'60c=98o0b?;k:008?j7713:1(?;j:03f?k42l3;876a>0983>!42m3;:i6`=5e820>=h99=1<7*=5d825`=i:<n1=854o005>5<#:<o1=<k4n37g>40<3f;997>5$37f>47b3g8>h7?8;:m261<72-8>i7?>e:l11a<6021d=?=50;&11`<69l1e>8j51898k445290/>8k510g8j73c28k07b?=1;29 73b28;n7c<:d;3a?>i6:90;6)<:e;32a>h5=m0:o65`10a94?"5=l0:=h5a24f95a=<g8;:6=4+24g954c<f;?o6<k4;n332?6=,;?n6<?j;o06`?7a32e:8<4?:%06a?7382d99i4?;:m27c<72-8>i7?;0:l11a<632e:?i4?:%06a?7382d99i4=;:m27f<72-8>i7?;0:l11a<432e:?o4?:%06a?7382d99i4;;:m27d<72-8>i7?;0:l11a<232e:?44?:%06a?7382d99i49;:m27=<72-8>i7?;0:l11a<032e:?:4?:%06a?7382d99i47;:m273<72-8>i7?;0:l11a<>32e:?84?:%06a?7382d99i4n;:m271<72-8>i7?;0:l11a<e32e:??4?:%06a?7382d99i4l;:m274<72-8>i7?;0:l11a<c32e:?=4?:%06a?7382d99i4j;:m26c<72-8>i7?;0:l11a<a32e:>h4?:%06a?7382d99i4>0:9l57b=83.99h4>419m60b=9810c<<l:18'60c=9=:0b?;k:008?j75j3:1(?;j:063?k42l3;876a>2`83>!42m3;?<6`=5e820>=h9;31<7*=5d8205=i:<n1=854o06;>5<#:<o1=9>4n37g>40<3f;?;7>5$37f>4273g8>h7?8;:m203<72-8>i7?;0:l11a<6021d=9;50;&11`<6<91e>8j51898k423290/>8k51528j73c28k07b?;3;29 73b28>;7c<:d;3a?>i6<;0;6)<:e;374>h5=m0:o65`12g94?"5=l0:8=5a24f95a=<g8986=4+24g9516<f;?o6<k4;n31<?6=,;?n6<:?;o06`?7a32e:8h4?:%06a?73l2d99i4?;:m20f<72-8>i7?;d:l11a<632e:8o4?:%06a?73l2d99i4=;:m20d<72-8>i7?;d:l11a<432e:9>4?:%06a?72:2d99i4?;:m214<72-8>i7?:2:l11a<632e:9=4?:%06a?72:2d99i4=;:m20c<72-8>i7?:2:l11a<432win:950;a1>5<7s-8oi7<md:J04<=O:l80V;65cz05>71=:009m7==:21971<4=38o6?k537803?{i9;=1<6`>4883?!4c?3;<n6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e49601<,8n?6?5+1e796>"60k087)?7c;18 4>c2:1/=5k53:&2<c<43-;2<7=4$0f;>41e3-;o57?8b:&1f`<5=>1/>oh52458j7b6281e>i<51:&1`=<5=>1/=4?53:&2=7<43-8m=7<i0:&1b7<5n91e>k=51:l1b1<63-8om7<4$0;7>6=#90?1?6*>9780?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0c7>6=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+2e`96>o1i3:17d8m:188m26=831b;<4?::k232<722c9h>4?::k1`1<722c:;54?::k1`f<722c9hi4?::m5g?6=3f<o6=44i5c94?"5=l0?56`=5e83?>o303:1(?;j:5;8j73c2810e9950;&11`<312d99i4=;:k72?6=,;?n6974n37g>6=<a=?1<7*=5d87=>h5=m0?76g:3;29 73b2=30b?;k:498m04=83.99h4;9:l11a<132c>=7>5$37f>1?<f;?o6:54i4294?"5=l0?56`=5e8;?>o3n3:1(?;j:5;8j73c2010e9k50;&11`<312d99i4n;:k7`?6=,;?n6974n37g>g=<a=i1<7*=5d87=>h5=m0h76g;b;29 73b2=30b?;k:e98m12=83.99h4;9:l11a<b32c>m7>5$37f>0?<f;?o6=54i4:94?"5=l0>56`=5e82?>o2?3:1(?;j:4;8j73c2;10e8850;&11`<212d99i4<;:k61?6=,;?n6874n37g>1=<a?91<7*=5d86=>h5=m0>76g92;29 73b2<30b?;k:798m37=83.99h4:9:l11a<032c=<7>5$37f>0?<f;?o6554i4d94?"5=l0>56`=5e8:?>o2m3:1(?;j:4;8j73c2h10e8j50;&11`<212d99i4m;:k6g?6=,;?n6874n37g>f=<a<h1<7*=5d86=>h5=m0o76g:4;29 73b2<30b?;k:d98mg4=83.99h4m1:l11a<732ci<7>5$37f>g7<f;?o6<54i`g94?"5=l0i=6`=5e81?>ofl3:1(?;j:c38j73c2:10elm50;&11`<e92d99i4;;:kbf?6=,;?n6o?4n37g>0=<ahk1<7*=5d8a5>h5=m0=76gn9;29 73b2k;0b?;k:698md>=83.99h4m1:l11a<?32cj;7>5$37f>g7<f;?o6454i`494?"5=l0i=6`=5e8b?>of=3:1(?;j:c38j73c2k10el=50;&11`<e92d99i4l;:kb6?6=,;?n6o?4n37g>a=<ah;1<7*=5d8a5>h5=m0n76gn0;29 73b2k;0b?;k:g98m<`=83.99h4m1:l11a<6821b5h4?:%06a?d63g8>h7?>;:k:`?6=,;?n6o?4n37g>44<3`3h6=4+24g9f4=i:<n1=>54i8`94?"5=l0i=6`=5e820>=n1h0;6)<:e;`2?k42l3;>76gm9;29 73b2k;0b?;k:048?ld?290/>8k5b09m60b=9>10eo950;&11`<e92d99i4>8:9jf3<72-8>i7l>;o06`?7>32ci97>5$37f>g7<f;?o6<o4;h`7>5<#:<o1n<5a24f95g=<ak91<7*=5d8a5>h5=m0:o65fag83>!42m3h:7c<:d;3g?>of<3:1(?;j:c38j73c28o07d76:18'60c=j81e>8j51g98ma5=83.99h4k2:l11a<732co=7>5$37f>a4<f;?o6<54ibd94?"5=l0o>6`=5e81?>odm3:1(?;j:e08j73c2:10enj50;&11`<c:2d99i4;;:k`g?6=,;?n6i<4n37g>0=<ajh1<7*=5d8g6>h5=m0=76gla;29 73b2m80b?;k:698mf?=83.99h4k2:l11a<?32ch47>5$37f>a4<f;?o6454ib594?"5=l0o>6`=5e8b?>od>3:1(?;j:e08j73c2k10en:50;&11`<c:2d99i4l;:k`7?6=,;?n6i<4n37g>a=<aj81<7*=5d8g6>h5=m0n76gl1;29 73b2m80b?;k:g98mf6=83.99h4k2:l11a<6821bnk4?:%06a?b53g8>h7?>;:kaa?6=,;?n6i<4n37g>44<3`ho6=4+24g9`7=i:<n1=>54ica94?"5=l0o>6`=5e820>=njk0;6)<:e;f1?k42l3;>76gka;29 73b2m80b?;k:048?lb>290/>8k5d39m60b=9>10ei650;&11`<c:2d99i4>8:9j`2<72-8>i7j=;o06`?7>32co:7>5$37f>a4<f;?o6<o4;hf6>5<#:<o1h?5a24f95g=<am>1<7*=5d8g6>h5=m0:o65fd183>!42m3n97c<:d;3g?>od=3:1(?;j:e08j73c28o07dln:18'60c=l;1e>8j51g98m467290/>8k5fg9m60b=821bjh4?:%06a?`a3g8>h7?4;hdg>5<#:<o1jk5a24f96>=nnj0;6)<:e;de?k42l3907d??5;29 73b28:?7c<:d;28?l77;3:1(?;j:027?k42l3;07d??2;29 73b28:?7c<:d;08?l7793:1(?;j:027?k42l3907d<ja;29 73b2;o27c<:d;28?l4b03:1(?;j:3g:?k42l3;07d<j7;29 73b2;o27c<:d;08?l4b>3:1(?;j:3g:?k42l3907d<jf;29 73b2;on7c<:d;28?l4bl3:1(?;j:3gf?k42l3;07d<jc;29 73b2;on7c<:d;08?l4bj3:1(?;j:3gf?k42l3907b?>f;29 73b28;n7c<:d;28?j76l3:1(?;j:03f?k42l3;07b?>b;29 73b28;n7c<:d;08?j76i3:1(?;j:03f?k42l3907b?>9;29 73b28;n7c<:d;68?j7603:1(?;j:03f?k42l3?07b?>7;29 73b28;n7c<:d;48?j76>3:1(?;j:03f?k42l3=07b?>5;29 73b28;n7c<:d;:8?j76<3:1(?;j:03f?k42l3307b?>3;29 73b28;n7c<:d;c8?j76:3:1(?;j:03f?k42l3h07b?>0;29 73b28;n7c<:d;a8?j77n3:1(?;j:03f?k42l3n07b??e;29 73b28;n7c<:d;g8?j77l3:1(?;j:03f?k42l3l07b??c;29 73b28;n7c<:d;33?>i68k0;6)<:e;32a>h5=m0:=65`11c94?"5=l0:=h5a24f957=<g8:26=4+24g954c<f;?o6<=4;n33<?6=,;?n6<?j;o06`?7332e:<:4?:%06a?76m2d99i4>5:9l570=83.99h4>1d9m60b=9?10c<<::18'60c=98o0b?;k:058?j75<3:1(?;j:03f?k42l3;376a>2283>!42m3;:i6`=5e82=>=h9;81<7*=5d825`=i:<n1=l54o002>5<#:<o1=<k4n37g>4d<3f;9<7>5$37f>47b3g8>h7?l;:m25f<72-8>i7?>e:l11a<6l21d=<?50;&11`<69l1e>8j51d98k461290/>8k510g8j73c28l07b?;1;29 73b28>;7c<:d;28?j74n3:1(?;j:063?k42l3;07b?<d;29 73b28>;7c<:d;08?j74k3:1(?;j:063?k42l3907b?<b;29 73b28>;7c<:d;68?j74i3:1(?;j:063?k42l3?07b?<9;29 73b28>;7c<:d;48?j7403:1(?;j:063?k42l3=07b?<7;29 73b28>;7c<:d;:8?j74>3:1(?;j:063?k42l3307b?<5;29 73b28>;7c<:d;c8?j74<3:1(?;j:063?k42l3h07b?<2;29 73b28>;7c<:d;a8?j7493:1(?;j:063?k42l3n07b?<0;29 73b28>;7c<:d;g8?j75n3:1(?;j:063?k42l3l07b?=e;29 73b28>;7c<:d;33?>i6:m0;6)<:e;374>h5=m0:=65`13a94?"5=l0:8=5a24f957=<g88i6=4+24g9516<f;?o6<=4;n31e?6=,;?n6<:?;o06`?7332e:>44?:%06a?7382d99i4>5:9l51>=83.99h4>419m60b=9?10c<:8:18'60c=9=:0b?;k:058?j73>3:1(?;j:063?k42l3;376a>4483>!42m3;?<6`=5e82=>=h9=>1<7*=5d8205=i:<n1=l54o060>5<#:<o1=9>4n37g>4d<3f;?>7>5$37f>4273g8>h7?l;:m27`<72-8>i7?;0:l11a<6l21d=>=50;&11`<6<91e>8j51d98k44?290/>8k51528j73c28l07b?;e;29 73b28>o7c<:d;28?j73k3:1(?;j:06g?k42l3;07b?;b;29 73b28>o7c<:d;08?j73i3:1(?;j:06g?k42l3907b?:3;29 73b28?97c<:d;28?j7293:1(?;j:071?k42l3;07b?:0;29 73b28?97c<:d;08?j73n3:1(?;j:071?k42l3907plm7983>f4=83:p(?jj:3`g?M5712B9i?5U698`\7f70=:>0957<n:20976<4<39>6?j52d802?502td:>:4?;o37=?6<,;n<6<9m;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`3<5=>1/=i:52:&2`0<53-;3n7=4$0:`>6=#91n1?6*>8d80?!7?n390(<7?:29'5a>=9>h0(<j6:05a?!4em38>;6*=bg8112=i:m;1=6`=d382?!4c038>;6*>9080?!7>:390(?h>:3d3?!4a:38m<6`=f282?k4a<3;0(?jn:39'5<2=;2.:584<;%3:2?5<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&1`g<53`<j6=44i7`94?=n?90;66g81;29?l70?3:17d<k3;29?l4c<3:17d?88;29?l4ck3:17d<kd;29?j0d2900c;j50;9j0d<72-8>i7:6;o06`?6<3`>36=4+24g90<=i:<n1=65f4683>!42m3>27c<:d;08?l21290/>8k5489m60b=;21b884?:%06a?2>3g8>h7:4;h70>5<#:<o1845a24f91>=n=;0;6)<:e;6:?k42l3<07d;>:18'60c=<01e>8j57:9j15<72-8>i7:6;o06`?><3`>m6=4+24g90<=i:<n1565f4d83>!42m3>27c<:d;c8?l2c290/>8k5489m60b=j21b8n4?:%06a?2>3g8>h7m4;h6a>5<#:<o1845a24f9`>=n<=0;6)<:e;6:?k42l3o07d;n:18'60c==01e>8j50:9j1=<72-8>i7;6;o06`?7<3`?<6=4+24g91<=i:<n1>65f5783>!42m3?27c<:d;18?l32290/>8k5589m60b=<21b:>4?:%06a?3>3g8>h7;4;h41>5<#:<o1945a24f92>=n>80;6)<:e;7:?k42l3=07d8?:18'60c==01e>8j58:9j1c<72-8>i7;6;o06`??<3`?n6=4+24g91<=i:<n1m65f5e83>!42m3?27c<:d;`8?l3d290/>8k5589m60b=k21b9o4?:%06a?3>3g8>h7j4;h77>5<#:<o1945a24f9a>=nj;0;6)<:e;`2?k42l3:07dl?:18'60c=j81e>8j51:9je`<72-8>i7l>;o06`?4<3`ko6=4+24g9f4=i:<n1?65fab83>!42m3h:7c<:d;68?lge290/>8k5b09m60b==21bml4?:%06a?d63g8>h784;hc:>5<#:<o1n<5a24f93>=ni10;6)<:e;`2?k42l3207do8:18'60c=j81e>8j59:9je3<72-8>i7l>;o06`?g<3`k>6=4+24g9f4=i:<n1n65fa283>!42m3h:7c<:d;a8?lg5290/>8k5b09m60b=l21bm<4?:%06a?d63g8>h7k4;hc3>5<#:<o1n<5a24f9b>=n1o0;6)<:e;`2?k42l3;;76g6e;29 73b2k;0b?;k:038?l?c290/>8k5b09m60b=9;10e4m50;&11`<e92d99i4>3:9j=g<72-8>i7l>;o06`?7332c2m7>5$37f>g7<f;?o6<;4;h`:>5<#:<o1n<5a24f953=<ak21<7*=5d8a5>h5=m0:;65fb683>!42m3h:7c<:d;3;?>oe>3:1(?;j:c38j73c28307dl::18'60c=j81e>8j51`98mg2=83.99h4m1:l11a<6j21bn>4?:%06a?d63g8>h7?l;:kbb?6=,;?n6o?4n37g>4b<3`k?6=4+24g9f4=i:<n1=h54i8;94?"5=l0i=6`=5e82b>=nl:0;6)<:e;f1?k42l3:07dj>:18'60c=l;1e>8j51:9jgc<72-8>i7j=;o06`?4<3`in6=4+24g9`7=i:<n1?65fce83>!42m3n97c<:d;68?led290/>8k5d39m60b==21boo4?:%06a?b53g8>h784;hab>5<#:<o1h?5a24f93>=nk00;6)<:e;f1?k42l3207dm7:18'60c=l;1e>8j59:9jg2<72-8>i7j=;o06`?g<3`i=6=4+24g9`7=i:<n1n65fc583>!42m3n97c<:d;a8?le4290/>8k5d39m60b=l21bo?4?:%06a?b53g8>h7k4;ha2>5<#:<o1h?5a24f9b>=nk90;6)<:e;f1?k42l3;;76gmf;29 73b2m80b?;k:038?ldb290/>8k5d39m60b=9;10eoj50;&11`<c:2d99i4>3:9jff<72-8>i7j=;o06`?7332cin7>5$37f>a4<f;?o6<;4;hfb>5<#:<o1h?5a24f953=<am31<7*=5d8g6>h5=m0:;65fd983>!42m3n97c<:d;3;?>oc?3:1(?;j:e08j73c28307dj9:18'60c=l;1e>8j51`98ma3=83.99h4k2:l11a<6j21bh94?:%06a?b53g8>h7?l;:kg4?6=,;?n6i<4n37g>4b<3`i>6=4+24g9`7=i:<n1=h54icc94?"5=l0o>6`=5e82b>=n99:1<7*=5d8eb>h5=m0;76gie;29 73b2ol0b?;k:098mcb=83.99h4if:l11a<532cmo7>5$37f>c`<f;?o6>54i026>5<#:<o1==:4n37g>5=<a8:86=4+24g9552<f;?o6<54i021>5<#:<o1==:4n37g>7=<a8::6=4+24g9552<f;?o6>54i3gb>5<#:<o1>h74n37g>5=<a;o36=4+24g96`?<f;?o6<54i3g4>5<#:<o1>h74n37g>7=<a;o=6=4+24g96`?<f;?o6>54i3ge>5<#:<o1>hk4n37g>5=<a;oo6=4+24g96`c<f;?o6<54i3g`>5<#:<o1>hk4n37g>7=<a;oi6=4+24g96`c<f;?o6>54o03e>5<#:<o1=<k4n37g>5=<g8;o6=4+24g954c<f;?o6<54o03a>5<#:<o1=<k4n37g>7=<g8;j6=4+24g954c<f;?o6>54o03:>5<#:<o1=<k4n37g>1=<g8;36=4+24g954c<f;?o6854o034>5<#:<o1=<k4n37g>3=<g8;=6=4+24g954c<f;?o6:54o036>5<#:<o1=<k4n37g>==<g8;?6=4+24g954c<f;?o6454o030>5<#:<o1=<k4n37g>d=<g8;96=4+24g954c<f;?o6o54o033>5<#:<o1=<k4n37g>f=<g8:m6=4+24g954c<f;?o6i54o02f>5<#:<o1=<k4n37g>`=<g8:o6=4+24g954c<f;?o6k54o02`>5<#:<o1=<k4n37g>46<3f;;n7>5$37f>47b3g8>h7?>;:m24d<72-8>i7?>e:l11a<6:21d==750;&11`<69l1e>8j51298k46?290/>8k510g8j73c28>07b??7;29 73b28;n7c<:d;36?>i6:?0;6)<:e;32a>h5=m0::65`13794?"5=l0:=h5a24f952=<g88?6=4+24g954c<f;?o6<64;n317?6=,;?n6<?j;o06`?7>32e:>?4?:%06a?76m2d99i4>a:9l577=83.99h4>1d9m60b=9k10c<<?:18'60c=98o0b?;k:0a8?j76k3:1(?;j:03f?k42l3;o76a>1083>!42m3;:i6`=5e82a>=h99<1<7*=5d825`=i:<n1=k54o062>5<#:<o1=9>4n37g>5=<g89m6=4+24g9516<f;?o6<54o01g>5<#:<o1=9>4n37g>7=<g89h6=4+24g9516<f;?o6>54o01a>5<#:<o1=9>4n37g>1=<g89j6=4+24g9516<f;?o6854o01:>5<#:<o1=9>4n37g>3=<g8936=4+24g9516<f;?o6:54o014>5<#:<o1=9>4n37g>==<g89=6=4+24g9516<f;?o6454o016>5<#:<o1=9>4n37g>d=<g89?6=4+24g9516<f;?o6o54o011>5<#:<o1=9>4n37g>f=<g89:6=4+24g9516<f;?o6i54o013>5<#:<o1=9>4n37g>`=<g88m6=4+24g9516<f;?o6k54o00f>5<#:<o1=9>4n37g>46<3f;9h7>5$37f>4273g8>h7?>;:m26f<72-8>i7?;0:l11a<6:21d=?l50;&11`<6<91e>8j51298k44f290/>8k51528j73c28>07b?=9;29 73b28>;7c<:d;36?>i6<10;6)<:e;374>h5=m0::65`15594?"5=l0:8=5a24f952=<g8>=6=4+24g9516<f;?o6<64;n371?6=,;?n6<:?;o06`?7>32e:894?:%06a?7382d99i4>a:9l515=83.99h4>419m60b=9k10c<:=:18'60c=9=:0b?;k:0a8?j74m3:1(?;j:063?k42l3;o76a>3283>!42m3;?<6`=5e82a>=h9;21<7*=5d8205=i:<n1=k54o06f>5<#:<o1=9j4n37g>5=<g8>h6=4+24g951b<f;?o6<54o06a>5<#:<o1=9j4n37g>7=<g8>j6=4+24g951b<f;?o6>54o070>5<#:<o1=8<4n37g>5=<g8?:6=4+24g9504<f;?o6<54o073>5<#:<o1=8<4n37g>7=<g8>m6=4+24g9504<f;?o6>54}c`4=?6=k;0;6=u+2eg96gb<@::27E<j2:X5<?e|:?09;7<6:3c977<4;39?6>;52e81a?512:=1qc?=7;28j42>291/>i9516`8 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c>38>;6*>d581?!7c=380(<6m:29'5=e=;2.:4i4<;%3;a?5<,82m6>5+18297>"6l10:;o5+1e;952d<,;hn6?;8;%0ab?42?2d9h<4>;o0g6?7<,;n36?;8;%3:5?5<,8396>5+2g396c6<,;l96?h?;o0e7?7<f;l?6<5+2ec96>"61=087)?65;18 4?12:1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!4cj380e;o50;9j2g<722c<<7>5;h52>5<<a8=<6=44i3f0>5<<a;n?6=44i05;>5<<a;nh6=44i3fg>5<<g?i1<75`6e83>>o3i3:1(?;j:5;8j73c2910e9650;&11`<312d99i4>;:k73?6=,;?n6974n37g>7=<a=<1<7*=5d87=>h5=m0876g;5;29 73b2=30b?;k:598m05=83.99h4;9:l11a<232c>>7>5$37f>1?<f;?o6;54i4394?"5=l0?56`=5e84?>o283:1(?;j:5;8j73c2110e9h50;&11`<312d99i46;:k7a?6=,;?n6974n37g>d=<a=n1<7*=5d87=>h5=m0i76g;c;29 73b2=30b?;k:b98m1d=83.99h4;9:l11a<c32c?87>5$37f>1?<f;?o6h54i4c94?"5=l0>56`=5e83?>o203:1(?;j:4;8j73c2810e8950;&11`<212d99i4=;:k62?6=,;?n6874n37g>6=<a<?1<7*=5d86=>h5=m0?76g93;29 73b2<30b?;k:498m34=83.99h4:9:l11a<132c==7>5$37f>0?<f;?o6:54i7294?"5=l0>56`=5e8;?>o2n3:1(?;j:4;8j73c2010e8k50;&11`<212d99i4n;:k6`?6=,;?n6874n37g>g=<a<i1<7*=5d86=>h5=m0h76g:b;29 73b2<30b?;k:e98m02=83.99h4:9:l11a<b32ci>7>5$37f>g7<f;?o6=54ic294?"5=l0i=6`=5e82?>ofm3:1(?;j:c38j73c2;10elj50;&11`<e92d99i4<;:kbg?6=,;?n6o?4n37g>1=<ahh1<7*=5d8a5>h5=m0>76gna;29 73b2k;0b?;k:798md?=83.99h4m1:l11a<032cj47>5$37f>g7<f;?o6554i`594?"5=l0i=6`=5e8:?>of>3:1(?;j:c38j73c2h10el;50;&11`<e92d99i4m;:kb7?6=,;?n6o?4n37g>f=<ah81<7*=5d8a5>h5=m0o76gn1;29 73b2k;0b?;k:d98md6=83.99h4m1:l11a<a32c2j7>5$37f>g7<f;?o6<>4;h;f>5<#:<o1n<5a24f954=<a0n1<7*=5d8a5>h5=m0:>65f9b83>!42m3h:7c<:d;30?>o>j3:1(?;j:c38j73c28>07d7n:18'60c=j81e>8j51498mg?=83.99h4m1:l11a<6>21bn54?:%06a?d63g8>h7?8;:ka3?6=,;?n6o?4n37g>4><3`h=6=4+24g9f4=i:<n1=454ic794?"5=l0i=6`=5e82e>=nj=0;6)<:e;`2?k42l3;i76gm3;29 73b2k;0b?;k:0a8?lga290/>8k5b09m60b=9m10el:50;&11`<e92d99i4>e:9j=<<72-8>i7l>;o06`?7a32co?7>5$37f>a4<f;?o6=54ie394?"5=l0o>6`=5e82?>odn3:1(?;j:e08j73c2;10enk50;&11`<c:2d99i4<;:k``?6=,;?n6i<4n37g>1=<aji1<7*=5d8g6>h5=m0>76glb;29 73b2m80b?;k:798mfg=83.99h4k2:l11a<032ch57>5$37f>a4<f;?o6554ib:94?"5=l0o>6`=5e8:?>od?3:1(?;j:e08j73c2h10en850;&11`<c:2d99i4m;:k`0?6=,;?n6i<4n37g>f=<aj91<7*=5d8g6>h5=m0o76gl2;29 73b2m80b?;k:d98mf7=83.99h4k2:l11a<a32ch<7>5$37f>a4<f;?o6<>4;h`e>5<#:<o1h?5a24f954=<ako1<7*=5d8g6>h5=m0:>65fbe83>!42m3n97c<:d;30?>oek3:1(?;j:e08j73c28>07dlm:18'60c=l;1e>8j51498mag=83.99h4k2:l11a<6>21bh44?:%06a?b53g8>h7?8;:kg<?6=,;?n6i<4n37g>4><3`n<6=4+24g9`7=i:<n1=454ie494?"5=l0o>6`=5e82e>=nl<0;6)<:e;f1?k42l3;i76gk4;29 73b2m80b?;k:0a8?lb7290/>8k5d39m60b=9m10en;50;&11`<c:2d99i4>e:9jfd<72-8>i7j=;o06`?7a32c:<=4?:%06a?`a3g8>h7>4;hdf>5<#:<o1jk5a24f95>=nnm0;6)<:e;de?k42l3807dhl:18'60c=no1e>8j53:9j553=83.99h4>059m60b=821b===50;&11`<68=1e>8j51:9j554=83.99h4>059m60b=:21b==?50;&11`<68=1e>8j53:9j6`g=83.99h4=e89m60b=821b>h650;&11`<5m01e>8j51:9j6`1=83.99h4=e89m60b=:21b>h850;&11`<5m01e>8j53:9j6``=83.99h4=ed9m60b=821b>hj50;&11`<5ml1e>8j51:9j6`e=83.99h4=ed9m60b=:21b>hl50;&11`<5ml1e>8j53:9l54`=83.99h4>1d9m60b=821d=<j50;&11`<69l1e>8j51:9l54d=83.99h4>1d9m60b=:21d=<o50;&11`<69l1e>8j53:9l54?=83.99h4>1d9m60b=<21d=<650;&11`<69l1e>8j55:9l541=83.99h4>1d9m60b=>21d=<850;&11`<69l1e>8j57:9l543=83.99h4>1d9m60b=021d=<:50;&11`<69l1e>8j59:9l545=83.99h4>1d9m60b=i21d=<<50;&11`<69l1e>8j5b:9l546=83.99h4>1d9m60b=k21d==h50;&11`<69l1e>8j5d:9l55c=83.99h4>1d9m60b=m21d==j50;&11`<69l1e>8j5f:9l55e=83.99h4>1d9m60b=9910c<>m:18'60c=98o0b?;k:038?j77i3:1(?;j:03f?k42l3;976a>0883>!42m3;:i6`=5e827>=h9921<7*=5d825`=i:<n1=954o024>5<#:<o1=<k4n37g>43<3f;9:7>5$37f>47b3g8>h7?9;:m260<72-8>i7?>e:l11a<6?21d=?:50;&11`<69l1e>8j51998k444290/>8k510g8j73c28307b?=2;29 73b28;n7c<:d;3b?>i6:80;6)<:e;32a>h5=m0:n65`13294?"5=l0:=h5a24f95f=<g8;h6=4+24g954c<f;?o6<j4;n325?6=,;?n6<?j;o06`?7b32e:<;4?:%06a?76m2d99i4>f:9l517=83.99h4>419m60b=821d=>h50;&11`<6<91e>8j51:9l56b=83.99h4>419m60b=:21d=>m50;&11`<6<91e>8j53:9l56d=83.99h4>419m60b=<21d=>o50;&11`<6<91e>8j55:9l56?=83.99h4>419m60b=>21d=>650;&11`<6<91e>8j57:9l561=83.99h4>419m60b=021d=>850;&11`<6<91e>8j59:9l563=83.99h4>419m60b=i21d=>:50;&11`<6<91e>8j5b:9l564=83.99h4>419m60b=k21d=>?50;&11`<6<91e>8j5d:9l566=83.99h4>419m60b=m21d=?h50;&11`<6<91e>8j5f:9l57c=83.99h4>419m60b=9910c<<k:18'60c=9=:0b?;k:038?j75k3:1(?;j:063?k42l3;976a>2c83>!42m3;?<6`=5e827>=h9;k1<7*=5d8205=i:<n1=954o00:>5<#:<o1=9>4n37g>43<3f;?47>5$37f>4273g8>h7?9;:m202<72-8>i7?;0:l11a<6?21d=9850;&11`<6<91e>8j51998k422290/>8k51528j73c28307b?;4;29 73b28>;7c<:d;3b?>i6<:0;6)<:e;374>h5=m0:n65`15094?"5=l0:8=5a24f95f=<g89n6=4+24g9516<f;?o6<j4;n307?6=,;?n6<:?;o06`?7b32e:>54?:%06a?7382d99i4>f:9l51c=83.99h4>4e9m60b=821d=9m50;&11`<6<m1e>8j51:9l51d=83.99h4>4e9m60b=:21d=9o50;&11`<6<m1e>8j53:9l505=83.99h4>539m60b=821d=8?50;&11`<6=;1e>8j51:9l506=83.99h4>539m60b=:21d=9h50;&11`<6=;1e>8j53:9~fg1f290h>7>50z&1``<5jm1C?=74H3g1?_0?2jq9:7<8:3;96d<4:3986>:53481`?4b2:<1?:4rn004>5=i9=31<6*=d6823g=#:mk1>6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l?099:5+1e696>"6l<097)?7b;18 4>d2:1/=5j53:&2<`<43-;3j7=4$0;3>6=#9m21=:l4$0f:>41e3-8ii7<:7:&1fc<5=>1e>i?59:l1`7<>3-8o47<:7:&2=4<43-;2>7=4$3d2>7`73-8m>7<i0:l1b6<>3g8m8774$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5<2=;2.:584<;%3:2?5<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,;ni6?5f6`83>>o1j3:17d9?:188m27=831b=:950;9j6a5=831b>i:50;9j52>=831b>im50;9j6ab=831d:n4?::m5`?6=3`>j6=4+24g90<=i:<n1<65f4983>!42m3>27c<:d;38?l20290/>8k5489m60b=:21b8;4?:%06a?2>3g8>h7=4;h66>5<#:<o1845a24f90>=n=:0;6)<:e;6:?k42l3?07d;=:18'60c=<01e>8j56:9j14<72-8>i7:6;o06`?1<3`?;6=4+24g90<=i:<n1465f4g83>!42m3>27c<:d;;8?l2b290/>8k5489m60b=i21b8i4?:%06a?2>3g8>h7l4;h6`>5<#:<o1845a24f9g>=n<k0;6)<:e;6:?k42l3n07d:;:18'60c=<01e>8j5e:9j1d<72-8>i7;6;o06`?6<3`?36=4+24g91<=i:<n1=65f5683>!42m3?27c<:d;08?l31290/>8k5589m60b=;21b984?:%06a?3>3g8>h7:4;h40>5<#:<o1945a24f91>=n>;0;6)<:e;7:?k42l3<07d8>:18'60c==01e>8j57:9j25<72-8>i7;6;o06`?><3`?m6=4+24g91<=i:<n1565f5d83>!42m3?27c<:d;c8?l3c290/>8k5589m60b=j21b9n4?:%06a?3>3g8>h7m4;h7a>5<#:<o1945a24f9`>=n==0;6)<:e;7:?k42l3o07dl=:18'60c=j81e>8j50:9jf5<72-8>i7l>;o06`?7<3`kn6=4+24g9f4=i:<n1>65fae83>!42m3h:7c<:d;18?lgd290/>8k5b09m60b=<21bmo4?:%06a?d63g8>h7;4;hcb>5<#:<o1n<5a24f92>=ni00;6)<:e;`2?k42l3=07do7:18'60c=j81e>8j58:9je2<72-8>i7l>;o06`??<3`k=6=4+24g9f4=i:<n1m65fa483>!42m3h:7c<:d;`8?lg4290/>8k5b09m60b=k21bm?4?:%06a?d63g8>h7j4;hc2>5<#:<o1n<5a24f9a>=ni90;6)<:e;`2?k42l3l07d7i:18'60c=j81e>8j51198m<c=83.99h4m1:l11a<6921b5i4?:%06a?d63g8>h7?=;:k:g?6=,;?n6o?4n37g>45<3`3i6=4+24g9f4=i:<n1=954i8c94?"5=l0i=6`=5e821>=nj00;6)<:e;`2?k42l3;=76gm8;29 73b2k;0b?;k:058?ld0290/>8k5b09m60b=9110eo850;&11`<e92d99i4>9:9jf0<72-8>i7l>;o06`?7f32ci87>5$37f>g7<f;?o6<l4;h`0>5<#:<o1n<5a24f95f=<ahl1<7*=5d8a5>h5=m0:h65fa583>!42m3h:7c<:d;3f?>o>13:1(?;j:c38j73c28l07dj<:18'60c=l;1e>8j50:9j`4<72-8>i7j=;o06`?7<3`im6=4+24g9`7=i:<n1>65fcd83>!42m3n97c<:d;18?lec290/>8k5d39m60b=<21bon4?:%06a?b53g8>h7;4;haa>5<#:<o1h?5a24f92>=nkh0;6)<:e;f1?k42l3=07dm6:18'60c=l;1e>8j58:9jg=<72-8>i7j=;o06`??<3`i<6=4+24g9`7=i:<n1m65fc783>!42m3n97c<:d;`8?le3290/>8k5d39m60b=k21bo>4?:%06a?b53g8>h7j4;ha1>5<#:<o1h?5a24f9a>=nk80;6)<:e;f1?k42l3l07dm?:18'60c=l;1e>8j51198mg`=83.99h4k2:l11a<6921bnh4?:%06a?b53g8>h7?=;:ka`?6=,;?n6i<4n37g>45<3`hh6=4+24g9`7=i:<n1=954ic`94?"5=l0o>6`=5e821>=nlh0;6)<:e;f1?k42l3;=76gk9;29 73b2m80b?;k:058?lb?290/>8k5d39m60b=9110ei950;&11`<c:2d99i4>9:9j`3<72-8>i7j=;o06`?7f32co97>5$37f>a4<f;?o6<l4;hf7>5<#:<o1h?5a24f95f=<am:1<7*=5d8g6>h5=m0:h65fc483>!42m3n97c<:d;3f?>oei3:1(?;j:e08j73c28l07d??0;29 73b2ol0b?;k:198mcc=83.99h4if:l11a<632cmh7>5$37f>c`<f;?o6?54iga94?"5=l0mj6`=5e80?>o68<0;6)<:e;330>h5=m0;76g>0283>!42m3;;86`=5e82?>o68;0;6)<:e;330>h5=m0976g>0083>!42m3;;86`=5e80?>o5mh0;6)<:e;0f=>h5=m0;76g=e983>!42m38n56`=5e82?>o5m>0;6)<:e;0f=>h5=m0976g=e783>!42m38n56`=5e80?>o5mo0;6)<:e;0fa>h5=m0;76g=ee83>!42m38ni6`=5e82?>o5mj0;6)<:e;0fa>h5=m0976g=ec83>!42m38ni6`=5e80?>i69o0;6)<:e;32a>h5=m0;76a>1e83>!42m3;:i6`=5e82?>i69k0;6)<:e;32a>h5=m0976a>1`83>!42m3;:i6`=5e80?>i6900;6)<:e;32a>h5=m0?76a>1983>!42m3;:i6`=5e86?>i69>0;6)<:e;32a>h5=m0=76a>1783>!42m3;:i6`=5e84?>i69<0;6)<:e;32a>h5=m0376a>1583>!42m3;:i6`=5e8:?>i69:0;6)<:e;32a>h5=m0j76a>1383>!42m3;:i6`=5e8a?>i6990;6)<:e;32a>h5=m0h76a>0g83>!42m3;:i6`=5e8g?>i68l0;6)<:e;32a>h5=m0n76a>0e83>!42m3;:i6`=5e8e?>i68j0;6)<:e;32a>h5=m0:<65`11`94?"5=l0:=h5a24f954=<g8:j6=4+24g954c<f;?o6<<4;n33=?6=,;?n6<?j;o06`?7432e:<54?:%06a?76m2d99i4>4:9l551=83.99h4>1d9m60b=9<10c<<9:18'60c=98o0b?;k:048?j75=3:1(?;j:03f?k42l3;<76a>2583>!42m3;:i6`=5e82<>=h9;91<7*=5d825`=i:<n1=454o001>5<#:<o1=<k4n37g>4g<3f;9=7>5$37f>47b3g8>h7?m;:m265<72-8>i7?>e:l11a<6k21d=<m50;&11`<69l1e>8j51e98k476290/>8k510g8j73c28o07b??6;29 73b28;n7c<:d;3e?>i6<80;6)<:e;374>h5=m0;76a>3g83>!42m3;?<6`=5e82?>i6;m0;6)<:e;374>h5=m0976a>3b83>!42m3;?<6`=5e80?>i6;k0;6)<:e;374>h5=m0?76a>3`83>!42m3;?<6`=5e86?>i6;00;6)<:e;374>h5=m0=76a>3983>!42m3;?<6`=5e84?>i6;>0;6)<:e;374>h5=m0376a>3783>!42m3;?<6`=5e8:?>i6;<0;6)<:e;374>h5=m0j76a>3583>!42m3;?<6`=5e8a?>i6;;0;6)<:e;374>h5=m0h76a>3083>!42m3;?<6`=5e8g?>i6;90;6)<:e;374>h5=m0n76a>2g83>!42m3;?<6`=5e8e?>i6:l0;6)<:e;374>h5=m0:<65`13f94?"5=l0:8=5a24f954=<g88h6=4+24g9516<f;?o6<<4;n31f?6=,;?n6<:?;o06`?7432e:>l4?:%06a?7382d99i4>4:9l57?=83.99h4>419m60b=9<10c<:7:18'60c=9=:0b?;k:048?j73?3:1(?;j:063?k42l3;<76a>4783>!42m3;?<6`=5e82<>=h9=?1<7*=5d8205=i:<n1=454o067>5<#:<o1=9>4n37g>4g<3f;??7>5$37f>4273g8>h7?m;:m207<72-8>i7?;0:l11a<6k21d=>k50;&11`<6<91e>8j51e98k454290/>8k51528j73c28o07b?=8;29 73b28>;7c<:d;3e?>i6<l0;6)<:e;37`>h5=m0;76a>4b83>!42m3;?h6`=5e82?>i6<k0;6)<:e;37`>h5=m0976a>4`83>!42m3;?h6`=5e80?>i6=:0;6)<:e;366>h5=m0;76a>5083>!42m3;>>6`=5e82?>i6=90;6)<:e;366>h5=m0976a>4g83>!42m3;>>6`=5e80?>{ej>h1<7m=:183\7f!4cm38ih6F<089K6`4<R?21ov<9:3596<<5i3996>=535801?4c2;o1?;4<7;\7fm571=82d:844?;%0g3?70j2.9hl4=;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o:7<:7:&2`1<53-;o97<4$0:a>6=#91i1?6*>8e80?!7?m390(<6i:29'5<6=;2.:h54>7c9'5a?=9>h0(?lj:374?!4en38>;6`=d08:?k4c:330(?j7:374?!7>9390(<7=:29'6c7=:o:0(?h=:3d3?k4a;330b?h;:89'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"61=087)?65;18 4?12:1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/>il52:k5e?6=3`<i6=44i6294?=n?80;66g>7683>>o5l:0;66g=d583>>o6?10;66g=db83>>o5lm0;66a9c;29?j0c2900e9o50;&11`<312d99i4?;:k7<?6=,;?n6974n37g>4=<a==1<7*=5d87=>h5=m0976g;6;29 73b2=30b?;k:298m13=83.99h4;9:l11a<332c>?7>5$37f>1?<f;?o6854i4094?"5=l0?56`=5e85?>o293:1(?;j:5;8j73c2>10e8>50;&11`<312d99i47;:k7b?6=,;?n6974n37g><=<a=o1<7*=5d87=>h5=m0j76g;d;29 73b2=30b?;k:c98m1e=83.99h4;9:l11a<d32c?n7>5$37f>1?<f;?o6i54i5694?"5=l0?56`=5e8f?>o2i3:1(?;j:4;8j73c2910e8650;&11`<212d99i4>;:k63?6=,;?n6874n37g>7=<a<<1<7*=5d86=>h5=m0876g:5;29 73b2<30b?;k:598m35=83.99h4:9:l11a<232c=>7>5$37f>0?<f;?o6;54i7394?"5=l0>56`=5e84?>o183:1(?;j:4;8j73c2110e8h50;&11`<212d99i46;:k6a?6=,;?n6874n37g>d=<a<n1<7*=5d86=>h5=m0i76g:c;29 73b2<30b?;k:b98m0d=83.99h4:9:l11a<c32c>87>5$37f>0?<f;?o6h54ic094?"5=l0i=6`=5e83?>oe83:1(?;j:c38j73c2810elk50;&11`<e92d99i4=;:kb`?6=,;?n6o?4n37g>6=<ahi1<7*=5d8a5>h5=m0?76gnb;29 73b2k;0b?;k:498mdg=83.99h4m1:l11a<132cj57>5$37f>g7<f;?o6:54i`:94?"5=l0i=6`=5e8;?>of?3:1(?;j:c38j73c2010el850;&11`<e92d99i4n;:kb1?6=,;?n6o?4n37g>g=<ah91<7*=5d8a5>h5=m0h76gn2;29 73b2k;0b?;k:e98md7=83.99h4m1:l11a<b32cj<7>5$37f>g7<f;?o6k54i8d94?"5=l0i=6`=5e824>=n1l0;6)<:e;`2?k42l3;:76g6d;29 73b2k;0b?;k:008?l?d290/>8k5b09m60b=9:10e4l50;&11`<e92d99i4>4:9j=d<72-8>i7l>;o06`?7232ci57>5$37f>g7<f;?o6<84;h`;>5<#:<o1n<5a24f952=<ak=1<7*=5d8a5>h5=m0:465fb783>!42m3h:7c<:d;3:?>oe=3:1(?;j:c38j73c28k07dl;:18'60c=j81e>8j51c98mg5=83.99h4m1:l11a<6k21bmk4?:%06a?d63g8>h7?k;:kb0?6=,;?n6o?4n37g>4c<3`326=4+24g9f4=i:<n1=k54ie194?"5=l0o>6`=5e83?>oc93:1(?;j:e08j73c2810enh50;&11`<c:2d99i4=;:k`a?6=,;?n6i<4n37g>6=<ajn1<7*=5d8g6>h5=m0?76glc;29 73b2m80b?;k:498mfd=83.99h4k2:l11a<132chm7>5$37f>a4<f;?o6:54ib;94?"5=l0o>6`=5e8;?>od03:1(?;j:e08j73c2010en950;&11`<c:2d99i4n;:k`2?6=,;?n6i<4n37g>g=<aj>1<7*=5d8g6>h5=m0h76gl3;29 73b2m80b?;k:e98mf4=83.99h4k2:l11a<b32ch=7>5$37f>a4<f;?o6k54ib294?"5=l0o>6`=5e824>=njo0;6)<:e;f1?k42l3;:76gme;29 73b2m80b?;k:008?ldc290/>8k5d39m60b=9:10eom50;&11`<c:2d99i4>4:9jfg<72-8>i7j=;o06`?7232com7>5$37f>a4<f;?o6<84;hf:>5<#:<o1h?5a24f952=<am21<7*=5d8g6>h5=m0:465fd683>!42m3n97c<:d;3:?>oc>3:1(?;j:e08j73c28k07dj::18'60c=l;1e>8j51c98ma2=83.99h4k2:l11a<6k21bh=4?:%06a?b53g8>h7?k;:k`1?6=,;?n6i<4n37g>4c<3`hj6=4+24g9`7=i:<n1=k54i023>5<#:<o1jk5a24f94>=nnl0;6)<:e;de?k42l3;07dhk:18'60c=no1e>8j52:9jbf<72-8>i7hi;o06`?5<3`;;97>5$37f>4633g8>h7>4;h337?6=,;?n6<>;;o06`?7<3`;;>7>5$37f>4633g8>h7<4;h335?6=,;?n6<>;;o06`?5<3`8nm7>5$37f>7c>3g8>h7>4;h0f<?6=,;?n6?k6;o06`?7<3`8n;7>5$37f>7c>3g8>h7<4;h0f2?6=,;?n6?k6;o06`?5<3`8nj7>5$37f>7cb3g8>h7>4;h0f`?6=,;?n6?kj;o06`?7<3`8no7>5$37f>7cb3g8>h7<4;h0ff?6=,;?n6?kj;o06`?5<3f;:j7>5$37f>47b3g8>h7>4;n32`?6=,;?n6<?j;o06`?7<3f;:n7>5$37f>47b3g8>h7<4;n32e?6=,;?n6<?j;o06`?5<3f;:57>5$37f>47b3g8>h7:4;n32<?6=,;?n6<?j;o06`?3<3f;:;7>5$37f>47b3g8>h784;n322?6=,;?n6<?j;o06`?1<3f;:97>5$37f>47b3g8>h764;n320?6=,;?n6<?j;o06`??<3f;:?7>5$37f>47b3g8>h7o4;n326?6=,;?n6<?j;o06`?d<3f;:<7>5$37f>47b3g8>h7m4;n33b?6=,;?n6<?j;o06`?b<3f;;i7>5$37f>47b3g8>h7k4;n33`?6=,;?n6<?j;o06`?`<3f;;o7>5$37f>47b3g8>h7??;:m24g<72-8>i7?>e:l11a<6921d==o50;&11`<69l1e>8j51398k46>290/>8k510g8j73c28907b??8;29 73b28;n7c<:d;37?>i68>0;6)<:e;32a>h5=m0:965`13494?"5=l0:=h5a24f953=<g88>6=4+24g954c<f;?o6<94;n310?6=,;?n6<?j;o06`?7?32e:>>4?:%06a?76m2d99i4>9:9l574=83.99h4>1d9m60b=9h10c<<>:18'60c=98o0b?;k:0`8?j7583:1(?;j:03f?k42l3;h76a>1b83>!42m3;:i6`=5e82`>=h98;1<7*=5d825`=i:<n1=h54o025>5<#:<o1=<k4n37g>4`<3f;?=7>5$37f>4273g8>h7>4;n30b?6=,;?n6<:?;o06`?7<3f;8h7>5$37f>4273g8>h7<4;n30g?6=,;?n6<:?;o06`?5<3f;8n7>5$37f>4273g8>h7:4;n30e?6=,;?n6<:?;o06`?3<3f;857>5$37f>4273g8>h784;n30<?6=,;?n6<:?;o06`?1<3f;8;7>5$37f>4273g8>h764;n302?6=,;?n6<:?;o06`??<3f;897>5$37f>4273g8>h7o4;n300?6=,;?n6<:?;o06`?d<3f;8>7>5$37f>4273g8>h7m4;n305?6=,;?n6<:?;o06`?b<3f;8<7>5$37f>4273g8>h7k4;n31b?6=,;?n6<:?;o06`?`<3f;9i7>5$37f>4273g8>h7??;:m26a<72-8>i7?;0:l11a<6921d=?m50;&11`<6<91e>8j51398k44e290/>8k51528j73c28907b?=a;29 73b28>;7c<:d;37?>i6:00;6)<:e;374>h5=m0:965`15:94?"5=l0:8=5a24f953=<g8><6=4+24g9516<f;?o6<94;n372?6=,;?n6<:?;o06`?7?32e:884?:%06a?7382d99i4>9:9l512=83.99h4>419m60b=9h10c<:<:18'60c=9=:0b?;k:0`8?j73:3:1(?;j:063?k42l3;h76a>3d83>!42m3;?<6`=5e82`>=h9:91<7*=5d8205=i:<n1=h54o00;>5<#:<o1=9>4n37g>4`<3f;?i7>5$37f>42c3g8>h7>4;n37g?6=,;?n6<:k;o06`?7<3f;?n7>5$37f>42c3g8>h7<4;n37e?6=,;?n6<:k;o06`?5<3f;>?7>5$37f>4353g8>h7>4;n365?6=,;?n6<;=;o06`?7<3f;><7>5$37f>4353g8>h7<4;n37b?6=,;?n6<;=;o06`?5<3thi;n4?:b094?6|,;nn6?lk;I13=>N5m;1Q:54l{34962<5138j6><532800?522;n1>h4<6;14>xh6:>0;7c?;9;28 7b028=i7)<ka;08 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j9:374?!7c<380(<j::39'5=d=;2.:4n4<;%3;`?5<,82n6>5+19d97>"619087)?k8;34f>"6l00:;o5+2cg9601<,;hm6?;8;o0g5??<f;n9645+2e:9601<,83:6>5+18097>"5n809j=5+2g096c6<f;l8645a2g69=>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;287=4$0;6>6=#90<1?6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,83o6>5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*=dc81?l0f2900e;l50;9j35<722c<=7>5;h343?6=3`8o?7>5;h0g0?6=3`;<47>5;h0gg?6=3`8oh7>5;n4`>5<<g?n1<75f4`83>!42m3>27c<:d;28?l2?290/>8k5489m60b=921b8:4?:%06a?2>3g8>h7<4;h65>5<#:<o1845a24f97>=n<<0;6)<:e;6:?k42l3>07d;<:18'60c=<01e>8j55:9j17<72-8>i7:6;o06`?0<3`?:6=4+24g90<=i:<n1;65f5183>!42m3>27c<:d;:8?l2a290/>8k5489m60b=121b8h4?:%06a?2>3g8>h7o4;h6g>5<#:<o1845a24f9f>=n<j0;6)<:e;6:?k42l3i07d:m:18'60c=<01e>8j5d:9j01<72-8>i7:6;o06`?c<3`?j6=4+24g91<=i:<n1<65f5983>!42m3?27c<:d;38?l30290/>8k5589m60b=:21b9;4?:%06a?3>3g8>h7=4;h76>5<#:<o1945a24f90>=n>:0;6)<:e;7:?k42l3?07d8=:18'60c==01e>8j56:9j24<72-8>i7;6;o06`?1<3`<;6=4+24g91<=i:<n1465f5g83>!42m3?27c<:d;;8?l3b290/>8k5589m60b=i21b9i4?:%06a?3>3g8>h7l4;h7`>5<#:<o1945a24f9g>=n=k0;6)<:e;7:?k42l3n07d;;:18'60c==01e>8j5e:9jf7<72-8>i7l>;o06`?6<3`h;6=4+24g9f4=i:<n1=65fad83>!42m3h:7c<:d;08?lgc290/>8k5b09m60b=;21bmn4?:%06a?d63g8>h7:4;hca>5<#:<o1n<5a24f91>=nih0;6)<:e;`2?k42l3<07do6:18'60c=j81e>8j57:9je=<72-8>i7l>;o06`?><3`k<6=4+24g9f4=i:<n1565fa783>!42m3h:7c<:d;c8?lg2290/>8k5b09m60b=j21bm>4?:%06a?d63g8>h7m4;hc1>5<#:<o1n<5a24f9`>=ni80;6)<:e;`2?k42l3o07do?:18'60c=j81e>8j5f:9j=c<72-8>i7l>;o06`?7732c2i7>5$37f>g7<f;?o6<?4;h;g>5<#:<o1n<5a24f957=<a0i1<7*=5d8a5>h5=m0:?65f9c83>!42m3h:7c<:d;37?>o>i3:1(?;j:c38j73c28?07dl6:18'60c=j81e>8j51798mg>=83.99h4m1:l11a<6?21bn:4?:%06a?d63g8>h7?7;:ka2?6=,;?n6o?4n37g>4?<3`h>6=4+24g9f4=i:<n1=l54ic694?"5=l0i=6`=5e82f>=nj:0;6)<:e;`2?k42l3;h76gnf;29 73b2k;0b?;k:0f8?lg3290/>8k5b09m60b=9l10e4750;&11`<e92d99i4>f:9j`6<72-8>i7j=;o06`?6<3`n:6=4+24g9`7=i:<n1=65fcg83>!42m3n97c<:d;08?leb290/>8k5d39m60b=;21boi4?:%06a?b53g8>h7:4;ha`>5<#:<o1h?5a24f91>=nkk0;6)<:e;f1?k42l3<07dmn:18'60c=l;1e>8j57:9jg<<72-8>i7j=;o06`?><3`i36=4+24g9`7=i:<n1565fc683>!42m3n97c<:d;c8?le1290/>8k5d39m60b=j21bo94?:%06a?b53g8>h7m4;ha0>5<#:<o1h?5a24f9`>=nk;0;6)<:e;f1?k42l3o07dm>:18'60c=l;1e>8j5f:9jg5<72-8>i7j=;o06`?7732cij7>5$37f>a4<f;?o6<?4;h`f>5<#:<o1h?5a24f957=<akn1<7*=5d8g6>h5=m0:?65fbb83>!42m3n97c<:d;37?>oej3:1(?;j:e08j73c28?07djn:18'60c=l;1e>8j51798ma?=83.99h4k2:l11a<6?21bh54?:%06a?b53g8>h7?7;:kg3?6=,;?n6i<4n37g>4?<3`n=6=4+24g9`7=i:<n1=l54ie794?"5=l0o>6`=5e82f>=nl=0;6)<:e;f1?k42l3;h76gk0;29 73b2m80b?;k:0f8?le2290/>8k5d39m60b=9l10eoo50;&11`<c:2d99i4>f:9j556=83.99h4if:l11a<732cmi7>5$37f>c`<f;?o6<54igf94?"5=l0mj6`=5e81?>oak3:1(?;j:gd8j73c2:10e<>::18'60c=99>0b?;k:198m464290/>8k51168j73c2810e<>=:18'60c=99>0b?;k:398m466290/>8k51168j73c2:10e?kn:18'60c=:l30b?;k:198m7c?290/>8k52d;8j73c2810e?k8:18'60c=:l30b?;k:398m7c1290/>8k52d;8j73c2:10e?ki:18'60c=:lo0b?;k:198m7cc290/>8k52dg8j73c2810e?kl:18'60c=:lo0b?;k:398m7ce290/>8k52dg8j73c2:10c<?i:18'60c=98o0b?;k:198k47c290/>8k510g8j73c2810c<?m:18'60c=98o0b?;k:398k47f290/>8k510g8j73c2:10c<?6:18'60c=98o0b?;k:598k47?290/>8k510g8j73c2<10c<?8:18'60c=98o0b?;k:798k471290/>8k510g8j73c2>10c<?::18'60c=98o0b?;k:998k473290/>8k510g8j73c2010c<?<:18'60c=98o0b?;k:`98k475290/>8k510g8j73c2k10c<??:18'60c=98o0b?;k:b98k46a290/>8k510g8j73c2m10c<>j:18'60c=98o0b?;k:d98k46c290/>8k510g8j73c2o10c<>l:18'60c=98o0b?;k:028?j77j3:1(?;j:03f?k42l3;:76a>0`83>!42m3;:i6`=5e826>=h9931<7*=5d825`=i:<n1=>54o02;>5<#:<o1=<k4n37g>42<3f;;;7>5$37f>47b3g8>h7?:;:m263<72-8>i7?>e:l11a<6>21d=?;50;&11`<69l1e>8j51698k443290/>8k510g8j73c28207b?=3;29 73b28;n7c<:d;3:?>i6:;0;6)<:e;32a>h5=m0:m65`13394?"5=l0:=h5a24f95g=<g88;6=4+24g954c<f;?o6<m4;n32g?6=,;?n6<?j;o06`?7c32e:=<4?:%06a?76m2d99i4>e:9l550=83.99h4>1d9m60b=9o10c<:>:18'60c=9=:0b?;k:198k45a290/>8k51528j73c2810c<=k:18'60c=9=:0b?;k:398k45d290/>8k51528j73c2:10c<=m:18'60c=9=:0b?;k:598k45f290/>8k51528j73c2<10c<=6:18'60c=9=:0b?;k:798k45?290/>8k51528j73c2>10c<=8:18'60c=9=:0b?;k:998k451290/>8k51528j73c2010c<=::18'60c=9=:0b?;k:`98k453290/>8k51528j73c2k10c<==:18'60c=9=:0b?;k:b98k456290/>8k51528j73c2m10c<=?:18'60c=9=:0b?;k:d98k44a290/>8k51528j73c2o10c<<j:18'60c=9=:0b?;k:028?j75l3:1(?;j:063?k42l3;:76a>2b83>!42m3;?<6`=5e826>=h9;h1<7*=5d8205=i:<n1=>54o00b>5<#:<o1=9>4n37g>42<3f;957>5$37f>4273g8>h7?:;:m20=<72-8>i7?;0:l11a<6>21d=9950;&11`<6<91e>8j51698k421290/>8k51528j73c28207b?;5;29 73b28>;7c<:d;3:?>i6<=0;6)<:e;374>h5=m0:m65`15194?"5=l0:8=5a24f95g=<g8>96=4+24g9516<f;?o6<m4;n30a?6=,;?n6<:?;o06`?7c32e:?>4?:%06a?7382d99i4>e:9l57>=83.99h4>419m60b=9o10c<:j:18'60c=9=n0b?;k:198k42d290/>8k515f8j73c2810c<:m:18'60c=9=n0b?;k:398k42f290/>8k515f8j73c2:10c<;<:18'60c=9<80b?;k:198k436290/>8k51408j73c2810c<;?:18'60c=9<80b?;k:398k42a290/>8k51408j73c2:10qol8d;29g7<729q/>ik52cf8L66>3A8n>6T98;ax63<5?3826?o533807?532:?1>i4=e;15>61=ug;9;7>4n06:>5=#:m=1=:l4$3fb>7=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e49601<,8n?6?5+1e796>"60k087)?7c;18 4>c2:1/=5k53:&2<c<43-;2<7=4$0f;>41e3-;o57?8b:&1f`<5=>1/>oh52458j7b6201e>i<59:&1`=<5=>1/=4?53:&2=7<43-8m=7<i0:&1b7<5n91e>k=59:l1b1<>3-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<7;:29'5<3=;2.:5;4<;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%0gf?4<a?k1<75f6c83>>o083:17d9>:188m4102900e?j<:188m7b32900e<97:188m7bd2900e?jk:188k3e=831d:i4?::k7e?6=,;?n6974n37g>5=<a=21<7*=5d87=>h5=m0:76g;7;29 73b2=30b?;k:398m10=83.99h4;9:l11a<432c?97>5$37f>1?<f;?o6954i4194?"5=l0?56`=5e86?>o2:3:1(?;j:5;8j73c2?10e8?50;&11`<312d99i48;:k64?6=,;?n6974n37g>==<a=l1<7*=5d87=>h5=m0276g;e;29 73b2=30b?;k:`98m1b=83.99h4;9:l11a<e32c?o7>5$37f>1?<f;?o6n54i5`94?"5=l0?56`=5e8g?>o3<3:1(?;j:5;8j73c2l10e8o50;&11`<212d99i4?;:k6<?6=,;?n6874n37g>4=<a<=1<7*=5d86=>h5=m0976g:6;29 73b2<30b?;k:298m03=83.99h4:9:l11a<332c=?7>5$37f>0?<f;?o6854i7094?"5=l0>56`=5e85?>o193:1(?;j:4;8j73c2>10e;>50;&11`<212d99i47;:k6b?6=,;?n6874n37g><=<a<o1<7*=5d86=>h5=m0j76g:d;29 73b2<30b?;k:c98m0e=83.99h4:9:l11a<d32c>n7>5$37f>0?<f;?o6i54i4694?"5=l0>56`=5e8f?>oe:3:1(?;j:c38j73c2910eo>50;&11`<e92d99i4>;:kba?6=,;?n6o?4n37g>7=<ahn1<7*=5d8a5>h5=m0876gnc;29 73b2k;0b?;k:598mdd=83.99h4m1:l11a<232cjm7>5$37f>g7<f;?o6;54i`;94?"5=l0i=6`=5e84?>of03:1(?;j:c38j73c2110el950;&11`<e92d99i46;:kb2?6=,;?n6o?4n37g>d=<ah?1<7*=5d8a5>h5=m0i76gn3;29 73b2k;0b?;k:b98md4=83.99h4m1:l11a<c32cj=7>5$37f>g7<f;?o6h54i`294?"5=l0i=6`=5e8e?>o>n3:1(?;j:c38j73c28:07d7j:18'60c=j81e>8j51098m<b=83.99h4m1:l11a<6:21b5n4?:%06a?d63g8>h7?<;:k:f?6=,;?n6o?4n37g>42<3`3j6=4+24g9f4=i:<n1=854ic;94?"5=l0i=6`=5e822>=nj10;6)<:e;`2?k42l3;<76gm7;29 73b2k;0b?;k:0:8?ld1290/>8k5b09m60b=9010eo;50;&11`<e92d99i4>a:9jf1<72-8>i7l>;o06`?7e32ci?7>5$37f>g7<f;?o6<m4;hce>5<#:<o1n<5a24f95a=<ah>1<7*=5d8a5>h5=m0:i65f9883>!42m3h:7c<:d;3e?>oc;3:1(?;j:e08j73c2910ei?50;&11`<c:2d99i4>;:k`b?6=,;?n6i<4n37g>7=<ajo1<7*=5d8g6>h5=m0876gld;29 73b2m80b?;k:598mfe=83.99h4k2:l11a<232chn7>5$37f>a4<f;?o6;54ibc94?"5=l0o>6`=5e84?>od13:1(?;j:e08j73c2110en650;&11`<c:2d99i46;:k`3?6=,;?n6i<4n37g>d=<aj<1<7*=5d8g6>h5=m0i76gl4;29 73b2m80b?;k:b98mf5=83.99h4k2:l11a<c32ch>7>5$37f>a4<f;?o6h54ib394?"5=l0o>6`=5e8e?>od83:1(?;j:e08j73c28:07dli:18'60c=l;1e>8j51098mgc=83.99h4k2:l11a<6:21bni4?:%06a?b53g8>h7?<;:kag?6=,;?n6i<4n37g>42<3`hi6=4+24g9`7=i:<n1=854iec94?"5=l0o>6`=5e822>=nl00;6)<:e;f1?k42l3;<76gk8;29 73b2m80b?;k:0:8?lb0290/>8k5d39m60b=9010ei850;&11`<c:2d99i4>a:9j`0<72-8>i7j=;o06`?7e32co87>5$37f>a4<f;?o6<m4;hf3>5<#:<o1h?5a24f95a=<aj?1<7*=5d8g6>h5=m0:i65fb`83>!42m3n97c<:d;3e?>o6890;6)<:e;de?k42l3:07dhj:18'60c=no1e>8j51:9jba<72-8>i7hi;o06`?4<3`lh6=4+24g9bc=i:<n1?65f11794?"5=l0:<95a24f94>=n9991<7*=5d8241=i:<n1=65f11094?"5=l0:<95a24f96>=n99;1<7*=5d8241=i:<n1?65f2dc94?"5=l09i45a24f94>=n:l21<7*=5d81a<=i:<n1=65f2d594?"5=l09i45a24f96>=n:l<1<7*=5d81a<=i:<n1?65f2dd94?"5=l09ih5a24f94>=n:ln1<7*=5d81a`=i:<n1=65f2da94?"5=l09ih5a24f96>=n:lh1<7*=5d81a`=i:<n1?65`10d94?"5=l0:=h5a24f94>=h98n1<7*=5d825`=i:<n1=65`10`94?"5=l0:=h5a24f96>=h98k1<7*=5d825`=i:<n1?65`10;94?"5=l0:=h5a24f90>=h9821<7*=5d825`=i:<n1965`10594?"5=l0:=h5a24f92>=h98<1<7*=5d825`=i:<n1;65`10794?"5=l0:=h5a24f9<>=h98>1<7*=5d825`=i:<n1565`10194?"5=l0:=h5a24f9e>=h9881<7*=5d825`=i:<n1n65`10294?"5=l0:=h5a24f9g>=h99l1<7*=5d825`=i:<n1h65`11g94?"5=l0:=h5a24f9a>=h99n1<7*=5d825`=i:<n1j65`11a94?"5=l0:=h5a24f955=<g8:i6=4+24g954c<f;?o6<?4;n33e?6=,;?n6<?j;o06`?7532e:<44?:%06a?76m2d99i4>3:9l55>=83.99h4>1d9m60b=9=10c<>8:18'60c=98o0b?;k:078?j75>3:1(?;j:03f?k42l3;=76a>2483>!42m3;:i6`=5e823>=h9;>1<7*=5d825`=i:<n1=554o000>5<#:<o1=<k4n37g>4?<3f;9>7>5$37f>47b3g8>h7?n;:m264<72-8>i7?>e:l11a<6j21d=?>50;&11`<69l1e>8j51b98k47d290/>8k510g8j73c28n07b?>1;29 73b28;n7c<:d;3f?>i68?0;6)<:e;32a>h5=m0:j65`15394?"5=l0:8=5a24f94>=h9:l1<7*=5d8205=i:<n1=65`12f94?"5=l0:8=5a24f96>=h9:i1<7*=5d8205=i:<n1?65`12`94?"5=l0:8=5a24f90>=h9:k1<7*=5d8205=i:<n1965`12;94?"5=l0:8=5a24f92>=h9:21<7*=5d8205=i:<n1;65`12594?"5=l0:8=5a24f9<>=h9:<1<7*=5d8205=i:<n1565`12794?"5=l0:8=5a24f9e>=h9:>1<7*=5d8205=i:<n1n65`12094?"5=l0:8=5a24f9g>=h9:;1<7*=5d8205=i:<n1h65`12294?"5=l0:8=5a24f9a>=h9;l1<7*=5d8205=i:<n1j65`13g94?"5=l0:8=5a24f955=<g88o6=4+24g9516<f;?o6<?4;n31g?6=,;?n6<:?;o06`?7532e:>o4?:%06a?7382d99i4>3:9l57g=83.99h4>419m60b=9=10c<<6:18'60c=9=:0b?;k:078?j7303:1(?;j:063?k42l3;=76a>4683>!42m3;?<6`=5e823>=h9=<1<7*=5d8205=i:<n1=554o066>5<#:<o1=9>4n37g>4?<3f;?87>5$37f>4273g8>h7?n;:m206<72-8>i7?;0:l11a<6j21d=9<50;&11`<6<91e>8j51b98k45b290/>8k51528j73c28n07b?<3;29 73b28>;7c<:d;3f?>i6:10;6)<:e;374>h5=m0:j65`15g94?"5=l0:8i5a24f94>=h9=i1<7*=5d820a=i:<n1=65`15`94?"5=l0:8i5a24f96>=h9=k1<7*=5d820a=i:<n1?65`14194?"5=l0:9?5a24f94>=h9<;1<7*=5d8217=i:<n1=65`14294?"5=l0:9?5a24f96>=h9=l1<7*=5d8217=i:<n1?65rbc5f>5<d:3:1<v*=dd81fa=O;930D?k=;[4;>f}5>38<6?752`806?542:>1?84=d;0f>60=;>0vb<<8:19m51?=82.9h:4>7c9'6ag=:2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`3<5=>1/=i:52:&2`0<53-;3n7=4$0:`>6=#91n1?6*>8d80?!7?n390(<7?:29'5a>=9>h0(<j6:05a?!4em38>;6*=bg8112=i:m;156`=d38:?!4c038>;6*>9080?!7>:390(?h>:3d3?!4a:38m<6`=f28:?k4a<330(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+18697>"61<087)?66;18 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 7be2;1b:l4?::k5f?6=3`=;6=44i6394?=n9>=1<75f2e194?=n:m>1<75f16:94?=n:mi1<75f2ef94?=h>j0;66a9d;29?l2f290/>8k5489m60b=821b854?:%06a?2>3g8>h7?4;h64>5<#:<o1845a24f96>=n<?0;6)<:e;6:?k42l3907d:::18'60c=<01e>8j54:9j16<72-8>i7:6;o06`?3<3`?96=4+24g90<=i:<n1:65f5083>!42m3>27c<:d;58?l37290/>8k5489m60b=021b8k4?:%06a?2>3g8>h774;h6f>5<#:<o1845a24f9e>=n<m0;6)<:e;6:?k42l3h07d:l:18'60c=<01e>8j5c:9j0g<72-8>i7:6;o06`?b<3`>?6=4+24g90<=i:<n1i65f5`83>!42m3?27c<:d;28?l3?290/>8k5589m60b=921b9:4?:%06a?3>3g8>h7<4;h75>5<#:<o1945a24f97>=n=<0;6)<:e;7:?k42l3>07d8<:18'60c==01e>8j55:9j27<72-8>i7;6;o06`?0<3`<:6=4+24g91<=i:<n1;65f6183>!42m3?27c<:d;:8?l3a290/>8k5589m60b=121b9h4?:%06a?3>3g8>h7o4;h7g>5<#:<o1945a24f9f>=n=j0;6)<:e;7:?k42l3i07d;m:18'60c==01e>8j5d:9j11<72-8>i7;6;o06`?c<3`h96=4+24g9f4=i:<n1<65fb183>!42m3h:7c<:d;38?lgb290/>8k5b09m60b=:21bmi4?:%06a?d63g8>h7=4;hc`>5<#:<o1n<5a24f90>=nik0;6)<:e;`2?k42l3?07don:18'60c=j81e>8j56:9je<<72-8>i7l>;o06`?1<3`k36=4+24g9f4=i:<n1465fa683>!42m3h:7c<:d;;8?lg1290/>8k5b09m60b=i21bm84?:%06a?d63g8>h7l4;hc0>5<#:<o1n<5a24f9g>=ni;0;6)<:e;`2?k42l3n07do>:18'60c=j81e>8j5e:9je5<72-8>i7l>;o06`?`<3`3m6=4+24g9f4=i:<n1==54i8g94?"5=l0i=6`=5e825>=n1m0;6)<:e;`2?k42l3;976g6c;29 73b2k;0b?;k:018?l?e290/>8k5b09m60b=9=10e4o50;&11`<e92d99i4>5:9jf<<72-8>i7l>;o06`?7132ci47>5$37f>g7<f;?o6<94;h`4>5<#:<o1n<5a24f95==<ak<1<7*=5d8a5>h5=m0:565fb483>!42m3h:7c<:d;3b?>oe<3:1(?;j:c38j73c28h07dl<:18'60c=j81e>8j51b98md`=83.99h4m1:l11a<6l21bm94?:%06a?d63g8>h7?j;:k:=?6=,;?n6o?4n37g>4`<3`n86=4+24g9`7=i:<n1<65fd083>!42m3n97c<:d;38?lea290/>8k5d39m60b=:21boh4?:%06a?b53g8>h7=4;hag>5<#:<o1h?5a24f90>=nkj0;6)<:e;f1?k42l3?07dmm:18'60c=l;1e>8j56:9jgd<72-8>i7j=;o06`?1<3`i26=4+24g9`7=i:<n1465fc983>!42m3n97c<:d;;8?le0290/>8k5d39m60b=i21bo;4?:%06a?b53g8>h7l4;ha7>5<#:<o1h?5a24f9g>=nk:0;6)<:e;f1?k42l3n07dm=:18'60c=l;1e>8j5e:9jg4<72-8>i7j=;o06`?`<3`i;6=4+24g9`7=i:<n1==54icd94?"5=l0o>6`=5e825>=njl0;6)<:e;f1?k42l3;976gmd;29 73b2m80b?;k:018?ldd290/>8k5d39m60b=9=10eol50;&11`<c:2d99i4>5:9j`d<72-8>i7j=;o06`?7132co57>5$37f>a4<f;?o6<94;hf;>5<#:<o1h?5a24f95==<am=1<7*=5d8g6>h5=m0:565fd783>!42m3n97c<:d;3b?>oc=3:1(?;j:e08j73c28h07dj;:18'60c=l;1e>8j51b98ma6=83.99h4k2:l11a<6l21bo84?:%06a?b53g8>h7?j;:kae?6=,;?n6i<4n37g>4`<3`;;<7>5$37f>c`<f;?o6=54igg94?"5=l0mj6`=5e82?>oal3:1(?;j:gd8j73c2;10ekm50;&11`<an2d99i4<;:k240<72-8>i7??4:l11a<732c:<>4?:%06a?77<2d99i4>;:k247<72-8>i7??4:l11a<532c:<<4?:%06a?77<2d99i4<;:k1ad<72-8>i7<j9:l11a<732c9i54?:%06a?4b12d99i4>;:k1a2<72-8>i7<j9:l11a<532c9i;4?:%06a?4b12d99i4<;:k1ac<72-8>i7<je:l11a<732c9ii4?:%06a?4bm2d99i4>;:k1af<72-8>i7<je:l11a<532c9io4?:%06a?4bm2d99i4<;:m25c<72-8>i7?>e:l11a<732e:=i4?:%06a?76m2d99i4>;:m25g<72-8>i7?>e:l11a<532e:=l4?:%06a?76m2d99i4<;:m25<<72-8>i7?>e:l11a<332e:=54?:%06a?76m2d99i4:;:m252<72-8>i7?>e:l11a<132e:=;4?:%06a?76m2d99i48;:m250<72-8>i7?>e:l11a<?32e:=94?:%06a?76m2d99i46;:m256<72-8>i7?>e:l11a<f32e:=?4?:%06a?76m2d99i4m;:m255<72-8>i7?>e:l11a<d32e:<k4?:%06a?76m2d99i4k;:m24`<72-8>i7?>e:l11a<b32e:<i4?:%06a?76m2d99i4i;:m24f<72-8>i7?>e:l11a<6821d==l50;&11`<69l1e>8j51098k46f290/>8k510g8j73c28807b??9;29 73b28;n7c<:d;30?>i6810;6)<:e;32a>h5=m0:865`11594?"5=l0:=h5a24f950=<g88=6=4+24g954c<f;?o6<84;n311?6=,;?n6<?j;o06`?7032e:>94?:%06a?76m2d99i4>8:9l575=83.99h4>1d9m60b=9010c<<=:18'60c=98o0b?;k:0c8?j7593:1(?;j:03f?k42l3;i76a>2183>!42m3;:i6`=5e82g>=h98i1<7*=5d825`=i:<n1=i54o032>5<#:<o1=<k4n37g>4c<3f;;:7>5$37f>47b3g8>h7?i;:m204<72-8>i7?;0:l11a<732e:?k4?:%06a?7382d99i4>;:m27a<72-8>i7?;0:l11a<532e:?n4?:%06a?7382d99i4<;:m27g<72-8>i7?;0:l11a<332e:?l4?:%06a?7382d99i4:;:m27<<72-8>i7?;0:l11a<132e:?54?:%06a?7382d99i48;:m272<72-8>i7?;0:l11a<?32e:?;4?:%06a?7382d99i46;:m270<72-8>i7?;0:l11a<f32e:?94?:%06a?7382d99i4m;:m277<72-8>i7?;0:l11a<d32e:?<4?:%06a?7382d99i4k;:m275<72-8>i7?;0:l11a<b32e:>k4?:%06a?7382d99i4i;:m26`<72-8>i7?;0:l11a<6821d=?j50;&11`<6<91e>8j51098k44d290/>8k51528j73c28807b?=b;29 73b28>;7c<:d;30?>i6:h0;6)<:e;374>h5=m0:865`13;94?"5=l0:8=5a24f950=<g8>36=4+24g9516<f;?o6<84;n373?6=,;?n6<:?;o06`?7032e:8;4?:%06a?7382d99i4>8:9l513=83.99h4>419m60b=9010c<:;:18'60c=9=:0b?;k:0c8?j73;3:1(?;j:063?k42l3;i76a>4383>!42m3;?<6`=5e82g>=h9:o1<7*=5d8205=i:<n1=i54o010>5<#:<o1=9>4n37g>4c<3f;947>5$37f>4273g8>h7?i;:m20`<72-8>i7?;d:l11a<732e:8n4?:%06a?73l2d99i4>;:m20g<72-8>i7?;d:l11a<532e:8l4?:%06a?73l2d99i4<;:m216<72-8>i7?:2:l11a<732e:9<4?:%06a?72:2d99i4>;:m215<72-8>i7?:2:l11a<532e:8k4?:%06a?72:2d99i4<;:\7faf35=83i96=4?{%0ga?4el2B8<45G2d08^3>=kr8=6?952881e?552:91?94<5;0g>7c=;?08;7sa13594>h6<00;7)<k7;34f>"5lh097)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c>38>;6*>d581?!7c=380(<6m:29'5=e=;2.:4i4<;%3;a?5<,82m6>5+18297>"6l10:;o5+1e;952d<,;hn6?;8;%0ab?42?2d9h<46;o0g6??<,;n36?;8;%3:5?5<,8396>5+2g396c6<,;l96?h?;o0e7??<f;l?645+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2=1<43-;297=4$0;5>6=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#:mh1>6g9a;29?l0e2900e:>50;9j34<722c:;:4?::k1`6<722c9h94?::k23=<722c9hn4?::k1`a<722e=o7>5;n4g>5<<a=k1<7*=5d87=>h5=m0;76g;8;29 73b2=30b?;k:098m11=83.99h4;9:l11a<532c?:7>5$37f>1?<f;?o6>54i5794?"5=l0?56`=5e87?>o2;3:1(?;j:5;8j73c2<10e8<50;&11`<312d99i49;:k65?6=,;?n6974n37g>2=<a<:1<7*=5d87=>h5=m0376g;f;29 73b2=30b?;k:898m1c=83.99h4;9:l11a<f32c?h7>5$37f>1?<f;?o6o54i5a94?"5=l0?56`=5e8`?>o3j3:1(?;j:5;8j73c2m10e9:50;&11`<312d99i4j;:k6e?6=,;?n6874n37g>5=<a<21<7*=5d86=>h5=m0:76g:7;29 73b2<30b?;k:398m00=83.99h4:9:l11a<432c>97>5$37f>0?<f;?o6954i7194?"5=l0>56`=5e86?>o1:3:1(?;j:4;8j73c2?10e;?50;&11`<212d99i48;:k54?6=,;?n6874n37g>==<a<l1<7*=5d86=>h5=m0276g:e;29 73b2<30b?;k:`98m0b=83.99h4:9:l11a<e32c>o7>5$37f>0?<f;?o6n54i4`94?"5=l0>56`=5e8g?>o2<3:1(?;j:4;8j73c2l10eo<50;&11`<e92d99i4?;:ka4?6=,;?n6o?4n37g>4=<aho1<7*=5d8a5>h5=m0976gnd;29 73b2k;0b?;k:298mde=83.99h4m1:l11a<332cjn7>5$37f>g7<f;?o6854i`c94?"5=l0i=6`=5e85?>of13:1(?;j:c38j73c2>10el650;&11`<e92d99i47;:kb3?6=,;?n6o?4n37g><=<ah<1<7*=5d8a5>h5=m0j76gn5;29 73b2k;0b?;k:c98md5=83.99h4m1:l11a<d32cj>7>5$37f>g7<f;?o6i54i`394?"5=l0i=6`=5e8f?>of83:1(?;j:c38j73c2o10e4h50;&11`<e92d99i4>0:9j=`<72-8>i7l>;o06`?7632c2h7>5$37f>g7<f;?o6<<4;h;`>5<#:<o1n<5a24f956=<a0h1<7*=5d8a5>h5=m0:865f9`83>!42m3h:7c<:d;36?>oe13:1(?;j:c38j73c28<07dl7:18'60c=j81e>8j51698mg1=83.99h4m1:l11a<6021bn;4?:%06a?d63g8>h7?6;:ka1?6=,;?n6o?4n37g>4g<3`h?6=4+24g9f4=i:<n1=o54ic194?"5=l0i=6`=5e82g>=nio0;6)<:e;`2?k42l3;o76gn4;29 73b2k;0b?;k:0g8?l?>290/>8k5b09m60b=9o10ei=50;&11`<c:2d99i4?;:kg5?6=,;?n6i<4n37g>4=<ajl1<7*=5d8g6>h5=m0976gle;29 73b2m80b?;k:298mfb=83.99h4k2:l11a<332cho7>5$37f>a4<f;?o6854ib`94?"5=l0o>6`=5e85?>odi3:1(?;j:e08j73c2>10en750;&11`<c:2d99i47;:k`<?6=,;?n6i<4n37g><=<aj=1<7*=5d8g6>h5=m0j76gl6;29 73b2m80b?;k:c98mf2=83.99h4k2:l11a<d32ch?7>5$37f>a4<f;?o6i54ib094?"5=l0o>6`=5e8f?>od93:1(?;j:e08j73c2o10en>50;&11`<c:2d99i4>0:9jfc<72-8>i7j=;o06`?7632cii7>5$37f>a4<f;?o6<<4;h`g>5<#:<o1h?5a24f956=<aki1<7*=5d8g6>h5=m0:865fbc83>!42m3n97c<:d;36?>oci3:1(?;j:e08j73c28<07dj6:18'60c=l;1e>8j51698ma>=83.99h4k2:l11a<6021bh:4?:%06a?b53g8>h7?6;:kg2?6=,;?n6i<4n37g>4g<3`n>6=4+24g9`7=i:<n1=o54ie694?"5=l0o>6`=5e82g>=nl90;6)<:e;f1?k42l3;o76gl5;29 73b2m80b?;k:0g8?ldf290/>8k5d39m60b=9o10e<>?:18'60c=no1e>8j50:9jb`<72-8>i7hi;o06`?7<3`lo6=4+24g9bc=i:<n1>65ffb83>!42m3lm7c<:d;18?l77=3:1(?;j:027?k42l3:07d??3;29 73b28:?7c<:d;38?l77:3:1(?;j:027?k42l3807d??1;29 73b28:?7c<:d;18?l4bi3:1(?;j:3g:?k42l3:07d<j8;29 73b2;o27c<:d;38?l4b?3:1(?;j:3g:?k42l3807d<j6;29 73b2;o27c<:d;18?l4bn3:1(?;j:3gf?k42l3:07d<jd;29 73b2;on7c<:d;38?l4bk3:1(?;j:3gf?k42l3807d<jb;29 73b2;on7c<:d;18?j76n3:1(?;j:03f?k42l3:07b?>d;29 73b28;n7c<:d;38?j76j3:1(?;j:03f?k42l3807b?>a;29 73b28;n7c<:d;18?j7613:1(?;j:03f?k42l3>07b?>8;29 73b28;n7c<:d;78?j76?3:1(?;j:03f?k42l3<07b?>6;29 73b28;n7c<:d;58?j76=3:1(?;j:03f?k42l3207b?>4;29 73b28;n7c<:d;;8?j76;3:1(?;j:03f?k42l3k07b?>2;29 73b28;n7c<:d;`8?j7683:1(?;j:03f?k42l3i07b??f;29 73b28;n7c<:d;f8?j77m3:1(?;j:03f?k42l3o07b??d;29 73b28;n7c<:d;d8?j77k3:1(?;j:03f?k42l3;;76a>0c83>!42m3;:i6`=5e825>=h99k1<7*=5d825`=i:<n1=?54o02:>5<#:<o1=<k4n37g>45<3f;;47>5$37f>47b3g8>h7?;;:m242<72-8>i7?>e:l11a<6=21d=?850;&11`<69l1e>8j51798k442290/>8k510g8j73c28=07b?=4;29 73b28;n7c<:d;3;?>i6::0;6)<:e;32a>h5=m0:565`13094?"5=l0:=h5a24f95d=<g88:6=4+24g954c<f;?o6<l4;n314?6=,;?n6<?j;o06`?7d32e:=n4?:%06a?76m2d99i4>d:9l547=83.99h4>1d9m60b=9l10c<>9:18'60c=98o0b?;k:0d8?j7393:1(?;j:063?k42l3:07b?<f;29 73b28>;7c<:d;38?j74l3:1(?;j:063?k42l3807b?<c;29 73b28>;7c<:d;18?j74j3:1(?;j:063?k42l3>07b?<a;29 73b28>;7c<:d;78?j7413:1(?;j:063?k42l3<07b?<8;29 73b28>;7c<:d;58?j74?3:1(?;j:063?k42l3207b?<6;29 73b28>;7c<:d;;8?j74=3:1(?;j:063?k42l3k07b?<4;29 73b28>;7c<:d;`8?j74:3:1(?;j:063?k42l3i07b?<1;29 73b28>;7c<:d;f8?j7483:1(?;j:063?k42l3o07b?=f;29 73b28>;7c<:d;d8?j75m3:1(?;j:063?k42l3;;76a>2e83>!42m3;?<6`=5e825>=h9;i1<7*=5d8205=i:<n1=?54o00a>5<#:<o1=9>4n37g>45<3f;9m7>5$37f>4273g8>h7?;;:m26<<72-8>i7?;0:l11a<6=21d=9650;&11`<6<91e>8j51798k420290/>8k51528j73c28=07b?;6;29 73b28>;7c<:d;3;?>i6<<0;6)<:e;374>h5=m0:565`15694?"5=l0:8=5a24f95d=<g8>86=4+24g9516<f;?o6<l4;n376?6=,;?n6<:?;o06`?7d32e:?h4?:%06a?7382d99i4>d:9l565=83.99h4>419m60b=9l10c<<7:18'60c=9=:0b?;k:0d8?j73m3:1(?;j:06g?k42l3:07b?;c;29 73b28>o7c<:d;38?j73j3:1(?;j:06g?k42l3807b?;a;29 73b28>o7c<:d;18?j72;3:1(?;j:071?k42l3:07b?:1;29 73b28?97c<:d;38?j7283:1(?;j:071?k42l3807b?;f;29 73b28?97c<:d;18?xde>=0;6n<50;2x 7bb2;ho7E=?9:J1a7=]>10hw?852681=?4f2:81?>4<4;16>7b=:l08:7=8:|l262<73g;?57>4$3f4>41e3-8om7<4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n=6?;8;%3g0?4<,8n>6?5+19`97>"60j087)?7d;18 4>b2:1/=5h53:&2=5<43-;o47?8b:&2`<<6?k1/>ok52458 7da2;?<7c<k1;;8j7b5201/>i652458 4?62:1/=4<53:&1b4<5n91/>k<52g28j7`4201e>k:59:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7><390(<7::29'5<0=;2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.9ho4=;h4b>5<<a?h1<75f7183>>o093:17d?87;29?l4c;3:17d<k4;29?l7003:17d<kc;29?l4cl3:17b8l:188k3b=831b8l4?:%06a?2>3g8>h7>4;h6;>5<#:<o1845a24f95>=n<>0;6)<:e;6:?k42l3807d:9:18'60c=<01e>8j53:9j00<72-8>i7:6;o06`?2<3`?86=4+24g90<=i:<n1965f5383>!42m3>27c<:d;48?l36290/>8k5489m60b=?21b9=4?:%06a?2>3g8>h764;h6e>5<#:<o1845a24f9=>=n<l0;6)<:e;6:?k42l3k07d:k:18'60c=<01e>8j5b:9j0f<72-8>i7:6;o06`?e<3`>i6=4+24g90<=i:<n1h65f4583>!42m3>27c<:d;g8?l3f290/>8k5589m60b=821b954?:%06a?3>3g8>h7?4;h74>5<#:<o1945a24f96>=n=?0;6)<:e;7:?k42l3907d;::18'60c==01e>8j54:9j26<72-8>i7;6;o06`?3<3`<96=4+24g91<=i:<n1:65f6083>!42m3?27c<:d;58?l07290/>8k5589m60b=021b9k4?:%06a?3>3g8>h774;h7f>5<#:<o1945a24f9e>=n=m0;6)<:e;7:?k42l3h07d;l:18'60c==01e>8j5c:9j1g<72-8>i7;6;o06`?b<3`??6=4+24g91<=i:<n1i65fb383>!42m3h:7c<:d;28?ld7290/>8k5b09m60b=921bmh4?:%06a?d63g8>h7<4;hcg>5<#:<o1n<5a24f97>=nij0;6)<:e;`2?k42l3>07dom:18'60c=j81e>8j55:9jed<72-8>i7l>;o06`?0<3`k26=4+24g9f4=i:<n1;65fa983>!42m3h:7c<:d;:8?lg0290/>8k5b09m60b=121bm;4?:%06a?d63g8>h7o4;hc6>5<#:<o1n<5a24f9f>=ni:0;6)<:e;`2?k42l3i07do=:18'60c=j81e>8j5d:9je4<72-8>i7l>;o06`?c<3`k;6=4+24g9f4=i:<n1j65f9g83>!42m3h:7c<:d;33?>o>m3:1(?;j:c38j73c28;07d7k:18'60c=j81e>8j51398m<e=83.99h4m1:l11a<6;21b5o4?:%06a?d63g8>h7?;;:k:e?6=,;?n6o?4n37g>43<3`h26=4+24g9f4=i:<n1=;54ic:94?"5=l0i=6`=5e823>=nj>0;6)<:e;`2?k42l3;376gm6;29 73b2k;0b?;k:0;8?ld2290/>8k5b09m60b=9h10eo:50;&11`<e92d99i4>b:9jf6<72-8>i7l>;o06`?7d32cjj7>5$37f>g7<f;?o6<j4;hc7>5<#:<o1n<5a24f95`=<a031<7*=5d8a5>h5=m0:j65fd283>!42m3n97c<:d;28?lb6290/>8k5d39m60b=921bok4?:%06a?b53g8>h7<4;haf>5<#:<o1h?5a24f97>=nkm0;6)<:e;f1?k42l3>07dml:18'60c=l;1e>8j55:9jgg<72-8>i7j=;o06`?0<3`ij6=4+24g9`7=i:<n1;65fc883>!42m3n97c<:d;:8?le?290/>8k5d39m60b=121bo:4?:%06a?b53g8>h7o4;ha5>5<#:<o1h?5a24f9f>=nk=0;6)<:e;f1?k42l3i07dm<:18'60c=l;1e>8j5d:9jg7<72-8>i7j=;o06`?c<3`i:6=4+24g9`7=i:<n1j65fc183>!42m3n97c<:d;33?>oen3:1(?;j:e08j73c28;07dlj:18'60c=l;1e>8j51398mgb=83.99h4k2:l11a<6;21bnn4?:%06a?b53g8>h7?;;:kaf?6=,;?n6i<4n37g>43<3`nj6=4+24g9`7=i:<n1=;54ie;94?"5=l0o>6`=5e823>=nl10;6)<:e;f1?k42l3;376gk7;29 73b2m80b?;k:0;8?lb1290/>8k5d39m60b=9h10ei;50;&11`<c:2d99i4>b:9j`1<72-8>i7j=;o06`?7d32co<7>5$37f>a4<f;?o6<j4;ha6>5<#:<o1h?5a24f95`=<akk1<7*=5d8g6>h5=m0:j65f11294?"5=l0mj6`=5e83?>oam3:1(?;j:gd8j73c2810ekj50;&11`<an2d99i4=;:keg?6=,;?n6kh4n37g>6=<a8:>6=4+24g9552<f;?o6=54i020>5<#:<o1==:4n37g>4=<a8:96=4+24g9552<f;?o6?54i022>5<#:<o1==:4n37g>6=<a;oj6=4+24g96`?<f;?o6=54i3g;>5<#:<o1>h74n37g>4=<a;o<6=4+24g96`?<f;?o6?54i3g5>5<#:<o1>h74n37g>6=<a;om6=4+24g96`c<f;?o6=54i3gg>5<#:<o1>hk4n37g>4=<a;oh6=4+24g96`c<f;?o6?54i3ga>5<#:<o1>hk4n37g>6=<g8;m6=4+24g954c<f;?o6=54o03g>5<#:<o1=<k4n37g>4=<g8;i6=4+24g954c<f;?o6?54o03b>5<#:<o1=<k4n37g>6=<g8;26=4+24g954c<f;?o6954o03;>5<#:<o1=<k4n37g>0=<g8;<6=4+24g954c<f;?o6;54o035>5<#:<o1=<k4n37g>2=<g8;>6=4+24g954c<f;?o6554o037>5<#:<o1=<k4n37g><=<g8;86=4+24g954c<f;?o6l54o031>5<#:<o1=<k4n37g>g=<g8;;6=4+24g954c<f;?o6n54o02e>5<#:<o1=<k4n37g>a=<g8:n6=4+24g954c<f;?o6h54o02g>5<#:<o1=<k4n37g>c=<g8:h6=4+24g954c<f;?o6<>4;n33f?6=,;?n6<?j;o06`?7632e:<l4?:%06a?76m2d99i4>2:9l55?=83.99h4>1d9m60b=9:10c<>7:18'60c=98o0b?;k:068?j77?3:1(?;j:03f?k42l3;>76a>2783>!42m3;:i6`=5e822>=h9;?1<7*=5d825`=i:<n1=:54o007>5<#:<o1=<k4n37g>4><3f;9?7>5$37f>47b3g8>h7?6;:m267<72-8>i7?>e:l11a<6i21d=??50;&11`<69l1e>8j51c98k447290/>8k510g8j73c28i07b?>c;29 73b28;n7c<:d;3g?>i6980;6)<:e;32a>h5=m0:i65`11494?"5=l0:=h5a24f95c=<g8>:6=4+24g9516<f;?o6=54o01e>5<#:<o1=9>4n37g>4=<g89o6=4+24g9516<f;?o6?54o01`>5<#:<o1=9>4n37g>6=<g89i6=4+24g9516<f;?o6954o01b>5<#:<o1=9>4n37g>0=<g8926=4+24g9516<f;?o6;54o01;>5<#:<o1=9>4n37g>2=<g89<6=4+24g9516<f;?o6554o015>5<#:<o1=9>4n37g><=<g89>6=4+24g9516<f;?o6l54o017>5<#:<o1=9>4n37g>g=<g8996=4+24g9516<f;?o6n54o012>5<#:<o1=9>4n37g>a=<g89;6=4+24g9516<f;?o6h54o00e>5<#:<o1=9>4n37g>c=<g88n6=4+24g9516<f;?o6<>4;n31`?6=,;?n6<:?;o06`?7632e:>n4?:%06a?7382d99i4>2:9l57d=83.99h4>419m60b=9:10c<<n:18'60c=9=:0b?;k:068?j7513:1(?;j:063?k42l3;>76a>4983>!42m3;?<6`=5e822>=h9==1<7*=5d8205=i:<n1=:54o065>5<#:<o1=9>4n37g>4><3f;?97>5$37f>4273g8>h7?6;:m201<72-8>i7?;0:l11a<6i21d=9=50;&11`<6<91e>8j51c98k425290/>8k51528j73c28i07b?<e;29 73b28>;7c<:d;3g?>i6;:0;6)<:e;374>h5=m0:i65`13:94?"5=l0:8=5a24f95c=<g8>n6=4+24g951b<f;?o6=54o06`>5<#:<o1=9j4n37g>4=<g8>i6=4+24g951b<f;?o6?54o06b>5<#:<o1=9j4n37g>6=<g8?86=4+24g9504<f;?o6=54o072>5<#:<o1=8<4n37g>4=<g8?;6=4+24g9504<f;?o6?54o06e>5<#:<o1=8<4n37g>6=<ukh=97>5c383>5}#:mo1>oj4H22:?M4b:2P=47mt27813?4>2;k1??4<3;17>63=:m09i7=9:259yk75?3:0b<:6:19'6a1=9>h0(?jn:39'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i852458 4b32;1/=i;52:&2<g<43-;3o7=4$0:g>6=#91o1?6*>8g80?!7>8390(<j7:05a?!7c13;<n6*=bd8112=#:kl1>894n3f2><=i:m8156*=d98112=#90;1?6*>9380?!4a938m<6*=f381b5=i:o9156`=f58:?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)<kb;08m3g=831b:o4?::k44?6=3`=:6=44i054>5<<a;n86=44i3f7>5<<a8=36=44i3f`>5<<a;no6=44o7a94?=h>m0;66g;a;29 73b2=30b?;k:198m1>=83.99h4;9:l11a<632c?;7>5$37f>1?<f;?o6?54i5494?"5=l0?56`=5e80?>o3=3:1(?;j:5;8j73c2=10e8=50;&11`<312d99i4:;:k66?6=,;?n6974n37g>3=<a<;1<7*=5d87=>h5=m0<76g:0;29 73b2=30b?;k:998m1`=83.99h4;9:l11a<>32c?i7>5$37f>1?<f;?o6l54i5f94?"5=l0?56`=5e8a?>o3k3:1(?;j:5;8j73c2j10e9l50;&11`<312d99i4k;:k70?6=,;?n6974n37g>`=<a<k1<7*=5d86=>h5=m0;76g:8;29 73b2<30b?;k:098m01=83.99h4:9:l11a<532c>:7>5$37f>0?<f;?o6>54i4794?"5=l0>56`=5e87?>o1;3:1(?;j:4;8j73c2<10e;<50;&11`<212d99i49;:k55?6=,;?n6874n37g>2=<a?:1<7*=5d86=>h5=m0376g:f;29 73b2<30b?;k:898m0c=83.99h4:9:l11a<f32c>h7>5$37f>0?<f;?o6o54i4a94?"5=l0>56`=5e8`?>o2j3:1(?;j:4;8j73c2m10e8:50;&11`<212d99i4j;:ka6?6=,;?n6o?4n37g>5=<ak:1<7*=5d8a5>h5=m0:76gne;29 73b2k;0b?;k:398mdb=83.99h4m1:l11a<432cjo7>5$37f>g7<f;?o6954i``94?"5=l0i=6`=5e86?>ofi3:1(?;j:c38j73c2?10el750;&11`<e92d99i48;:kb<?6=,;?n6o?4n37g>==<ah=1<7*=5d8a5>h5=m0276gn6;29 73b2k;0b?;k:`98md3=83.99h4m1:l11a<e32cj?7>5$37f>g7<f;?o6n54i`094?"5=l0i=6`=5e8g?>of93:1(?;j:c38j73c2l10el>50;&11`<e92d99i4i;:k:b?6=,;?n6o?4n37g>46<3`3n6=4+24g9f4=i:<n1=<54i8f94?"5=l0i=6`=5e826>=n1j0;6)<:e;`2?k42l3;876g6b;29 73b2k;0b?;k:068?l?f290/>8k5b09m60b=9<10eo750;&11`<e92d99i4>6:9jf=<72-8>i7l>;o06`?7032ci;7>5$37f>g7<f;?o6<64;h`5>5<#:<o1n<5a24f95<=<ak?1<7*=5d8a5>h5=m0:m65fb583>!42m3h:7c<:d;3a?>oe;3:1(?;j:c38j73c28i07doi:18'60c=j81e>8j51e98md2=83.99h4m1:l11a<6m21b544?:%06a?d63g8>h7?i;:kg7?6=,;?n6i<4n37g>5=<am;1<7*=5d8g6>h5=m0:76glf;29 73b2m80b?;k:398mfc=83.99h4k2:l11a<432chh7>5$37f>a4<f;?o6954iba94?"5=l0o>6`=5e86?>odj3:1(?;j:e08j73c2?10eno50;&11`<c:2d99i48;:k`=?6=,;?n6i<4n37g>==<aj21<7*=5d8g6>h5=m0276gl7;29 73b2m80b?;k:`98mf0=83.99h4k2:l11a<e32ch87>5$37f>a4<f;?o6n54ib194?"5=l0o>6`=5e8g?>od:3:1(?;j:e08j73c2l10en?50;&11`<c:2d99i4i;:k`4?6=,;?n6i<4n37g>46<3`hm6=4+24g9`7=i:<n1=<54icg94?"5=l0o>6`=5e826>=njm0;6)<:e;f1?k42l3;876gmc;29 73b2m80b?;k:068?lde290/>8k5d39m60b=9<10eio50;&11`<c:2d99i4>6:9j`<<72-8>i7j=;o06`?7032co47>5$37f>a4<f;?o6<64;hf4>5<#:<o1h?5a24f95<=<am<1<7*=5d8g6>h5=m0:m65fd483>!42m3n97c<:d;3a?>oc<3:1(?;j:e08j73c28i07dj?:18'60c=l;1e>8j51e98mf3=83.99h4k2:l11a<6m21bnl4?:%06a?b53g8>h7?i;:k245<72-8>i7hi;o06`?6<3`ln6=4+24g9bc=i:<n1=65ffe83>!42m3lm7c<:d;08?l`d290/>8k5fg9m60b=;21b==;50;&11`<68=1e>8j50:9j555=83.99h4>059m60b=921b==<50;&11`<68=1e>8j52:9j557=83.99h4>059m60b=;21b>ho50;&11`<5m01e>8j50:9j6`>=83.99h4=e89m60b=921b>h950;&11`<5m01e>8j52:9j6`0=83.99h4=e89m60b=;21b>hh50;&11`<5ml1e>8j50:9j6`b=83.99h4=ed9m60b=921b>hm50;&11`<5ml1e>8j52:9j6`d=83.99h4=ed9m60b=;21d=<h50;&11`<69l1e>8j50:9l54b=83.99h4>1d9m60b=921d=<l50;&11`<69l1e>8j52:9l54g=83.99h4>1d9m60b=;21d=<750;&11`<69l1e>8j54:9l54>=83.99h4>1d9m60b==21d=<950;&11`<69l1e>8j56:9l540=83.99h4>1d9m60b=?21d=<;50;&11`<69l1e>8j58:9l542=83.99h4>1d9m60b=121d=<=50;&11`<69l1e>8j5a:9l544=83.99h4>1d9m60b=j21d=<>50;&11`<69l1e>8j5c:9l55`=83.99h4>1d9m60b=l21d==k50;&11`<69l1e>8j5e:9l55b=83.99h4>1d9m60b=n21d==m50;&11`<69l1e>8j51198k46e290/>8k510g8j73c28;07b??a;29 73b28;n7c<:d;31?>i6800;6)<:e;32a>h5=m0:?65`11:94?"5=l0:=h5a24f951=<g8:<6=4+24g954c<f;?o6<;4;n312?6=,;?n6<?j;o06`?7132e:>84?:%06a?76m2d99i4>7:9l572=83.99h4>1d9m60b=9110c<<<:18'60c=98o0b?;k:0;8?j75:3:1(?;j:03f?k42l3;j76a>2083>!42m3;:i6`=5e82f>=h9;:1<7*=5d825`=i:<n1=n54o03`>5<#:<o1=<k4n37g>4b<3f;:=7>5$37f>47b3g8>h7?j;:m243<72-8>i7?>e:l11a<6n21d=9?50;&11`<6<91e>8j50:9l56`=83.99h4>419m60b=921d=>j50;&11`<6<91e>8j52:9l56e=83.99h4>419m60b=;21d=>l50;&11`<6<91e>8j54:9l56g=83.99h4>419m60b==21d=>750;&11`<6<91e>8j56:9l56>=83.99h4>419m60b=?21d=>950;&11`<6<91e>8j58:9l560=83.99h4>419m60b=121d=>;50;&11`<6<91e>8j5a:9l562=83.99h4>419m60b=j21d=><50;&11`<6<91e>8j5c:9l567=83.99h4>419m60b=l21d=>>50;&11`<6<91e>8j5e:9l57`=83.99h4>419m60b=n21d=?k50;&11`<6<91e>8j51198k44c290/>8k51528j73c28;07b?=c;29 73b28>;7c<:d;31?>i6:k0;6)<:e;374>h5=m0:?65`13c94?"5=l0:8=5a24f951=<g8826=4+24g9516<f;?o6<;4;n37<?6=,;?n6<:?;o06`?7132e:8:4?:%06a?7382d99i4>7:9l510=83.99h4>419m60b=9110c<:::18'60c=9=:0b?;k:0;8?j73<3:1(?;j:063?k42l3;j76a>4283>!42m3;?<6`=5e82f>=h9=81<7*=5d8205=i:<n1=n54o01f>5<#:<o1=9>4n37g>4b<3f;8?7>5$37f>4273g8>h7?j;:m26=<72-8>i7?;0:l11a<6n21d=9k50;&11`<6<m1e>8j50:9l51e=83.99h4>4e9m60b=921d=9l50;&11`<6<m1e>8j52:9l51g=83.99h4>4e9m60b=;21d=8=50;&11`<6=;1e>8j50:9l507=83.99h4>539m60b=921d=8>50;&11`<6=;1e>8j52:9l51`=83.99h4>539m60b=;21vno89:18`6?6=8r.9hh4=be9K75?<@;o97W87:by12?402;31>l4<2;10>62=;<09h7<j:24972<zf88<6=5a15;94>"5l>0:;o5+2ec96>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d78112=#9m>1>6*>d481?!7?j390(<6l:29'5=b=;2.:4h4<;%3;b?5<,83;6>5+1e:952d<,8n26<9m;%0aa?42?2.9nk4=569m6a7=12d9h?46;%0g<?42?2.:5<4<;%3:6?5<,;l:6?h?;%0e6?4a82d9j>46;o0e0??<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=4:53:&2=0<43-;2:7=4$0;4>6=#9021?6*>9880?!7>i390(<7m:29'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?n2;18 4g42:1/=l:53:&2e0<43-;j:7=4$3fa>7=n>h0;66g9b;29?l172900e:?50;9j521=831b>i=50;9j6a2=831b=:650;9j6ae=831b>ij50;9l2f<722e=h7>5;h6b>5<#:<o1845a24f94>=n<10;6)<:e;6:?k42l3;07d:8:18'60c=<01e>8j52:9j03<72-8>i7:6;o06`?5<3`>>6=4+24g90<=i:<n1865f5283>!42m3>27c<:d;78?l35290/>8k5489m60b=>21b9<4?:%06a?2>3g8>h794;h73>5<#:<o1845a24f9<>=n<o0;6)<:e;6:?k42l3307d:j:18'60c=<01e>8j5a:9j0a<72-8>i7:6;o06`?d<3`>h6=4+24g90<=i:<n1o65f4c83>!42m3>27c<:d;f8?l23290/>8k5489m60b=m21b9l4?:%06a?3>3g8>h7>4;h7;>5<#:<o1945a24f95>=n=>0;6)<:e;7:?k42l3807d;9:18'60c==01e>8j53:9j10<72-8>i7;6;o06`?2<3`<86=4+24g91<=i:<n1965f6383>!42m3?27c<:d;48?l06290/>8k5589m60b=?21b:=4?:%06a?3>3g8>h764;h7e>5<#:<o1945a24f9=>=n=l0;6)<:e;7:?k42l3k07d;k:18'60c==01e>8j5b:9j1f<72-8>i7;6;o06`?e<3`?i6=4+24g91<=i:<n1h65f5583>!42m3?27c<:d;g8?ld5290/>8k5b09m60b=821bn=4?:%06a?d63g8>h7?4;hcf>5<#:<o1n<5a24f96>=nim0;6)<:e;`2?k42l3907dol:18'60c=j81e>8j54:9jeg<72-8>i7l>;o06`?3<3`kj6=4+24g9f4=i:<n1:65fa883>!42m3h:7c<:d;58?lg?290/>8k5b09m60b=021bm:4?:%06a?d63g8>h774;hc5>5<#:<o1n<5a24f9e>=ni<0;6)<:e;`2?k42l3h07do<:18'60c=j81e>8j5c:9je7<72-8>i7l>;o06`?b<3`k:6=4+24g9f4=i:<n1i65fa183>!42m3h:7c<:d;d8?l?a290/>8k5b09m60b=9910e4k50;&11`<e92d99i4>1:9j=a<72-8>i7l>;o06`?7532c2o7>5$37f>g7<f;?o6<=4;h;a>5<#:<o1n<5a24f951=<a0k1<7*=5d8a5>h5=m0:965fb883>!42m3h:7c<:d;35?>oe03:1(?;j:c38j73c28=07dl8:18'60c=j81e>8j51998mg0=83.99h4m1:l11a<6121bn84?:%06a?d63g8>h7?n;:ka0?6=,;?n6o?4n37g>4d<3`h86=4+24g9f4=i:<n1=n54i`d94?"5=l0i=6`=5e82`>=ni=0;6)<:e;`2?k42l3;n76g69;29 73b2k;0b?;k:0d8?lb4290/>8k5d39m60b=821bh<4?:%06a?b53g8>h7?4;hae>5<#:<o1h?5a24f96>=nkl0;6)<:e;f1?k42l3907dmk:18'60c=l;1e>8j54:9jgf<72-8>i7j=;o06`?3<3`ii6=4+24g9`7=i:<n1:65fc`83>!42m3n97c<:d;58?le>290/>8k5d39m60b=021bo54?:%06a?b53g8>h774;ha4>5<#:<o1h?5a24f9e>=nk?0;6)<:e;f1?k42l3h07dm;:18'60c=l;1e>8j5c:9jg6<72-8>i7j=;o06`?b<3`i96=4+24g9`7=i:<n1i65fc083>!42m3n97c<:d;d8?le7290/>8k5d39m60b=9910eoh50;&11`<c:2d99i4>1:9jf`<72-8>i7j=;o06`?7532cih7>5$37f>a4<f;?o6<=4;h``>5<#:<o1h?5a24f951=<akh1<7*=5d8g6>h5=m0:965fd`83>!42m3n97c<:d;35?>oc13:1(?;j:e08j73c28=07dj7:18'60c=l;1e>8j51998ma1=83.99h4k2:l11a<6121bh;4?:%06a?b53g8>h7?n;:kg1?6=,;?n6i<4n37g>4d<3`n?6=4+24g9`7=i:<n1=n54ie294?"5=l0o>6`=5e82`>=nk<0;6)<:e;f1?k42l3;n76gma;29 73b2m80b?;k:0d8?l7783:1(?;j:gd8j73c2910ekk50;&11`<an2d99i4>;:ke`?6=,;?n6kh4n37g>7=<aoi1<7*=5d8eb>h5=m0876g>0483>!42m3;;86`=5e83?>o68:0;6)<:e;330>h5=m0:76g>0383>!42m3;;86`=5e81?>o6880;6)<:e;330>h5=m0876g=e`83>!42m38n56`=5e83?>o5m10;6)<:e;0f=>h5=m0:76g=e683>!42m38n56`=5e81?>o5m?0;6)<:e;0f=>h5=m0876g=eg83>!42m38ni6`=5e83?>o5mm0;6)<:e;0fa>h5=m0:76g=eb83>!42m38ni6`=5e81?>o5mk0;6)<:e;0fa>h5=m0876a>1g83>!42m3;:i6`=5e83?>i69m0;6)<:e;32a>h5=m0:76a>1c83>!42m3;:i6`=5e81?>i69h0;6)<:e;32a>h5=m0876a>1883>!42m3;:i6`=5e87?>i6910;6)<:e;32a>h5=m0>76a>1683>!42m3;:i6`=5e85?>i69?0;6)<:e;32a>h5=m0<76a>1483>!42m3;:i6`=5e8;?>i69=0;6)<:e;32a>h5=m0276a>1283>!42m3;:i6`=5e8b?>i69;0;6)<:e;32a>h5=m0i76a>1183>!42m3;:i6`=5e8`?>i68o0;6)<:e;32a>h5=m0o76a>0d83>!42m3;:i6`=5e8f?>i68m0;6)<:e;32a>h5=m0m76a>0b83>!42m3;:i6`=5e824>=h99h1<7*=5d825`=i:<n1=<54o02b>5<#:<o1=<k4n37g>44<3f;;57>5$37f>47b3g8>h7?<;:m24=<72-8>i7?>e:l11a<6<21d==950;&11`<69l1e>8j51498k441290/>8k510g8j73c28<07b?=5;29 73b28;n7c<:d;34?>i6:=0;6)<:e;32a>h5=m0:465`13194?"5=l0:=h5a24f95<=<g8896=4+24g954c<f;?o6<o4;n315?6=,;?n6<?j;o06`?7e32e:>=4?:%06a?76m2d99i4>c:9l54e=83.99h4>1d9m60b=9m10c<?>:18'60c=98o0b?;k:0g8?j77>3:1(?;j:03f?k42l3;m76a>4083>!42m3;?<6`=5e83?>i6;o0;6)<:e;374>h5=m0:76a>3e83>!42m3;?<6`=5e81?>i6;j0;6)<:e;374>h5=m0876a>3c83>!42m3;?<6`=5e87?>i6;h0;6)<:e;374>h5=m0>76a>3883>!42m3;?<6`=5e85?>i6;10;6)<:e;374>h5=m0<76a>3683>!42m3;?<6`=5e8;?>i6;?0;6)<:e;374>h5=m0276a>3483>!42m3;?<6`=5e8b?>i6;=0;6)<:e;374>h5=m0i76a>3383>!42m3;?<6`=5e8`?>i6;80;6)<:e;374>h5=m0o76a>3183>!42m3;?<6`=5e8f?>i6:o0;6)<:e;374>h5=m0m76a>2d83>!42m3;?<6`=5e824>=h9;n1<7*=5d8205=i:<n1=<54o00`>5<#:<o1=9>4n37g>44<3f;9n7>5$37f>4273g8>h7?<;:m26d<72-8>i7?;0:l11a<6<21d=?750;&11`<6<91e>8j51498k42?290/>8k51528j73c28<07b?;7;29 73b28>;7c<:d;34?>i6<?0;6)<:e;374>h5=m0:465`15794?"5=l0:8=5a24f95<=<g8>?6=4+24g9516<f;?o6<o4;n377?6=,;?n6<:?;o06`?7e32e:8?4?:%06a?7382d99i4>c:9l56c=83.99h4>419m60b=9m10c<=<:18'60c=9=:0b?;k:0g8?j7503:1(?;j:063?k42l3;m76a>4d83>!42m3;?h6`=5e83?>i6<j0;6)<:e;37`>h5=m0:76a>4c83>!42m3;?h6`=5e81?>i6<h0;6)<:e;37`>h5=m0876a>5283>!42m3;>>6`=5e83?>i6=80;6)<:e;366>h5=m0:76a>5183>!42m3;>>6`=5e81?>i6<o0;6)<:e;366>h5=m0876smb7594?e5290;w)<ke;0a`>N4801C>h<4Z7:9g~412;=1>44=a;11>65=;=0897<k:3g973<4?3we=?950:l20<<73-8o;7?8b:&1`d<53-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g2?42?2.:h94=;%3g1?4<,82i6>5+19a97>"60m087)?7e;18 4>a2:1/=4>53:&2`=<6?k1/=i7516`8 7db2;?<7)<mf;063>h5l8027c<k2;;8 7b?2;?<7)?61;18 4?52:1/>k?52g28 7`52;l;7c<i3;;8j7`3201/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>9580?!7>=390(<79:29'5<1=;2.:554<;%3:=?5<,83j6>5+18`97>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0c1>6=#9h91?6*>a580?!7f=390(<o9:29'6ad=:2c=m7>5;h4a>5<<a>:1<75f7083>>o6?>0;66g=d283>>o5l=0;66g>7983>>o5lj0;66g=de83>>i1k3:17b8k:188m1g=83.99h4;9:l11a<732c?47>5$37f>1?<f;?o6<54i5594?"5=l0?56`=5e81?>o3>3:1(?;j:5;8j73c2:10e9;50;&11`<312d99i4;;:k67?6=,;?n6974n37g>0=<a<81<7*=5d87=>h5=m0=76g:1;29 73b2=30b?;k:698m06=83.99h4;9:l11a<?32c?j7>5$37f>1?<f;?o6454i5g94?"5=l0?56`=5e8b?>o3l3:1(?;j:5;8j73c2k10e9m50;&11`<312d99i4l;:k7f?6=,;?n6974n37g>a=<a=>1<7*=5d87=>h5=m0n76g:a;29 73b2<30b?;k:198m0>=83.99h4:9:l11a<632c>;7>5$37f>0?<f;?o6?54i4494?"5=l0>56`=5e80?>o2=3:1(?;j:4;8j73c2=10e;=50;&11`<212d99i4:;:k56?6=,;?n6874n37g>3=<a?;1<7*=5d86=>h5=m0<76g90;29 73b2<30b?;k:998m0`=83.99h4:9:l11a<>32c>i7>5$37f>0?<f;?o6l54i4f94?"5=l0>56`=5e8a?>o2k3:1(?;j:4;8j73c2j10e8l50;&11`<212d99i4k;:k60?6=,;?n6874n37g>`=<ak81<7*=5d8a5>h5=m0;76gm0;29 73b2k;0b?;k:098mdc=83.99h4m1:l11a<532cjh7>5$37f>g7<f;?o6>54i`a94?"5=l0i=6`=5e87?>ofj3:1(?;j:c38j73c2<10elo50;&11`<e92d99i49;:kb=?6=,;?n6o?4n37g>2=<ah21<7*=5d8a5>h5=m0376gn7;29 73b2k;0b?;k:898md0=83.99h4m1:l11a<f32cj97>5$37f>g7<f;?o6o54i`194?"5=l0i=6`=5e8`?>of:3:1(?;j:c38j73c2m10el?50;&11`<e92d99i4j;:kb4?6=,;?n6o?4n37g>c=<a0l1<7*=5d8a5>h5=m0:<65f9d83>!42m3h:7c<:d;32?>o>l3:1(?;j:c38j73c28807d7l:18'60c=j81e>8j51298m<d=83.99h4m1:l11a<6<21b5l4?:%06a?d63g8>h7?:;:ka=?6=,;?n6o?4n37g>40<3`h36=4+24g9f4=i:<n1=:54ic594?"5=l0i=6`=5e82<>=nj?0;6)<:e;`2?k42l3;276gm5;29 73b2k;0b?;k:0c8?ld3290/>8k5b09m60b=9k10eo=50;&11`<e92d99i4>c:9jec<72-8>i7l>;o06`?7c32cj87>5$37f>g7<f;?o6<k4;h;:>5<#:<o1n<5a24f95c=<am91<7*=5d8g6>h5=m0;76gk1;29 73b2m80b?;k:098mf`=83.99h4k2:l11a<532chi7>5$37f>a4<f;?o6>54ibf94?"5=l0o>6`=5e87?>odk3:1(?;j:e08j73c2<10enl50;&11`<c:2d99i49;:k`e?6=,;?n6i<4n37g>2=<aj31<7*=5d8g6>h5=m0376gl8;29 73b2m80b?;k:898mf1=83.99h4k2:l11a<f32ch:7>5$37f>a4<f;?o6o54ib694?"5=l0o>6`=5e8`?>od;3:1(?;j:e08j73c2m10en<50;&11`<c:2d99i4j;:k`5?6=,;?n6i<4n37g>c=<aj:1<7*=5d8g6>h5=m0:<65fbg83>!42m3n97c<:d;32?>oem3:1(?;j:e08j73c28807dlk:18'60c=l;1e>8j51298mge=83.99h4k2:l11a<6<21bno4?:%06a?b53g8>h7?:;:kge?6=,;?n6i<4n37g>40<3`n26=4+24g9`7=i:<n1=:54ie:94?"5=l0o>6`=5e82<>=nl>0;6)<:e;f1?k42l3;276gk6;29 73b2m80b?;k:0c8?lb2290/>8k5d39m60b=9k10ei:50;&11`<c:2d99i4>c:9j`5<72-8>i7j=;o06`?7c32ch97>5$37f>a4<f;?o6<k4;h`b>5<#:<o1h?5a24f95c=<a8:;6=4+24g9bc=i:<n1<65ffd83>!42m3lm7c<:d;38?l`c290/>8k5fg9m60b=:21bjn4?:%06a?`a3g8>h7=4;h331?6=,;?n6<>;;o06`?6<3`;;?7>5$37f>4633g8>h7?4;h336?6=,;?n6<>;;o06`?4<3`;;=7>5$37f>4633g8>h7=4;h0fe?6=,;?n6?k6;o06`?6<3`8n47>5$37f>7c>3g8>h7?4;h0f3?6=,;?n6?k6;o06`?4<3`8n:7>5$37f>7c>3g8>h7=4;h0fb?6=,;?n6?kj;o06`?6<3`8nh7>5$37f>7cb3g8>h7?4;h0fg?6=,;?n6?kj;o06`?4<3`8nn7>5$37f>7cb3g8>h7=4;n32b?6=,;?n6<?j;o06`?6<3f;:h7>5$37f>47b3g8>h7?4;n32f?6=,;?n6<?j;o06`?4<3f;:m7>5$37f>47b3g8>h7=4;n32=?6=,;?n6<?j;o06`?2<3f;:47>5$37f>47b3g8>h7;4;n323?6=,;?n6<?j;o06`?0<3f;::7>5$37f>47b3g8>h794;n321?6=,;?n6<?j;o06`?><3f;:87>5$37f>47b3g8>h774;n327?6=,;?n6<?j;o06`?g<3f;:>7>5$37f>47b3g8>h7l4;n324?6=,;?n6<?j;o06`?e<3f;;j7>5$37f>47b3g8>h7j4;n33a?6=,;?n6<?j;o06`?c<3f;;h7>5$37f>47b3g8>h7h4;n33g?6=,;?n6<?j;o06`?7732e:<o4?:%06a?76m2d99i4>1:9l55g=83.99h4>1d9m60b=9;10c<>6:18'60c=98o0b?;k:018?j7703:1(?;j:03f?k42l3;?76a>0683>!42m3;:i6`=5e821>=h9;<1<7*=5d825`=i:<n1=;54o006>5<#:<o1=<k4n37g>41<3f;987>5$37f>47b3g8>h7?7;:m266<72-8>i7?>e:l11a<6121d=?<50;&11`<69l1e>8j51`98k446290/>8k510g8j73c28h07b?=0;29 73b28;n7c<:d;3`?>i69j0;6)<:e;32a>h5=m0:h65`10394?"5=l0:=h5a24f95`=<g8:=6=4+24g954c<f;?o6<h4;n375?6=,;?n6<:?;o06`?6<3f;8j7>5$37f>4273g8>h7?4;n30`?6=,;?n6<:?;o06`?4<3f;8o7>5$37f>4273g8>h7=4;n30f?6=,;?n6<:?;o06`?2<3f;8m7>5$37f>4273g8>h7;4;n30=?6=,;?n6<:?;o06`?0<3f;847>5$37f>4273g8>h794;n303?6=,;?n6<:?;o06`?><3f;8:7>5$37f>4273g8>h774;n301?6=,;?n6<:?;o06`?g<3f;887>5$37f>4273g8>h7l4;n306?6=,;?n6<:?;o06`?e<3f;8=7>5$37f>4273g8>h7j4;n304?6=,;?n6<:?;o06`?c<3f;9j7>5$37f>4273g8>h7h4;n31a?6=,;?n6<:?;o06`?7732e:>i4?:%06a?7382d99i4>1:9l57e=83.99h4>419m60b=9;10c<<m:18'60c=9=:0b?;k:018?j75i3:1(?;j:063?k42l3;?76a>2883>!42m3;?<6`=5e821>=h9=21<7*=5d8205=i:<n1=;54o064>5<#:<o1=9>4n37g>41<3f;?:7>5$37f>4273g8>h7?7;:m200<72-8>i7?;0:l11a<6121d=9:50;&11`<6<91e>8j51`98k424290/>8k51528j73c28h07b?;2;29 73b28>;7c<:d;3`?>i6;l0;6)<:e;374>h5=m0:h65`12194?"5=l0:8=5a24f95`=<g8836=4+24g9516<f;?o6<h4;n37a?6=,;?n6<:k;o06`?6<3f;?o7>5$37f>42c3g8>h7?4;n37f?6=,;?n6<:k;o06`?4<3f;?m7>5$37f>42c3g8>h7=4;n367?6=,;?n6<;=;o06`?6<3f;>=7>5$37f>4353g8>h7?4;n364?6=,;?n6<;=;o06`?4<3f;?j7>5$37f>4353g8>h7=4;|`a2=<72j81<7>t$3ff>7dc3A9;56F=e39Y2=<ds;<1>:4=9;0b>64=;:0887=::3f96`<4>39<6p`>2683?k7313:0(?j8:05a?!4ci380(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b12;?<7)?k4;08 4b22;1/=5l53:&2<f<43-;3h7=4$0:f>6=#91l1?6*>9180?!7c03;<n6*>d8823g=#:ko1>894$3`e>7303g8o=774n3f1><=#:m21>894$0;2>6=#9081?6*=f081b5=#:o81>k>4n3d0><=i:o>156*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3:0?5<,83>6>5+18497>"61>087)?68;18 4?>2:1/=4o53:&2=g<43-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5d4=;2.:m>4<;%3b0?5<,8k>6>5+1`497>"5lk097d8n:188m3d=831b;=4?::k45?6=3`;<;7>5;h0g7?6=3`8o87>5;h34<?6=3`8oo7>5;h0g`?6=3f<h6=44o7f94?=n<h0;6)<:e;6:?k42l3:07d:7:18'60c=<01e>8j51:9j02<72-8>i7:6;o06`?4<3`>=6=4+24g90<=i:<n1?65f4483>!42m3>27c<:d;68?l34290/>8k5489m60b==21b9?4?:%06a?2>3g8>h784;h72>5<#:<o1845a24f93>=n=90;6)<:e;6:?k42l3207d:i:18'60c=<01e>8j59:9j0`<72-8>i7:6;o06`?g<3`>o6=4+24g90<=i:<n1n65f4b83>!42m3>27c<:d;a8?l2e290/>8k5489m60b=l21b894?:%06a?2>3g8>h7k4;h7b>5<#:<o1945a24f94>=n=10;6)<:e;7:?k42l3;07d;8:18'60c==01e>8j52:9j13<72-8>i7;6;o06`?5<3`?>6=4+24g91<=i:<n1865f6283>!42m3?27c<:d;78?l05290/>8k5589m60b=>21b:<4?:%06a?3>3g8>h794;h43>5<#:<o1945a24f9<>=n=o0;6)<:e;7:?k42l3307d;j:18'60c==01e>8j5a:9j1a<72-8>i7;6;o06`?d<3`?h6=4+24g91<=i:<n1o65f5c83>!42m3?27c<:d;f8?l33290/>8k5589m60b=m21bn?4?:%06a?d63g8>h7>4;h`3>5<#:<o1n<5a24f95>=nil0;6)<:e;`2?k42l3807dok:18'60c=j81e>8j53:9jef<72-8>i7l>;o06`?2<3`ki6=4+24g9f4=i:<n1965fa`83>!42m3h:7c<:d;48?lg>290/>8k5b09m60b=?21bm54?:%06a?d63g8>h764;hc4>5<#:<o1n<5a24f9=>=ni?0;6)<:e;`2?k42l3k07do::18'60c=j81e>8j5b:9je6<72-8>i7l>;o06`?e<3`k96=4+24g9f4=i:<n1h65fa083>!42m3h:7c<:d;g8?lg7290/>8k5b09m60b=n21b5k4?:%06a?d63g8>h7??;:k:a?6=,;?n6o?4n37g>47<3`3o6=4+24g9f4=i:<n1=?54i8a94?"5=l0i=6`=5e827>=n1k0;6)<:e;`2?k42l3;?76g6a;29 73b2k;0b?;k:078?ld>290/>8k5b09m60b=9?10eo650;&11`<e92d99i4>7:9jf2<72-8>i7l>;o06`?7?32ci:7>5$37f>g7<f;?o6<74;h`6>5<#:<o1n<5a24f95d=<ak>1<7*=5d8a5>h5=m0:n65fb283>!42m3h:7c<:d;3`?>ofn3:1(?;j:c38j73c28n07do;:18'60c=j81e>8j51d98m<?=83.99h4m1:l11a<6n21bh>4?:%06a?b53g8>h7>4;hf2>5<#:<o1h?5a24f95>=nko0;6)<:e;f1?k42l3807dmj:18'60c=l;1e>8j53:9jga<72-8>i7j=;o06`?2<3`ih6=4+24g9`7=i:<n1965fcc83>!42m3n97c<:d;48?lef290/>8k5d39m60b=?21bo44?:%06a?b53g8>h764;ha;>5<#:<o1h?5a24f9=>=nk>0;6)<:e;f1?k42l3k07dm9:18'60c=l;1e>8j5b:9jg1<72-8>i7j=;o06`?e<3`i86=4+24g9`7=i:<n1h65fc383>!42m3n97c<:d;g8?le6290/>8k5d39m60b=n21bo=4?:%06a?b53g8>h7??;:kab?6=,;?n6i<4n37g>47<3`hn6=4+24g9`7=i:<n1=?54icf94?"5=l0o>6`=5e827>=njj0;6)<:e;f1?k42l3;?76gmb;29 73b2m80b?;k:078?lbf290/>8k5d39m60b=9?10ei750;&11`<c:2d99i4>7:9j`=<72-8>i7j=;o06`?7?32co;7>5$37f>a4<f;?o6<74;hf5>5<#:<o1h?5a24f95d=<am?1<7*=5d8g6>h5=m0:n65fd583>!42m3n97c<:d;3`?>oc83:1(?;j:e08j73c28n07dm::18'60c=l;1e>8j51d98mgg=83.99h4k2:l11a<6n21b==>50;&11`<an2d99i4?;:kea?6=,;?n6kh4n37g>4=<aon1<7*=5d8eb>h5=m0976gic;29 73b2ol0b?;k:298m462290/>8k51168j73c2910e<><:18'60c=99>0b?;k:098m465290/>8k51168j73c2;10e<>>:18'60c=99>0b?;k:298m7cf290/>8k52d;8j73c2910e?k7:18'60c=:l30b?;k:098m7c0290/>8k52d;8j73c2;10e?k9:18'60c=:l30b?;k:298m7ca290/>8k52dg8j73c2910e?kk:18'60c=:lo0b?;k:098m7cd290/>8k52dg8j73c2;10e?km:18'60c=:lo0b?;k:298k47a290/>8k510g8j73c2910c<?k:18'60c=98o0b?;k:098k47e290/>8k510g8j73c2;10c<?n:18'60c=98o0b?;k:298k47>290/>8k510g8j73c2=10c<?7:18'60c=98o0b?;k:498k470290/>8k510g8j73c2?10c<?9:18'60c=98o0b?;k:698k472290/>8k510g8j73c2110c<?;:18'60c=98o0b?;k:898k474290/>8k510g8j73c2h10c<?=:18'60c=98o0b?;k:c98k477290/>8k510g8j73c2j10c<>i:18'60c=98o0b?;k:e98k46b290/>8k510g8j73c2l10c<>k:18'60c=98o0b?;k:g98k46d290/>8k510g8j73c28:07b??b;29 73b28;n7c<:d;32?>i68h0;6)<:e;32a>h5=m0:>65`11;94?"5=l0:=h5a24f956=<g8:36=4+24g954c<f;?o6<:4;n333?6=,;?n6<?j;o06`?7232e:>;4?:%06a?76m2d99i4>6:9l573=83.99h4>1d9m60b=9>10c<<;:18'60c=98o0b?;k:0:8?j75;3:1(?;j:03f?k42l3;276a>2383>!42m3;:i6`=5e82e>=h9;;1<7*=5d825`=i:<n1=o54o003>5<#:<o1=<k4n37g>4e<3f;:o7>5$37f>47b3g8>h7?k;:m254<72-8>i7?>e:l11a<6m21d==850;&11`<69l1e>8j51g98k426290/>8k51528j73c2910c<=i:18'60c=9=:0b?;k:098k45c290/>8k51528j73c2;10c<=l:18'60c=9=:0b?;k:298k45e290/>8k51528j73c2=10c<=n:18'60c=9=:0b?;k:498k45>290/>8k51528j73c2?10c<=7:18'60c=9=:0b?;k:698k450290/>8k51528j73c2110c<=9:18'60c=9=:0b?;k:898k452290/>8k51528j73c2h10c<=;:18'60c=9=:0b?;k:c98k455290/>8k51528j73c2j10c<=>:18'60c=9=:0b?;k:e98k457290/>8k51528j73c2l10c<<i:18'60c=9=:0b?;k:g98k44b290/>8k51528j73c28:07b?=d;29 73b28>;7c<:d;32?>i6:j0;6)<:e;374>h5=m0:>65`13`94?"5=l0:8=5a24f956=<g88j6=4+24g9516<f;?o6<:4;n31=?6=,;?n6<:?;o06`?7232e:854?:%06a?7382d99i4>6:9l511=83.99h4>419m60b=9>10c<:9:18'60c=9=:0b?;k:0:8?j73=3:1(?;j:063?k42l3;276a>4583>!42m3;?<6`=5e82e>=h9=91<7*=5d8205=i:<n1=o54o061>5<#:<o1=9>4n37g>4e<3f;8i7>5$37f>4273g8>h7?k;:m276<72-8>i7?;0:l11a<6m21d=?650;&11`<6<91e>8j51g98k42b290/>8k515f8j73c2910c<:l:18'60c=9=n0b?;k:098k42e290/>8k515f8j73c2;10c<:n:18'60c=9=n0b?;k:298k434290/>8k51408j73c2910c<;>:18'60c=9<80b?;k:098k437290/>8k51408j73c2;10c<:i:18'60c=9<80b?;k:298ygd113:1o?4?:1y'6ac=:kn0D>>6;I0f6>\103ip>;4=7;0:>7g=;;08?7=;:2796a<5m39=6>95}o313?6<f8>26=5+2e5952d<,;nj6?5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m<1>894$0f7>7=#9m?1>6*>8c80?!7?k390(<6k:29'5=c=;2.:4k4<;%3:4?5<,8n36<9m;%3g=?70j2.9nh4=569'6g`=:<=0b?j>:89m6a4=12.9h54=569'5<7=;2.:5?4<;%0e5?4a82.9j?4=f19m6c5=12d9j946;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4?32:1/=4;53:&2=3<43-;2;7=4$0;;>6=#9031?6*>9`80?!7>j390(<7l:29'5<b=;2.:5h4<;%3:b?5<,8k;6>5+1`397>"6i;087)?n3;18 4g32:1/=l;53:&2e3<43-8on7<4i7c94?=n>k0;66g80;29?l162900e<98:188m7b42900e?j;:188m41?2900e?jl:188m7bc2900c;m50;9l2a<722c?m7>5$37f>1?<f;?o6=54i5:94?"5=l0?56`=5e82?>o3?3:1(?;j:5;8j73c2;10e9850;&11`<312d99i4<;:k71?6=,;?n6974n37g>1=<a<91<7*=5d87=>h5=m0>76g:2;29 73b2=30b?;k:798m07=83.99h4;9:l11a<032c><7>5$37f>1?<f;?o6554i5d94?"5=l0?56`=5e8:?>o3m3:1(?;j:5;8j73c2h10e9j50;&11`<312d99i4m;:k7g?6=,;?n6974n37g>f=<a=h1<7*=5d87=>h5=m0o76g;4;29 73b2=30b?;k:d98m0g=83.99h4:9:l11a<732c>47>5$37f>0?<f;?o6<54i4594?"5=l0>56`=5e81?>o2>3:1(?;j:4;8j73c2:10e8;50;&11`<212d99i4;;:k57?6=,;?n6874n37g>0=<a?81<7*=5d86=>h5=m0=76g91;29 73b2<30b?;k:698m36=83.99h4:9:l11a<?32c>j7>5$37f>0?<f;?o6454i4g94?"5=l0>56`=5e8b?>o2l3:1(?;j:4;8j73c2k10e8m50;&11`<212d99i4l;:k6f?6=,;?n6874n37g>a=<a<>1<7*=5d86=>h5=m0n76gm2;29 73b2k;0b?;k:198mg6=83.99h4m1:l11a<632cji7>5$37f>g7<f;?o6?54i`f94?"5=l0i=6`=5e80?>ofk3:1(?;j:c38j73c2=10ell50;&11`<e92d99i4:;:kbe?6=,;?n6o?4n37g>3=<ah31<7*=5d8a5>h5=m0<76gn8;29 73b2k;0b?;k:998md1=83.99h4m1:l11a<>32cj:7>5$37f>g7<f;?o6l54i`794?"5=l0i=6`=5e8a?>of;3:1(?;j:c38j73c2j10el<50;&11`<e92d99i4k;:kb5?6=,;?n6o?4n37g>`=<ah:1<7*=5d8a5>h5=m0m76g6f;29 73b2k;0b?;k:028?l?b290/>8k5b09m60b=9810e4j50;&11`<e92d99i4>2:9j=f<72-8>i7l>;o06`?7432c2n7>5$37f>g7<f;?o6<:4;h;b>5<#:<o1n<5a24f950=<ak31<7*=5d8a5>h5=m0::65fb983>!42m3h:7c<:d;34?>oe?3:1(?;j:c38j73c28207dl9:18'60c=j81e>8j51898mg3=83.99h4m1:l11a<6i21bn94?:%06a?d63g8>h7?m;:ka7?6=,;?n6o?4n37g>4e<3`km6=4+24g9f4=i:<n1=i54i`694?"5=l0i=6`=5e82a>=n100;6)<:e;`2?k42l3;m76gk3;29 73b2m80b?;k:198ma7=83.99h4k2:l11a<632chj7>5$37f>a4<f;?o6?54ibg94?"5=l0o>6`=5e80?>odl3:1(?;j:e08j73c2=10enm50;&11`<c:2d99i4:;:k`f?6=,;?n6i<4n37g>3=<ajk1<7*=5d8g6>h5=m0<76gl9;29 73b2m80b?;k:998mf>=83.99h4k2:l11a<>32ch;7>5$37f>a4<f;?o6l54ib494?"5=l0o>6`=5e8a?>od<3:1(?;j:e08j73c2j10en=50;&11`<c:2d99i4k;:k`6?6=,;?n6i<4n37g>`=<aj;1<7*=5d8g6>h5=m0m76gl0;29 73b2m80b?;k:028?lda290/>8k5d39m60b=9810eok50;&11`<c:2d99i4>2:9jfa<72-8>i7j=;o06`?7432cio7>5$37f>a4<f;?o6<:4;h`a>5<#:<o1h?5a24f950=<amk1<7*=5d8g6>h5=m0::65fd883>!42m3n97c<:d;34?>oc03:1(?;j:e08j73c28207dj8:18'60c=l;1e>8j51898ma0=83.99h4k2:l11a<6i21bh84?:%06a?b53g8>h7?m;:kg0?6=,;?n6i<4n37g>4e<3`n;6=4+24g9`7=i:<n1=i54ib794?"5=l0o>6`=5e82a>=njh0;6)<:e;f1?k42l3;m76g>0183>!42m3lm7c<:d;28?l`b290/>8k5fg9m60b=921bji4?:%06a?`a3g8>h7<4;hd`>5<#:<o1jk5a24f97>=n99?1<7*=5d8241=i:<n1<65f11194?"5=l0:<95a24f95>=n9981<7*=5d8241=i:<n1>65f11394?"5=l0:<95a24f97>=n:lk1<7*=5d81a<=i:<n1<65f2d:94?"5=l09i45a24f95>=n:l=1<7*=5d81a<=i:<n1>65f2d494?"5=l09i45a24f97>=n:ll1<7*=5d81a`=i:<n1<65f2df94?"5=l09ih5a24f95>=n:li1<7*=5d81a`=i:<n1>65f2d`94?"5=l09ih5a24f97>=h98l1<7*=5d825`=i:<n1<65`10f94?"5=l0:=h5a24f95>=h98h1<7*=5d825`=i:<n1>65`10c94?"5=l0:=h5a24f97>=h9831<7*=5d825`=i:<n1865`10:94?"5=l0:=h5a24f91>=h98=1<7*=5d825`=i:<n1:65`10494?"5=l0:=h5a24f93>=h98?1<7*=5d825`=i:<n1465`10694?"5=l0:=h5a24f9=>=h9891<7*=5d825`=i:<n1m65`10094?"5=l0:=h5a24f9f>=h98:1<7*=5d825`=i:<n1o65`11d94?"5=l0:=h5a24f9`>=h99o1<7*=5d825`=i:<n1i65`11f94?"5=l0:=h5a24f9b>=h99i1<7*=5d825`=i:<n1==54o02a>5<#:<o1=<k4n37g>47<3f;;m7>5$37f>47b3g8>h7?=;:m24<<72-8>i7?>e:l11a<6;21d==650;&11`<69l1e>8j51598k460290/>8k510g8j73c28?07b?=6;29 73b28;n7c<:d;35?>i6:<0;6)<:e;32a>h5=m0:;65`13694?"5=l0:=h5a24f95==<g8886=4+24g954c<f;?o6<74;n316?6=,;?n6<?j;o06`?7f32e:><4?:%06a?76m2d99i4>b:9l576=83.99h4>1d9m60b=9j10c<?l:18'60c=98o0b?;k:0f8?j7693:1(?;j:03f?k42l3;n76a>0783>!42m3;:i6`=5e82b>=h9=;1<7*=5d8205=i:<n1<65`12d94?"5=l0:8=5a24f95>=h9:n1<7*=5d8205=i:<n1>65`12a94?"5=l0:8=5a24f97>=h9:h1<7*=5d8205=i:<n1865`12c94?"5=l0:8=5a24f91>=h9:31<7*=5d8205=i:<n1:65`12:94?"5=l0:8=5a24f93>=h9:=1<7*=5d8205=i:<n1465`12494?"5=l0:8=5a24f9=>=h9:?1<7*=5d8205=i:<n1m65`12694?"5=l0:8=5a24f9f>=h9:81<7*=5d8205=i:<n1o65`12394?"5=l0:8=5a24f9`>=h9::1<7*=5d8205=i:<n1i65`13d94?"5=l0:8=5a24f9b>=h9;o1<7*=5d8205=i:<n1==54o00g>5<#:<o1=9>4n37g>47<3f;9o7>5$37f>4273g8>h7?=;:m26g<72-8>i7?;0:l11a<6;21d=?o50;&11`<6<91e>8j51598k44>290/>8k51528j73c28?07b?;8;29 73b28>;7c<:d;35?>i6<>0;6)<:e;374>h5=m0:;65`15494?"5=l0:8=5a24f95==<g8>>6=4+24g9516<f;?o6<74;n370?6=,;?n6<:?;o06`?7f32e:8>4?:%06a?7382d99i4>b:9l514=83.99h4>419m60b=9j10c<=j:18'60c=9=:0b?;k:0f8?j74;3:1(?;j:063?k42l3;n76a>2983>!42m3;?<6`=5e82b>=h9=o1<7*=5d820a=i:<n1<65`15a94?"5=l0:8i5a24f95>=h9=h1<7*=5d820a=i:<n1>65`15c94?"5=l0:8i5a24f97>=h9<91<7*=5d8217=i:<n1<65`14394?"5=l0:9?5a24f95>=h9<:1<7*=5d8217=i:<n1>65`15d94?"5=l0:9?5a24f97>=zjk<j6=4l2;294~"5ll09ni5G31;8L7c53S<36nu=6;04>7?=:h08>7=<:26970<5l38n6>85368~j440291e=9750:&1`2<6?k1/>io52:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h;4=569'5a2=:2.:h84=;%3;f?5<,82h6>5+19f97>"60l087)?7f;18 4?72:1/=i6516`8 4b>28=i7)<me;063>"5jo099:5a2e39=>h5l;027)<k8;063>"618087)?62;18 7`62;l;7)<i2;0e4>h5n:027c<i4;;8 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#90>1?6*>9480?!7>>390(<78:29'5<>=;2.:544<;%3:e?5<,83i6>5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-;j>7=4$0c0>6=#9h>1?6*>a480?!7f>390(?jm:39j2d<722c=n7>5;h53>5<<a>;1<75f16594?=n:m91<75f2e694?=n9>21<75f2ea94?=n:mn1<75`6b83>>i1l3:17d:n:18'60c=<01e>8j50:9j0=<72-8>i7:6;o06`?7<3`><6=4+24g90<=i:<n1>65f4783>!42m3>27c<:d;18?l22290/>8k5489m60b=<21b9>4?:%06a?2>3g8>h7;4;h71>5<#:<o1845a24f92>=n=80;6)<:e;6:?k42l3=07d;?:18'60c=<01e>8j58:9j0c<72-8>i7:6;o06`??<3`>n6=4+24g90<=i:<n1m65f4e83>!42m3>27c<:d;`8?l2d290/>8k5489m60b=k21b8o4?:%06a?2>3g8>h7j4;h67>5<#:<o1845a24f9a>=n=h0;6)<:e;7:?k42l3:07d;7:18'60c==01e>8j51:9j12<72-8>i7;6;o06`?4<3`?=6=4+24g91<=i:<n1?65f5483>!42m3?27c<:d;68?l04290/>8k5589m60b==21b:?4?:%06a?3>3g8>h784;h42>5<#:<o1945a24f93>=n>90;6)<:e;7:?k42l3207d;i:18'60c==01e>8j59:9j1`<72-8>i7;6;o06`?g<3`?o6=4+24g91<=i:<n1n65f5b83>!42m3?27c<:d;a8?l3e290/>8k5589m60b=l21b994?:%06a?3>3g8>h7k4;h`1>5<#:<o1n<5a24f94>=nj90;6)<:e;`2?k42l3;07doj:18'60c=j81e>8j52:9jea<72-8>i7l>;o06`?5<3`kh6=4+24g9f4=i:<n1865fac83>!42m3h:7c<:d;78?lgf290/>8k5b09m60b=>21bm44?:%06a?d63g8>h794;hc;>5<#:<o1n<5a24f9<>=ni>0;6)<:e;`2?k42l3307do9:18'60c=j81e>8j5a:9je0<72-8>i7l>;o06`?d<3`k86=4+24g9f4=i:<n1o65fa383>!42m3h:7c<:d;f8?lg6290/>8k5b09m60b=m21bm=4?:%06a?d63g8>h7h4;h;e>5<#:<o1n<5a24f955=<a0o1<7*=5d8a5>h5=m0:=65f9e83>!42m3h:7c<:d;31?>o>k3:1(?;j:c38j73c28907d7m:18'60c=j81e>8j51598m<g=83.99h4m1:l11a<6=21bn44?:%06a?d63g8>h7?9;:ka<?6=,;?n6o?4n37g>41<3`h<6=4+24g9f4=i:<n1=554ic494?"5=l0i=6`=5e82=>=nj<0;6)<:e;`2?k42l3;j76gm4;29 73b2k;0b?;k:0`8?ld4290/>8k5b09m60b=9j10elh50;&11`<e92d99i4>d:9je1<72-8>i7l>;o06`?7b32c257>5$37f>g7<f;?o6<h4;hf0>5<#:<o1h?5a24f94>=nl80;6)<:e;f1?k42l3;07dmi:18'60c=l;1e>8j52:9jg`<72-8>i7j=;o06`?5<3`io6=4+24g9`7=i:<n1865fcb83>!42m3n97c<:d;78?lee290/>8k5d39m60b=>21bol4?:%06a?b53g8>h794;ha:>5<#:<o1h?5a24f9<>=nk10;6)<:e;f1?k42l3307dm8:18'60c=l;1e>8j5a:9jg3<72-8>i7j=;o06`?d<3`i?6=4+24g9`7=i:<n1o65fc283>!42m3n97c<:d;f8?le5290/>8k5d39m60b=m21bo<4?:%06a?b53g8>h7h4;ha3>5<#:<o1h?5a24f955=<akl1<7*=5d8g6>h5=m0:=65fbd83>!42m3n97c<:d;31?>oel3:1(?;j:e08j73c28907dll:18'60c=l;1e>8j51598mgd=83.99h4k2:l11a<6=21bhl4?:%06a?b53g8>h7?9;:kg=?6=,;?n6i<4n37g>41<3`n36=4+24g9`7=i:<n1=554ie594?"5=l0o>6`=5e82=>=nl?0;6)<:e;f1?k42l3;j76gk5;29 73b2m80b?;k:0`8?lb3290/>8k5d39m60b=9j10ei>50;&11`<c:2d99i4>d:9jg0<72-8>i7j=;o06`?7b32cim7>5$37f>a4<f;?o6<h4;h334?6=,;?n6kh4n37g>5=<aoo1<7*=5d8eb>h5=m0:76gid;29 73b2ol0b?;k:398mce=83.99h4if:l11a<432c:<84?:%06a?77<2d99i4?;:k246<72-8>i7??4:l11a<632c:<?4?:%06a?77<2d99i4=;:k244<72-8>i7??4:l11a<432c9il4?:%06a?4b12d99i4?;:k1a=<72-8>i7<j9:l11a<632c9i:4?:%06a?4b12d99i4=;:k1a3<72-8>i7<j9:l11a<432c9ik4?:%06a?4bm2d99i4?;:k1aa<72-8>i7<je:l11a<632c9in4?:%06a?4bm2d99i4=;:k1ag<72-8>i7<je:l11a<432e:=k4?:%06a?76m2d99i4?;:m25a<72-8>i7?>e:l11a<632e:=o4?:%06a?76m2d99i4=;:m25d<72-8>i7?>e:l11a<432e:=44?:%06a?76m2d99i4;;:m25=<72-8>i7?>e:l11a<232e:=:4?:%06a?76m2d99i49;:m253<72-8>i7?>e:l11a<032e:=84?:%06a?76m2d99i47;:m251<72-8>i7?>e:l11a<>32e:=>4?:%06a?76m2d99i4n;:m257<72-8>i7?>e:l11a<e32e:==4?:%06a?76m2d99i4l;:m24c<72-8>i7?>e:l11a<c32e:<h4?:%06a?76m2d99i4j;:m24a<72-8>i7?>e:l11a<a32e:<n4?:%06a?76m2d99i4>0:9l55d=83.99h4>1d9m60b=9810c<>n:18'60c=98o0b?;k:008?j7713:1(?;j:03f?k42l3;876a>0983>!42m3;:i6`=5e820>=h99=1<7*=5d825`=i:<n1=854o005>5<#:<o1=<k4n37g>40<3f;997>5$37f>47b3g8>h7?8;:m261<72-8>i7?>e:l11a<6021d=?=50;&11`<69l1e>8j51898k445290/>8k510g8j73c28k07b?=1;29 73b28;n7c<:d;3a?>i6:90;6)<:e;32a>h5=m0:o65`10a94?"5=l0:=h5a24f95a=<g8;:6=4+24g954c<f;?o6<k4;n332?6=,;?n6<?j;o06`?7a32e:8<4?:%06a?7382d99i4?;:m27c<72-8>i7?;0:l11a<632e:?i4?:%06a?7382d99i4=;:m27f<72-8>i7?;0:l11a<432e:?o4?:%06a?7382d99i4;;:m27d<72-8>i7?;0:l11a<232e:?44?:%06a?7382d99i49;:m27=<72-8>i7?;0:l11a<032e:?:4?:%06a?7382d99i47;:m273<72-8>i7?;0:l11a<>32e:?84?:%06a?7382d99i4n;:m271<72-8>i7?;0:l11a<e32e:??4?:%06a?7382d99i4l;:m274<72-8>i7?;0:l11a<c32e:?=4?:%06a?7382d99i4j;:m26c<72-8>i7?;0:l11a<a32e:>h4?:%06a?7382d99i4>0:9l57b=83.99h4>419m60b=9810c<<l:18'60c=9=:0b?;k:008?j75j3:1(?;j:063?k42l3;876a>2`83>!42m3;?<6`=5e820>=h9;31<7*=5d8205=i:<n1=854o06;>5<#:<o1=9>4n37g>40<3f;?;7>5$37f>4273g8>h7?8;:m203<72-8>i7?;0:l11a<6021d=9;50;&11`<6<91e>8j51898k423290/>8k51528j73c28k07b?;3;29 73b28>;7c<:d;3a?>i6<;0;6)<:e;374>h5=m0:o65`12g94?"5=l0:8=5a24f95a=<g8986=4+24g9516<f;?o6<k4;n31<?6=,;?n6<:?;o06`?7a32e:8h4?:%06a?73l2d99i4?;:m20f<72-8>i7?;d:l11a<632e:8o4?:%06a?73l2d99i4=;:m20d<72-8>i7?;d:l11a<432e:9>4?:%06a?72:2d99i4?;:m214<72-8>i7?:2:l11a<632e:9=4?:%06a?72:2d99i4=;:m20c<72-8>i7?:2:l11a<432win;l50;a1>5<7s-8oi7<md:J04<=O:l80V;65cz05>71=:009m7==:21971<4=38o6?k537803?{i9;=1<6`>4883?!4c?3;<n6*=d`81?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k6;063>"6l=097)?k5;08 4>e2:1/=5m53:&2<a<43-;3i7=4$0:e>6=#90:1?6*>d9823g=#9m31=:l4$3`f>7303-8ij7<:7:l1`4<>3g8o>774$3f;>7303-;2=7=4$0;1>6=#:o;1>k>4$3d1>7`73g8m?774n3d7><=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:594<;%3:1?5<,83=6>5+18597>"611087)?69;18 4?f2:1/=4l53:&2=f<43-;2h7=4$0;f>6=#90l1?6*>a180?!7f9390(<o=:29'5d5=;2.:m94<;%3b1?5<,8k=6>5+2e`96>o1i3:17d8m:188m26=831b;<4?::k232<722c9h>4?::k1`1<722c:;54?::k1`f<722c9hi4?::m5g?6=3f<o6=44i5c94?"5=l0?56`=5e83?>o303:1(?;j:5;8j73c2810e9950;&11`<312d99i4=;:k72?6=,;?n6974n37g>6=<a=?1<7*=5d87=>h5=m0?76g:3;29 73b2=30b?;k:498m04=83.99h4;9:l11a<132c>=7>5$37f>1?<f;?o6:54i4294?"5=l0?56`=5e8;?>o3n3:1(?;j:5;8j73c2010e9k50;&11`<312d99i4n;:k7`?6=,;?n6974n37g>g=<a=i1<7*=5d87=>h5=m0h76g;b;29 73b2=30b?;k:e98m12=83.99h4;9:l11a<b32c>m7>5$37f>0?<f;?o6=54i4:94?"5=l0>56`=5e82?>o2?3:1(?;j:4;8j73c2;10e8850;&11`<212d99i4<;:k61?6=,;?n6874n37g>1=<a?91<7*=5d86=>h5=m0>76g92;29 73b2<30b?;k:798m37=83.99h4:9:l11a<032c=<7>5$37f>0?<f;?o6554i4d94?"5=l0>56`=5e8:?>o2m3:1(?;j:4;8j73c2h10e8j50;&11`<212d99i4m;:k6g?6=,;?n6874n37g>f=<a<h1<7*=5d86=>h5=m0o76g:4;29 73b2<30b?;k:d98mg4=83.99h4m1:l11a<732ci<7>5$37f>g7<f;?o6<54i`g94?"5=l0i=6`=5e81?>ofl3:1(?;j:c38j73c2:10elm50;&11`<e92d99i4;;:kbf?6=,;?n6o?4n37g>0=<ahk1<7*=5d8a5>h5=m0=76gn9;29 73b2k;0b?;k:698md>=83.99h4m1:l11a<?32cj;7>5$37f>g7<f;?o6454i`494?"5=l0i=6`=5e8b?>of=3:1(?;j:c38j73c2k10el=50;&11`<e92d99i4l;:kb6?6=,;?n6o?4n37g>a=<ah;1<7*=5d8a5>h5=m0n76gn0;29 73b2k;0b?;k:g98m<`=83.99h4m1:l11a<6821b5h4?:%06a?d63g8>h7?>;:k:`?6=,;?n6o?4n37g>44<3`3h6=4+24g9f4=i:<n1=>54i8`94?"5=l0i=6`=5e820>=n1h0;6)<:e;`2?k42l3;>76gm9;29 73b2k;0b?;k:048?ld?290/>8k5b09m60b=9>10eo950;&11`<e92d99i4>8:9jf3<72-8>i7l>;o06`?7>32ci97>5$37f>g7<f;?o6<o4;h`7>5<#:<o1n<5a24f95g=<ak91<7*=5d8a5>h5=m0:o65fag83>!42m3h:7c<:d;3g?>of<3:1(?;j:c38j73c28o07d76:18'60c=j81e>8j51g98ma5=83.99h4k2:l11a<732co=7>5$37f>a4<f;?o6<54ibd94?"5=l0o>6`=5e81?>odm3:1(?;j:e08j73c2:10enj50;&11`<c:2d99i4;;:k`g?6=,;?n6i<4n37g>0=<ajh1<7*=5d8g6>h5=m0=76gla;29 73b2m80b?;k:698mf?=83.99h4k2:l11a<?32ch47>5$37f>a4<f;?o6454ib594?"5=l0o>6`=5e8b?>od>3:1(?;j:e08j73c2k10en:50;&11`<c:2d99i4l;:k`7?6=,;?n6i<4n37g>a=<aj81<7*=5d8g6>h5=m0n76gl1;29 73b2m80b?;k:g98mf6=83.99h4k2:l11a<6821bnk4?:%06a?b53g8>h7?>;:kaa?6=,;?n6i<4n37g>44<3`ho6=4+24g9`7=i:<n1=>54ica94?"5=l0o>6`=5e820>=njk0;6)<:e;f1?k42l3;>76gka;29 73b2m80b?;k:048?lb>290/>8k5d39m60b=9>10ei650;&11`<c:2d99i4>8:9j`2<72-8>i7j=;o06`?7>32co:7>5$37f>a4<f;?o6<o4;hf6>5<#:<o1h?5a24f95g=<am>1<7*=5d8g6>h5=m0:o65fd183>!42m3n97c<:d;3g?>od=3:1(?;j:e08j73c28o07dln:18'60c=l;1e>8j51g98m467290/>8k5fg9m60b=821bjh4?:%06a?`a3g8>h7?4;hdg>5<#:<o1jk5a24f96>=nnj0;6)<:e;de?k42l3907d??5;29 73b28:?7c<:d;28?l77;3:1(?;j:027?k42l3;07d??2;29 73b28:?7c<:d;08?l7793:1(?;j:027?k42l3907d<ja;29 73b2;o27c<:d;28?l4b03:1(?;j:3g:?k42l3;07d<j7;29 73b2;o27c<:d;08?l4b>3:1(?;j:3g:?k42l3907d<jf;29 73b2;on7c<:d;28?l4bl3:1(?;j:3gf?k42l3;07d<jc;29 73b2;on7c<:d;08?l4bj3:1(?;j:3gf?k42l3907b?>f;29 73b28;n7c<:d;28?j76l3:1(?;j:03f?k42l3;07b?>b;29 73b28;n7c<:d;08?j76i3:1(?;j:03f?k42l3907b?>9;29 73b28;n7c<:d;68?j7603:1(?;j:03f?k42l3?07b?>7;29 73b28;n7c<:d;48?j76>3:1(?;j:03f?k42l3=07b?>5;29 73b28;n7c<:d;:8?j76<3:1(?;j:03f?k42l3307b?>3;29 73b28;n7c<:d;c8?j76:3:1(?;j:03f?k42l3h07b?>0;29 73b28;n7c<:d;a8?j77n3:1(?;j:03f?k42l3n07b??e;29 73b28;n7c<:d;g8?j77l3:1(?;j:03f?k42l3l07b??c;29 73b28;n7c<:d;33?>i68k0;6)<:e;32a>h5=m0:=65`11c94?"5=l0:=h5a24f957=<g8:26=4+24g954c<f;?o6<=4;n33<?6=,;?n6<?j;o06`?7332e:<:4?:%06a?76m2d99i4>5:9l570=83.99h4>1d9m60b=9?10c<<::18'60c=98o0b?;k:058?j75<3:1(?;j:03f?k42l3;376a>2283>!42m3;:i6`=5e82=>=h9;81<7*=5d825`=i:<n1=l54o002>5<#:<o1=<k4n37g>4d<3f;9<7>5$37f>47b3g8>h7?l;:m25f<72-8>i7?>e:l11a<6l21d=<?50;&11`<69l1e>8j51d98k461290/>8k510g8j73c28l07b?;1;29 73b28>;7c<:d;28?j74n3:1(?;j:063?k42l3;07b?<d;29 73b28>;7c<:d;08?j74k3:1(?;j:063?k42l3907b?<b;29 73b28>;7c<:d;68?j74i3:1(?;j:063?k42l3?07b?<9;29 73b28>;7c<:d;48?j7403:1(?;j:063?k42l3=07b?<7;29 73b28>;7c<:d;:8?j74>3:1(?;j:063?k42l3307b?<5;29 73b28>;7c<:d;c8?j74<3:1(?;j:063?k42l3h07b?<2;29 73b28>;7c<:d;a8?j7493:1(?;j:063?k42l3n07b?<0;29 73b28>;7c<:d;g8?j75n3:1(?;j:063?k42l3l07b?=e;29 73b28>;7c<:d;33?>i6:m0;6)<:e;374>h5=m0:=65`13a94?"5=l0:8=5a24f957=<g88i6=4+24g9516<f;?o6<=4;n31e?6=,;?n6<:?;o06`?7332e:>44?:%06a?7382d99i4>5:9l51>=83.99h4>419m60b=9?10c<:8:18'60c=9=:0b?;k:058?j73>3:1(?;j:063?k42l3;376a>4483>!42m3;?<6`=5e82=>=h9=>1<7*=5d8205=i:<n1=l54o060>5<#:<o1=9>4n37g>4d<3f;?>7>5$37f>4273g8>h7?l;:m27`<72-8>i7?;0:l11a<6l21d=>=50;&11`<6<91e>8j51d98k44?290/>8k51528j73c28l07b?;e;29 73b28>o7c<:d;28?j73k3:1(?;j:06g?k42l3;07b?;b;29 73b28>o7c<:d;08?j73i3:1(?;j:06g?k42l3907b?:3;29 73b28?97c<:d;28?j7293:1(?;j:071?k42l3;07b?:0;29 73b28?97c<:d;08?j73n3:1(?;j:071?k42l3907plm6b83>f4=83:p(?jj:3`g?M5712B9i?5U698`\7f70=:>0957<n:20976<4<39>6?j52d802?502td:>:4?;o37=?6<,;n<6<9m;%0ge?4<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f5>7303-;o87<4$0f6>7=#91h1?6*>8b80?!7?l390(<6j:29'5=`=;2.:5=4<;%3g<?70j2.:h44>7c9'6gc=:<=0(?li:374?k4c9330b?j=:89'6a>=:<=0(<7>:29'5<4=;2.9j<4=f19'6c4=:o:0b?h<:89m6c2=12.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?64;18 4?22:1/=4853:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&1`g<53`<j6=44i7`94?=n?90;66g81;29?l70?3:17d<k3;29?l4c<3:17d?88;29?l4ck3:17d<kd;29?j0d2900c;j50;9j0d<72-8>i7:6;o06`?6<3`>36=4+24g90<=i:<n1=65f4683>!42m3>27c<:d;08?l21290/>8k5489m60b=;21b884?:%06a?2>3g8>h7:4;h70>5<#:<o1845a24f91>=n=;0;6)<:e;6:?k42l3<07d;>:18'60c=<01e>8j57:9j15<72-8>i7:6;o06`?><3`>m6=4+24g90<=i:<n1565f4d83>!42m3>27c<:d;c8?l2c290/>8k5489m60b=j21b8n4?:%06a?2>3g8>h7m4;h6a>5<#:<o1845a24f9`>=n<=0;6)<:e;6:?k42l3o07d;n:18'60c==01e>8j50:9j1=<72-8>i7;6;o06`?7<3`?<6=4+24g91<=i:<n1>65f5783>!42m3?27c<:d;18?l32290/>8k5589m60b=<21b:>4?:%06a?3>3g8>h7;4;h41>5<#:<o1945a24f92>=n>80;6)<:e;7:?k42l3=07d8?:18'60c==01e>8j58:9j1c<72-8>i7;6;o06`??<3`?n6=4+24g91<=i:<n1m65f5e83>!42m3?27c<:d;`8?l3d290/>8k5589m60b=k21b9o4?:%06a?3>3g8>h7j4;h77>5<#:<o1945a24f9a>=nj;0;6)<:e;`2?k42l3:07dl?:18'60c=j81e>8j51:9je`<72-8>i7l>;o06`?4<3`ko6=4+24g9f4=i:<n1?65fab83>!42m3h:7c<:d;68?lge290/>8k5b09m60b==21bml4?:%06a?d63g8>h784;hc:>5<#:<o1n<5a24f93>=ni10;6)<:e;`2?k42l3207do8:18'60c=j81e>8j59:9je3<72-8>i7l>;o06`?g<3`k>6=4+24g9f4=i:<n1n65fa283>!42m3h:7c<:d;a8?lg5290/>8k5b09m60b=l21bm<4?:%06a?d63g8>h7k4;hc3>5<#:<o1n<5a24f9b>=n1o0;6)<:e;`2?k42l3;;76g6e;29 73b2k;0b?;k:038?l?c290/>8k5b09m60b=9;10e4m50;&11`<e92d99i4>3:9j=g<72-8>i7l>;o06`?7332c2m7>5$37f>g7<f;?o6<;4;h`:>5<#:<o1n<5a24f953=<ak21<7*=5d8a5>h5=m0:;65fb683>!42m3h:7c<:d;3;?>oe>3:1(?;j:c38j73c28307dl::18'60c=j81e>8j51`98mg2=83.99h4m1:l11a<6j21bn>4?:%06a?d63g8>h7?l;:kbb?6=,;?n6o?4n37g>4b<3`k?6=4+24g9f4=i:<n1=h54i8;94?"5=l0i=6`=5e82b>=nl:0;6)<:e;f1?k42l3:07dj>:18'60c=l;1e>8j51:9jgc<72-8>i7j=;o06`?4<3`in6=4+24g9`7=i:<n1?65fce83>!42m3n97c<:d;68?led290/>8k5d39m60b==21boo4?:%06a?b53g8>h784;hab>5<#:<o1h?5a24f93>=nk00;6)<:e;f1?k42l3207dm7:18'60c=l;1e>8j59:9jg2<72-8>i7j=;o06`?g<3`i=6=4+24g9`7=i:<n1n65fc583>!42m3n97c<:d;a8?le4290/>8k5d39m60b=l21bo?4?:%06a?b53g8>h7k4;ha2>5<#:<o1h?5a24f9b>=nk90;6)<:e;f1?k42l3;;76gmf;29 73b2m80b?;k:038?ldb290/>8k5d39m60b=9;10eoj50;&11`<c:2d99i4>3:9jff<72-8>i7j=;o06`?7332cin7>5$37f>a4<f;?o6<;4;hfb>5<#:<o1h?5a24f953=<am31<7*=5d8g6>h5=m0:;65fd983>!42m3n97c<:d;3;?>oc?3:1(?;j:e08j73c28307dj9:18'60c=l;1e>8j51`98ma3=83.99h4k2:l11a<6j21bh94?:%06a?b53g8>h7?l;:kg4?6=,;?n6i<4n37g>4b<3`i>6=4+24g9`7=i:<n1=h54icc94?"5=l0o>6`=5e82b>=n99:1<7*=5d8eb>h5=m0;76gie;29 73b2ol0b?;k:098mcb=83.99h4if:l11a<532cmo7>5$37f>c`<f;?o6>54i026>5<#:<o1==:4n37g>5=<a8:86=4+24g9552<f;?o6<54i021>5<#:<o1==:4n37g>7=<a8::6=4+24g9552<f;?o6>54i3gb>5<#:<o1>h74n37g>5=<a;o36=4+24g96`?<f;?o6<54i3g4>5<#:<o1>h74n37g>7=<a;o=6=4+24g96`?<f;?o6>54i3ge>5<#:<o1>hk4n37g>5=<a;oo6=4+24g96`c<f;?o6<54i3g`>5<#:<o1>hk4n37g>7=<a;oi6=4+24g96`c<f;?o6>54o03e>5<#:<o1=<k4n37g>5=<g8;o6=4+24g954c<f;?o6<54o03a>5<#:<o1=<k4n37g>7=<g8;j6=4+24g954c<f;?o6>54o03:>5<#:<o1=<k4n37g>1=<g8;36=4+24g954c<f;?o6854o034>5<#:<o1=<k4n37g>3=<g8;=6=4+24g954c<f;?o6:54o036>5<#:<o1=<k4n37g>==<g8;?6=4+24g954c<f;?o6454o030>5<#:<o1=<k4n37g>d=<g8;96=4+24g954c<f;?o6o54o033>5<#:<o1=<k4n37g>f=<g8:m6=4+24g954c<f;?o6i54o02f>5<#:<o1=<k4n37g>`=<g8:o6=4+24g954c<f;?o6k54o02`>5<#:<o1=<k4n37g>46<3f;;n7>5$37f>47b3g8>h7?>;:m24d<72-8>i7?>e:l11a<6:21d==750;&11`<69l1e>8j51298k46?290/>8k510g8j73c28>07b??7;29 73b28;n7c<:d;36?>i6:?0;6)<:e;32a>h5=m0::65`13794?"5=l0:=h5a24f952=<g88?6=4+24g954c<f;?o6<64;n317?6=,;?n6<?j;o06`?7>32e:>?4?:%06a?76m2d99i4>a:9l577=83.99h4>1d9m60b=9k10c<<?:18'60c=98o0b?;k:0a8?j76k3:1(?;j:03f?k42l3;o76a>1083>!42m3;:i6`=5e82a>=h99<1<7*=5d825`=i:<n1=k54o062>5<#:<o1=9>4n37g>5=<g89m6=4+24g9516<f;?o6<54o01g>5<#:<o1=9>4n37g>7=<g89h6=4+24g9516<f;?o6>54o01a>5<#:<o1=9>4n37g>1=<g89j6=4+24g9516<f;?o6854o01:>5<#:<o1=9>4n37g>3=<g8936=4+24g9516<f;?o6:54o014>5<#:<o1=9>4n37g>==<g89=6=4+24g9516<f;?o6454o016>5<#:<o1=9>4n37g>d=<g89?6=4+24g9516<f;?o6o54o011>5<#:<o1=9>4n37g>f=<g89:6=4+24g9516<f;?o6i54o013>5<#:<o1=9>4n37g>`=<g88m6=4+24g9516<f;?o6k54o00f>5<#:<o1=9>4n37g>46<3f;9h7>5$37f>4273g8>h7?>;:m26f<72-8>i7?;0:l11a<6:21d=?l50;&11`<6<91e>8j51298k44f290/>8k51528j73c28>07b?=9;29 73b28>;7c<:d;36?>i6<10;6)<:e;374>h5=m0::65`15594?"5=l0:8=5a24f952=<g8>=6=4+24g9516<f;?o6<64;n371?6=,;?n6<:?;o06`?7>32e:894?:%06a?7382d99i4>a:9l515=83.99h4>419m60b=9k10c<:=:18'60c=9=:0b?;k:0a8?j74m3:1(?;j:063?k42l3;o76a>3283>!42m3;?<6`=5e82a>=h9;21<7*=5d8205=i:<n1=k54o06f>5<#:<o1=9j4n37g>5=<g8>h6=4+24g951b<f;?o6<54o06a>5<#:<o1=9j4n37g>7=<g8>j6=4+24g951b<f;?o6>54o070>5<#:<o1=8<4n37g>5=<g8?:6=4+24g9504<f;?o6<54o073>5<#:<o1=8<4n37g>7=<g8>m6=4+24g9504<f;?o6>54}c`5a?6=k;0;6=u+2eg96gb<@::27E<j2:X5<?e|:?09;7<6:3c977<4;39?6>;52e81a?512:=1qc?=7;28j42>291/>i9516`8 7bf2;1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a0=:<=0(<j;:39'5a3=:2.:4o4<;%3;g?5<,82o6>5+19g97>"60o087)?60;18 4b?28=i7)?k9;34f>"5jl099:5+2cd9601<f;n:645a2e09=>"5l1099:5+18397>"61;087)<i1;0e4>"5n;09j=5a2g19=>h5n=027)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0;7>6=#90?1?6*>9780?!7>?390(<77:29'5<?=;2.:5l4<;%3:f?5<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&2e7<43-;j?7=4$0c7>6=#9h?1?6*>a780?!4cj380e;o50;9j2g<722c<<7>5;h52>5<<a8=<6=44i3f0>5<<a;n?6=44i05;>5<<a;nh6=44i3fg>5<<g?i1<75`6e83>>o3i3:1(?;j:5;8j73c2910e9650;&11`<312d99i4>;:k73?6=,;?n6974n37g>7=<a=<1<7*=5d87=>h5=m0876g;5;29 73b2=30b?;k:598m05=83.99h4;9:l11a<232c>>7>5$37f>1?<f;?o6;54i4394?"5=l0?56`=5e84?>o283:1(?;j:5;8j73c2110e9h50;&11`<312d99i46;:k7a?6=,;?n6974n37g>d=<a=n1<7*=5d87=>h5=m0i76g;c;29 73b2=30b?;k:b98m1d=83.99h4;9:l11a<c32c?87>5$37f>1?<f;?o6h54i4c94?"5=l0>56`=5e83?>o203:1(?;j:4;8j73c2810e8950;&11`<212d99i4=;:k62?6=,;?n6874n37g>6=<a<?1<7*=5d86=>h5=m0?76g93;29 73b2<30b?;k:498m34=83.99h4:9:l11a<132c==7>5$37f>0?<f;?o6:54i7294?"5=l0>56`=5e8;?>o2n3:1(?;j:4;8j73c2010e8k50;&11`<212d99i4n;:k6`?6=,;?n6874n37g>g=<a<i1<7*=5d86=>h5=m0h76g:b;29 73b2<30b?;k:e98m02=83.99h4:9:l11a<b32ci>7>5$37f>g7<f;?o6=54ic294?"5=l0i=6`=5e82?>ofm3:1(?;j:c38j73c2;10elj50;&11`<e92d99i4<;:kbg?6=,;?n6o?4n37g>1=<ahh1<7*=5d8a5>h5=m0>76gna;29 73b2k;0b?;k:798md?=83.99h4m1:l11a<032cj47>5$37f>g7<f;?o6554i`594?"5=l0i=6`=5e8:?>of>3:1(?;j:c38j73c2h10el;50;&11`<e92d99i4m;:kb7?6=,;?n6o?4n37g>f=<ah81<7*=5d8a5>h5=m0o76gn1;29 73b2k;0b?;k:d98md6=83.99h4m1:l11a<a32c2j7>5$37f>g7<f;?o6<>4;h;f>5<#:<o1n<5a24f954=<a0n1<7*=5d8a5>h5=m0:>65f9b83>!42m3h:7c<:d;30?>o>j3:1(?;j:c38j73c28>07d7n:18'60c=j81e>8j51498mg?=83.99h4m1:l11a<6>21bn54?:%06a?d63g8>h7?8;:ka3?6=,;?n6o?4n37g>4><3`h=6=4+24g9f4=i:<n1=454ic794?"5=l0i=6`=5e82e>=nj=0;6)<:e;`2?k42l3;i76gm3;29 73b2k;0b?;k:0a8?lga290/>8k5b09m60b=9m10el:50;&11`<e92d99i4>e:9j=<<72-8>i7l>;o06`?7a32co?7>5$37f>a4<f;?o6=54ie394?"5=l0o>6`=5e82?>odn3:1(?;j:e08j73c2;10enk50;&11`<c:2d99i4<;:k``?6=,;?n6i<4n37g>1=<aji1<7*=5d8g6>h5=m0>76glb;29 73b2m80b?;k:798mfg=83.99h4k2:l11a<032ch57>5$37f>a4<f;?o6554ib:94?"5=l0o>6`=5e8:?>od?3:1(?;j:e08j73c2h10en850;&11`<c:2d99i4m;:k`0?6=,;?n6i<4n37g>f=<aj91<7*=5d8g6>h5=m0o76gl2;29 73b2m80b?;k:d98mf7=83.99h4k2:l11a<a32ch<7>5$37f>a4<f;?o6<>4;h`e>5<#:<o1h?5a24f954=<ako1<7*=5d8g6>h5=m0:>65fbe83>!42m3n97c<:d;30?>oek3:1(?;j:e08j73c28>07dlm:18'60c=l;1e>8j51498mag=83.99h4k2:l11a<6>21bh44?:%06a?b53g8>h7?8;:kg<?6=,;?n6i<4n37g>4><3`n<6=4+24g9`7=i:<n1=454ie494?"5=l0o>6`=5e82e>=nl<0;6)<:e;f1?k42l3;i76gk4;29 73b2m80b?;k:0a8?lb7290/>8k5d39m60b=9m10en;50;&11`<c:2d99i4>e:9jfd<72-8>i7j=;o06`?7a32c:<=4?:%06a?`a3g8>h7>4;hdf>5<#:<o1jk5a24f95>=nnm0;6)<:e;de?k42l3807dhl:18'60c=no1e>8j53:9j553=83.99h4>059m60b=821b===50;&11`<68=1e>8j51:9j554=83.99h4>059m60b=:21b==?50;&11`<68=1e>8j53:9j6`g=83.99h4=e89m60b=821b>h650;&11`<5m01e>8j51:9j6`1=83.99h4=e89m60b=:21b>h850;&11`<5m01e>8j53:9j6``=83.99h4=ed9m60b=821b>hj50;&11`<5ml1e>8j51:9j6`e=83.99h4=ed9m60b=:21b>hl50;&11`<5ml1e>8j53:9l54`=83.99h4>1d9m60b=821d=<j50;&11`<69l1e>8j51:9l54d=83.99h4>1d9m60b=:21d=<o50;&11`<69l1e>8j53:9l54?=83.99h4>1d9m60b=<21d=<650;&11`<69l1e>8j55:9l541=83.99h4>1d9m60b=>21d=<850;&11`<69l1e>8j57:9l543=83.99h4>1d9m60b=021d=<:50;&11`<69l1e>8j59:9l545=83.99h4>1d9m60b=i21d=<<50;&11`<69l1e>8j5b:9l546=83.99h4>1d9m60b=k21d==h50;&11`<69l1e>8j5d:9l55c=83.99h4>1d9m60b=m21d==j50;&11`<69l1e>8j5f:9l55e=83.99h4>1d9m60b=9910c<>m:18'60c=98o0b?;k:038?j77i3:1(?;j:03f?k42l3;976a>0883>!42m3;:i6`=5e827>=h9921<7*=5d825`=i:<n1=954o024>5<#:<o1=<k4n37g>43<3f;9:7>5$37f>47b3g8>h7?9;:m260<72-8>i7?>e:l11a<6?21d=?:50;&11`<69l1e>8j51998k444290/>8k510g8j73c28307b?=2;29 73b28;n7c<:d;3b?>i6:80;6)<:e;32a>h5=m0:n65`13294?"5=l0:=h5a24f95f=<g8;h6=4+24g954c<f;?o6<j4;n325?6=,;?n6<?j;o06`?7b32e:<;4?:%06a?76m2d99i4>f:9l517=83.99h4>419m60b=821d=>h50;&11`<6<91e>8j51:9l56b=83.99h4>419m60b=:21d=>m50;&11`<6<91e>8j53:9l56d=83.99h4>419m60b=<21d=>o50;&11`<6<91e>8j55:9l56?=83.99h4>419m60b=>21d=>650;&11`<6<91e>8j57:9l561=83.99h4>419m60b=021d=>850;&11`<6<91e>8j59:9l563=83.99h4>419m60b=i21d=>:50;&11`<6<91e>8j5b:9l564=83.99h4>419m60b=k21d=>?50;&11`<6<91e>8j5d:9l566=83.99h4>419m60b=m21d=?h50;&11`<6<91e>8j5f:9l57c=83.99h4>419m60b=9910c<<k:18'60c=9=:0b?;k:038?j75k3:1(?;j:063?k42l3;976a>2c83>!42m3;?<6`=5e827>=h9;k1<7*=5d8205=i:<n1=954o00:>5<#:<o1=9>4n37g>43<3f;?47>5$37f>4273g8>h7?9;:m202<72-8>i7?;0:l11a<6?21d=9850;&11`<6<91e>8j51998k422290/>8k51528j73c28307b?;4;29 73b28>;7c<:d;3b?>i6<:0;6)<:e;374>h5=m0:n65`15094?"5=l0:8=5a24f95f=<g89n6=4+24g9516<f;?o6<j4;n307?6=,;?n6<:?;o06`?7b32e:>54?:%06a?7382d99i4>f:9l51c=83.99h4>4e9m60b=821d=9m50;&11`<6<m1e>8j51:9l51d=83.99h4>4e9m60b=:21d=9o50;&11`<6<m1e>8j53:9l505=83.99h4>539m60b=821d=8?50;&11`<6=;1e>8j51:9l506=83.99h4>539m60b=:21d=9h50;&11`<6=;1e>8j53:9~fg0a290h>7>50z&1``<5jm1C?=74H3g1?_0?2jq9:7<8:3;96d<4:3986>:53481`?4b2:<1?:4rn004>5=i9=31<6*=d6823g=#:mk1>6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l?099:5+1e696>"6l<097)?7b;18 4>d2:1/=5j53:&2<`<43-;3j7=4$0;3>6=#9m21=:l4$0f:>41e3-8ii7<:7:&1fc<5=>1e>i?59:l1`7<>3-8o47<:7:&2=4<43-;2>7=4$3d2>7`73-8m>7<i0:l1b6<>3g8m8774$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5<2=;2.:584<;%3:2?5<,83<6>5+18:97>"610087)?6a;18 4?e2:1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!7f:390(<o<:29'5d2=;2.:m84<;%3b2?5<,;ni6?5f6`83>>o1j3:17d9?:188m27=831b=:950;9j6a5=831b>i:50;9j52>=831b>im50;9j6ab=831d:n4?::m5`?6=3`>j6=4+24g90<=i:<n1<65f4983>!42m3>27c<:d;38?l20290/>8k5489m60b=:21b8;4?:%06a?2>3g8>h7=4;h66>5<#:<o1845a24f90>=n=:0;6)<:e;6:?k42l3?07d;=:18'60c=<01e>8j56:9j14<72-8>i7:6;o06`?1<3`?;6=4+24g90<=i:<n1465f4g83>!42m3>27c<:d;;8?l2b290/>8k5489m60b=i21b8i4?:%06a?2>3g8>h7l4;h6`>5<#:<o1845a24f9g>=n<k0;6)<:e;6:?k42l3n07d:;:18'60c=<01e>8j5e:9j1d<72-8>i7;6;o06`?6<3`?36=4+24g91<=i:<n1=65f5683>!42m3?27c<:d;08?l31290/>8k5589m60b=;21b984?:%06a?3>3g8>h7:4;h40>5<#:<o1945a24f91>=n>;0;6)<:e;7:?k42l3<07d8>:18'60c==01e>8j57:9j25<72-8>i7;6;o06`?><3`?m6=4+24g91<=i:<n1565f5d83>!42m3?27c<:d;c8?l3c290/>8k5589m60b=j21b9n4?:%06a?3>3g8>h7m4;h7a>5<#:<o1945a24f9`>=n==0;6)<:e;7:?k42l3o07dl=:18'60c=j81e>8j50:9jf5<72-8>i7l>;o06`?7<3`kn6=4+24g9f4=i:<n1>65fae83>!42m3h:7c<:d;18?lgd290/>8k5b09m60b=<21bmo4?:%06a?d63g8>h7;4;hcb>5<#:<o1n<5a24f92>=ni00;6)<:e;`2?k42l3=07do7:18'60c=j81e>8j58:9je2<72-8>i7l>;o06`??<3`k=6=4+24g9f4=i:<n1m65fa483>!42m3h:7c<:d;`8?lg4290/>8k5b09m60b=k21bm?4?:%06a?d63g8>h7j4;hc2>5<#:<o1n<5a24f9a>=ni90;6)<:e;`2?k42l3l07d7i:18'60c=j81e>8j51198m<c=83.99h4m1:l11a<6921b5i4?:%06a?d63g8>h7?=;:k:g?6=,;?n6o?4n37g>45<3`3i6=4+24g9f4=i:<n1=954i8c94?"5=l0i=6`=5e821>=nj00;6)<:e;`2?k42l3;=76gm8;29 73b2k;0b?;k:058?ld0290/>8k5b09m60b=9110eo850;&11`<e92d99i4>9:9jf0<72-8>i7l>;o06`?7f32ci87>5$37f>g7<f;?o6<l4;h`0>5<#:<o1n<5a24f95f=<ahl1<7*=5d8a5>h5=m0:h65fa583>!42m3h:7c<:d;3f?>o>13:1(?;j:c38j73c28l07dj<:18'60c=l;1e>8j50:9j`4<72-8>i7j=;o06`?7<3`im6=4+24g9`7=i:<n1>65fcd83>!42m3n97c<:d;18?lec290/>8k5d39m60b=<21bon4?:%06a?b53g8>h7;4;haa>5<#:<o1h?5a24f92>=nkh0;6)<:e;f1?k42l3=07dm6:18'60c=l;1e>8j58:9jg=<72-8>i7j=;o06`??<3`i<6=4+24g9`7=i:<n1m65fc783>!42m3n97c<:d;`8?le3290/>8k5d39m60b=k21bo>4?:%06a?b53g8>h7j4;ha1>5<#:<o1h?5a24f9a>=nk80;6)<:e;f1?k42l3l07dm?:18'60c=l;1e>8j51198mg`=83.99h4k2:l11a<6921bnh4?:%06a?b53g8>h7?=;:ka`?6=,;?n6i<4n37g>45<3`hh6=4+24g9`7=i:<n1=954ic`94?"5=l0o>6`=5e821>=nlh0;6)<:e;f1?k42l3;=76gk9;29 73b2m80b?;k:058?lb?290/>8k5d39m60b=9110ei950;&11`<c:2d99i4>9:9j`3<72-8>i7j=;o06`?7f32co97>5$37f>a4<f;?o6<l4;hf7>5<#:<o1h?5a24f95f=<am:1<7*=5d8g6>h5=m0:h65fc483>!42m3n97c<:d;3f?>oei3:1(?;j:e08j73c28l07d??0;29 73b2ol0b?;k:198mcc=83.99h4if:l11a<632cmh7>5$37f>c`<f;?o6?54iga94?"5=l0mj6`=5e80?>o68<0;6)<:e;330>h5=m0;76g>0283>!42m3;;86`=5e82?>o68;0;6)<:e;330>h5=m0976g>0083>!42m3;;86`=5e80?>o5mh0;6)<:e;0f=>h5=m0;76g=e983>!42m38n56`=5e82?>o5m>0;6)<:e;0f=>h5=m0976g=e783>!42m38n56`=5e80?>o5mo0;6)<:e;0fa>h5=m0;76g=ee83>!42m38ni6`=5e82?>o5mj0;6)<:e;0fa>h5=m0976g=ec83>!42m38ni6`=5e80?>i69o0;6)<:e;32a>h5=m0;76a>1e83>!42m3;:i6`=5e82?>i69k0;6)<:e;32a>h5=m0976a>1`83>!42m3;:i6`=5e80?>i6900;6)<:e;32a>h5=m0?76a>1983>!42m3;:i6`=5e86?>i69>0;6)<:e;32a>h5=m0=76a>1783>!42m3;:i6`=5e84?>i69<0;6)<:e;32a>h5=m0376a>1583>!42m3;:i6`=5e8:?>i69:0;6)<:e;32a>h5=m0j76a>1383>!42m3;:i6`=5e8a?>i6990;6)<:e;32a>h5=m0h76a>0g83>!42m3;:i6`=5e8g?>i68l0;6)<:e;32a>h5=m0n76a>0e83>!42m3;:i6`=5e8e?>i68j0;6)<:e;32a>h5=m0:<65`11`94?"5=l0:=h5a24f954=<g8:j6=4+24g954c<f;?o6<<4;n33=?6=,;?n6<?j;o06`?7432e:<54?:%06a?76m2d99i4>4:9l551=83.99h4>1d9m60b=9<10c<<9:18'60c=98o0b?;k:048?j75=3:1(?;j:03f?k42l3;<76a>2583>!42m3;:i6`=5e82<>=h9;91<7*=5d825`=i:<n1=454o001>5<#:<o1=<k4n37g>4g<3f;9=7>5$37f>47b3g8>h7?m;:m265<72-8>i7?>e:l11a<6k21d=<m50;&11`<69l1e>8j51e98k476290/>8k510g8j73c28o07b??6;29 73b28;n7c<:d;3e?>i6<80;6)<:e;374>h5=m0;76a>3g83>!42m3;?<6`=5e82?>i6;m0;6)<:e;374>h5=m0976a>3b83>!42m3;?<6`=5e80?>i6;k0;6)<:e;374>h5=m0?76a>3`83>!42m3;?<6`=5e86?>i6;00;6)<:e;374>h5=m0=76a>3983>!42m3;?<6`=5e84?>i6;>0;6)<:e;374>h5=m0376a>3783>!42m3;?<6`=5e8:?>i6;<0;6)<:e;374>h5=m0j76a>3583>!42m3;?<6`=5e8a?>i6;;0;6)<:e;374>h5=m0h76a>3083>!42m3;?<6`=5e8g?>i6;90;6)<:e;374>h5=m0n76a>2g83>!42m3;?<6`=5e8e?>i6:l0;6)<:e;374>h5=m0:<65`13f94?"5=l0:8=5a24f954=<g88h6=4+24g9516<f;?o6<<4;n31f?6=,;?n6<:?;o06`?7432e:>l4?:%06a?7382d99i4>4:9l57?=83.99h4>419m60b=9<10c<:7:18'60c=9=:0b?;k:048?j73?3:1(?;j:063?k42l3;<76a>4783>!42m3;?<6`=5e82<>=h9=?1<7*=5d8205=i:<n1=454o067>5<#:<o1=9>4n37g>4g<3f;??7>5$37f>4273g8>h7?m;:m207<72-8>i7?;0:l11a<6k21d=>k50;&11`<6<91e>8j51e98k454290/>8k51528j73c28o07b?=8;29 73b28>;7c<:d;3e?>i6<l0;6)<:e;37`>h5=m0;76a>4b83>!42m3;?h6`=5e82?>i6<k0;6)<:e;37`>h5=m0976a>4`83>!42m3;?h6`=5e80?>i6=:0;6)<:e;366>h5=m0;76a>5083>!42m3;>>6`=5e82?>i6=90;6)<:e;366>h5=m0976a>4g83>!42m3;>>6`=5e80?>{ej>:1<7m=:183\7f!4cm38ih6F<089K6`4<R?21ov<9:3596<<5i3996>=535801?4c2;o1?;4<7;\7fm571=82d:844?;%0g3?70j2.9hl4=;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o:7<:7:&2`1<53-;o97<4$0:a>6=#91i1?6*>8e80?!7?m390(<6i:29'5<6=;2.:h54>7c9'5a?=9>h0(?lj:374?!4en38>;6`=d08:?k4c:330(?j7:374?!7>9390(<7=:29'6c7=:o:0(?h=:3d3?k4a;330b?h;:89'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"61=087)?65;18 4?12:1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/>il52:k5e?6=3`<i6=44i6294?=n?80;66g>7683>>o5l:0;66g=d583>>o6?10;66g=db83>>o5lm0;66a9c;29?j0c2900e9o50;&11`<312d99i4?;:k7<?6=,;?n6974n37g>4=<a==1<7*=5d87=>h5=m0976g;6;29 73b2=30b?;k:298m13=83.99h4;9:l11a<332c>?7>5$37f>1?<f;?o6854i4094?"5=l0?56`=5e85?>o293:1(?;j:5;8j73c2>10e8>50;&11`<312d99i47;:k7b?6=,;?n6974n37g><=<a=o1<7*=5d87=>h5=m0j76g;d;29 73b2=30b?;k:c98m1e=83.99h4;9:l11a<d32c?n7>5$37f>1?<f;?o6i54i5694?"5=l0?56`=5e8f?>o2i3:1(?;j:4;8j73c2910e8650;&11`<212d99i4>;:k63?6=,;?n6874n37g>7=<a<<1<7*=5d86=>h5=m0876g:5;29 73b2<30b?;k:598m35=83.99h4:9:l11a<232c=>7>5$37f>0?<f;?o6;54i7394?"5=l0>56`=5e84?>o183:1(?;j:4;8j73c2110e8h50;&11`<212d99i46;:k6a?6=,;?n6874n37g>d=<a<n1<7*=5d86=>h5=m0i76g:c;29 73b2<30b?;k:b98m0d=83.99h4:9:l11a<c32c>87>5$37f>0?<f;?o6h54ic094?"5=l0i=6`=5e83?>oe83:1(?;j:c38j73c2810elk50;&11`<e92d99i4=;:kb`?6=,;?n6o?4n37g>6=<ahi1<7*=5d8a5>h5=m0?76gnb;29 73b2k;0b?;k:498mdg=83.99h4m1:l11a<132cj57>5$37f>g7<f;?o6:54i`:94?"5=l0i=6`=5e8;?>of?3:1(?;j:c38j73c2010el850;&11`<e92d99i4n;:kb1?6=,;?n6o?4n37g>g=<ah91<7*=5d8a5>h5=m0h76gn2;29 73b2k;0b?;k:e98md7=83.99h4m1:l11a<b32cj<7>5$37f>g7<f;?o6k54i8d94?"5=l0i=6`=5e824>=n1l0;6)<:e;`2?k42l3;:76g6d;29 73b2k;0b?;k:008?l?d290/>8k5b09m60b=9:10e4l50;&11`<e92d99i4>4:9j=d<72-8>i7l>;o06`?7232ci57>5$37f>g7<f;?o6<84;h`;>5<#:<o1n<5a24f952=<ak=1<7*=5d8a5>h5=m0:465fb783>!42m3h:7c<:d;3:?>oe=3:1(?;j:c38j73c28k07dl;:18'60c=j81e>8j51c98mg5=83.99h4m1:l11a<6k21bmk4?:%06a?d63g8>h7?k;:kb0?6=,;?n6o?4n37g>4c<3`326=4+24g9f4=i:<n1=k54ie194?"5=l0o>6`=5e83?>oc93:1(?;j:e08j73c2810enh50;&11`<c:2d99i4=;:k`a?6=,;?n6i<4n37g>6=<ajn1<7*=5d8g6>h5=m0?76glc;29 73b2m80b?;k:498mfd=83.99h4k2:l11a<132chm7>5$37f>a4<f;?o6:54ib;94?"5=l0o>6`=5e8;?>od03:1(?;j:e08j73c2010en950;&11`<c:2d99i4n;:k`2?6=,;?n6i<4n37g>g=<aj>1<7*=5d8g6>h5=m0h76gl3;29 73b2m80b?;k:e98mf4=83.99h4k2:l11a<b32ch=7>5$37f>a4<f;?o6k54ib294?"5=l0o>6`=5e824>=njo0;6)<:e;f1?k42l3;:76gme;29 73b2m80b?;k:008?ldc290/>8k5d39m60b=9:10eom50;&11`<c:2d99i4>4:9jfg<72-8>i7j=;o06`?7232com7>5$37f>a4<f;?o6<84;hf:>5<#:<o1h?5a24f952=<am21<7*=5d8g6>h5=m0:465fd683>!42m3n97c<:d;3:?>oc>3:1(?;j:e08j73c28k07dj::18'60c=l;1e>8j51c98ma2=83.99h4k2:l11a<6k21bh=4?:%06a?b53g8>h7?k;:k`1?6=,;?n6i<4n37g>4c<3`hj6=4+24g9`7=i:<n1=k54i023>5<#:<o1jk5a24f94>=nnl0;6)<:e;de?k42l3;07dhk:18'60c=no1e>8j52:9jbf<72-8>i7hi;o06`?5<3`;;97>5$37f>4633g8>h7>4;h337?6=,;?n6<>;;o06`?7<3`;;>7>5$37f>4633g8>h7<4;h335?6=,;?n6<>;;o06`?5<3`8nm7>5$37f>7c>3g8>h7>4;h0f<?6=,;?n6?k6;o06`?7<3`8n;7>5$37f>7c>3g8>h7<4;h0f2?6=,;?n6?k6;o06`?5<3`8nj7>5$37f>7cb3g8>h7>4;h0f`?6=,;?n6?kj;o06`?7<3`8no7>5$37f>7cb3g8>h7<4;h0ff?6=,;?n6?kj;o06`?5<3f;:j7>5$37f>47b3g8>h7>4;n32`?6=,;?n6<?j;o06`?7<3f;:n7>5$37f>47b3g8>h7<4;n32e?6=,;?n6<?j;o06`?5<3f;:57>5$37f>47b3g8>h7:4;n32<?6=,;?n6<?j;o06`?3<3f;:;7>5$37f>47b3g8>h784;n322?6=,;?n6<?j;o06`?1<3f;:97>5$37f>47b3g8>h764;n320?6=,;?n6<?j;o06`??<3f;:?7>5$37f>47b3g8>h7o4;n326?6=,;?n6<?j;o06`?d<3f;:<7>5$37f>47b3g8>h7m4;n33b?6=,;?n6<?j;o06`?b<3f;;i7>5$37f>47b3g8>h7k4;n33`?6=,;?n6<?j;o06`?`<3f;;o7>5$37f>47b3g8>h7??;:m24g<72-8>i7?>e:l11a<6921d==o50;&11`<69l1e>8j51398k46>290/>8k510g8j73c28907b??8;29 73b28;n7c<:d;37?>i68>0;6)<:e;32a>h5=m0:965`13494?"5=l0:=h5a24f953=<g88>6=4+24g954c<f;?o6<94;n310?6=,;?n6<?j;o06`?7?32e:>>4?:%06a?76m2d99i4>9:9l574=83.99h4>1d9m60b=9h10c<<>:18'60c=98o0b?;k:0`8?j7583:1(?;j:03f?k42l3;h76a>1b83>!42m3;:i6`=5e82`>=h98;1<7*=5d825`=i:<n1=h54o025>5<#:<o1=<k4n37g>4`<3f;?=7>5$37f>4273g8>h7>4;n30b?6=,;?n6<:?;o06`?7<3f;8h7>5$37f>4273g8>h7<4;n30g?6=,;?n6<:?;o06`?5<3f;8n7>5$37f>4273g8>h7:4;n30e?6=,;?n6<:?;o06`?3<3f;857>5$37f>4273g8>h784;n30<?6=,;?n6<:?;o06`?1<3f;8;7>5$37f>4273g8>h764;n302?6=,;?n6<:?;o06`??<3f;897>5$37f>4273g8>h7o4;n300?6=,;?n6<:?;o06`?d<3f;8>7>5$37f>4273g8>h7m4;n305?6=,;?n6<:?;o06`?b<3f;8<7>5$37f>4273g8>h7k4;n31b?6=,;?n6<:?;o06`?`<3f;9i7>5$37f>4273g8>h7??;:m26a<72-8>i7?;0:l11a<6921d=?m50;&11`<6<91e>8j51398k44e290/>8k51528j73c28907b?=a;29 73b28>;7c<:d;37?>i6:00;6)<:e;374>h5=m0:965`15:94?"5=l0:8=5a24f953=<g8><6=4+24g9516<f;?o6<94;n372?6=,;?n6<:?;o06`?7?32e:884?:%06a?7382d99i4>9:9l512=83.99h4>419m60b=9h10c<:<:18'60c=9=:0b?;k:0`8?j73:3:1(?;j:063?k42l3;h76a>3d83>!42m3;?<6`=5e82`>=h9:91<7*=5d8205=i:<n1=h54o00;>5<#:<o1=9>4n37g>4`<3f;?i7>5$37f>42c3g8>h7>4;n37g?6=,;?n6<:k;o06`?7<3f;?n7>5$37f>42c3g8>h7<4;n37e?6=,;?n6<:k;o06`?5<3f;>?7>5$37f>4353g8>h7>4;n365?6=,;?n6<;=;o06`?7<3f;><7>5$37f>4353g8>h7<4;n37b?6=,;?n6<;=;o06`?5<3thi;<4?:b094?6|,;nn6?lk;I13=>N5m;1Q:54l{34962<5138j6><532800?522;n1>h4<6;14>xh6:>0;7c?;9;28 7b028=i7)<ka;08 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j9:374?!7c<380(<j::39'5=d=;2.:4n4<;%3;`?5<,82n6>5+19d97>"619087)?k8;34f>"6l00:;o5+2cg9601<,;hm6?;8;o0g5??<f;n9645+2e:9601<,83:6>5+18097>"5n809j=5+2g096c6<f;l8645a2g69=>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;287=4$0;6>6=#90<1?6*>9680?!7>0390(<76:29'5<g=;2.:5o4<;%3:g?5<,83o6>5+18g97>"61o087)?n0;18 4g62:1/=l<53:&2e6<43-;j87=4$0c6>6=#9h<1?6*=dc81?l0f2900e;l50;9j35<722c<=7>5;h343?6=3`8o?7>5;h0g0?6=3`;<47>5;h0gg?6=3`8oh7>5;n4`>5<<g?n1<75f4`83>!42m3>27c<:d;28?l2?290/>8k5489m60b=921b8:4?:%06a?2>3g8>h7<4;h65>5<#:<o1845a24f97>=n<<0;6)<:e;6:?k42l3>07d;<:18'60c=<01e>8j55:9j17<72-8>i7:6;o06`?0<3`?:6=4+24g90<=i:<n1;65f5183>!42m3>27c<:d;:8?l2a290/>8k5489m60b=121b8h4?:%06a?2>3g8>h7o4;h6g>5<#:<o1845a24f9f>=n<j0;6)<:e;6:?k42l3i07d:m:18'60c=<01e>8j5d:9j01<72-8>i7:6;o06`?c<3`?j6=4+24g91<=i:<n1<65f5983>!42m3?27c<:d;38?l30290/>8k5589m60b=:21b9;4?:%06a?3>3g8>h7=4;h76>5<#:<o1945a24f90>=n>:0;6)<:e;7:?k42l3?07d8=:18'60c==01e>8j56:9j24<72-8>i7;6;o06`?1<3`<;6=4+24g91<=i:<n1465f5g83>!42m3?27c<:d;;8?l3b290/>8k5589m60b=i21b9i4?:%06a?3>3g8>h7l4;h7`>5<#:<o1945a24f9g>=n=k0;6)<:e;7:?k42l3n07d;;:18'60c==01e>8j5e:9jf7<72-8>i7l>;o06`?6<3`h;6=4+24g9f4=i:<n1=65fad83>!42m3h:7c<:d;08?lgc290/>8k5b09m60b=;21bmn4?:%06a?d63g8>h7:4;hca>5<#:<o1n<5a24f91>=nih0;6)<:e;`2?k42l3<07do6:18'60c=j81e>8j57:9je=<72-8>i7l>;o06`?><3`k<6=4+24g9f4=i:<n1565fa783>!42m3h:7c<:d;c8?lg2290/>8k5b09m60b=j21bm>4?:%06a?d63g8>h7m4;hc1>5<#:<o1n<5a24f9`>=ni80;6)<:e;`2?k42l3o07do?:18'60c=j81e>8j5f:9j=c<72-8>i7l>;o06`?7732c2i7>5$37f>g7<f;?o6<?4;h;g>5<#:<o1n<5a24f957=<a0i1<7*=5d8a5>h5=m0:?65f9c83>!42m3h:7c<:d;37?>o>i3:1(?;j:c38j73c28?07dl6:18'60c=j81e>8j51798mg>=83.99h4m1:l11a<6?21bn:4?:%06a?d63g8>h7?7;:ka2?6=,;?n6o?4n37g>4?<3`h>6=4+24g9f4=i:<n1=l54ic694?"5=l0i=6`=5e82f>=nj:0;6)<:e;`2?k42l3;h76gnf;29 73b2k;0b?;k:0f8?lg3290/>8k5b09m60b=9l10e4750;&11`<e92d99i4>f:9j`6<72-8>i7j=;o06`?6<3`n:6=4+24g9`7=i:<n1=65fcg83>!42m3n97c<:d;08?leb290/>8k5d39m60b=;21boi4?:%06a?b53g8>h7:4;ha`>5<#:<o1h?5a24f91>=nkk0;6)<:e;f1?k42l3<07dmn:18'60c=l;1e>8j57:9jg<<72-8>i7j=;o06`?><3`i36=4+24g9`7=i:<n1565fc683>!42m3n97c<:d;c8?le1290/>8k5d39m60b=j21bo94?:%06a?b53g8>h7m4;ha0>5<#:<o1h?5a24f9`>=nk;0;6)<:e;f1?k42l3o07dm>:18'60c=l;1e>8j5f:9jg5<72-8>i7j=;o06`?7732cij7>5$37f>a4<f;?o6<?4;h`f>5<#:<o1h?5a24f957=<akn1<7*=5d8g6>h5=m0:?65fbb83>!42m3n97c<:d;37?>oej3:1(?;j:e08j73c28?07djn:18'60c=l;1e>8j51798ma?=83.99h4k2:l11a<6?21bh54?:%06a?b53g8>h7?7;:kg3?6=,;?n6i<4n37g>4?<3`n=6=4+24g9`7=i:<n1=l54ie794?"5=l0o>6`=5e82f>=nl=0;6)<:e;f1?k42l3;h76gk0;29 73b2m80b?;k:0f8?le2290/>8k5d39m60b=9l10eoo50;&11`<c:2d99i4>f:9j556=83.99h4if:l11a<732cmi7>5$37f>c`<f;?o6<54igf94?"5=l0mj6`=5e81?>oak3:1(?;j:gd8j73c2:10e<>::18'60c=99>0b?;k:198m464290/>8k51168j73c2810e<>=:18'60c=99>0b?;k:398m466290/>8k51168j73c2:10e?kn:18'60c=:l30b?;k:198m7c?290/>8k52d;8j73c2810e?k8:18'60c=:l30b?;k:398m7c1290/>8k52d;8j73c2:10e?ki:18'60c=:lo0b?;k:198m7cc290/>8k52dg8j73c2810e?kl:18'60c=:lo0b?;k:398m7ce290/>8k52dg8j73c2:10c<?i:18'60c=98o0b?;k:198k47c290/>8k510g8j73c2810c<?m:18'60c=98o0b?;k:398k47f290/>8k510g8j73c2:10c<?6:18'60c=98o0b?;k:598k47?290/>8k510g8j73c2<10c<?8:18'60c=98o0b?;k:798k471290/>8k510g8j73c2>10c<?::18'60c=98o0b?;k:998k473290/>8k510g8j73c2010c<?<:18'60c=98o0b?;k:`98k475290/>8k510g8j73c2k10c<??:18'60c=98o0b?;k:b98k46a290/>8k510g8j73c2m10c<>j:18'60c=98o0b?;k:d98k46c290/>8k510g8j73c2o10c<>l:18'60c=98o0b?;k:028?j77j3:1(?;j:03f?k42l3;:76a>0`83>!42m3;:i6`=5e826>=h9931<7*=5d825`=i:<n1=>54o02;>5<#:<o1=<k4n37g>42<3f;;;7>5$37f>47b3g8>h7?:;:m263<72-8>i7?>e:l11a<6>21d=?;50;&11`<69l1e>8j51698k443290/>8k510g8j73c28207b?=3;29 73b28;n7c<:d;3:?>i6:;0;6)<:e;32a>h5=m0:m65`13394?"5=l0:=h5a24f95g=<g88;6=4+24g954c<f;?o6<m4;n32g?6=,;?n6<?j;o06`?7c32e:=<4?:%06a?76m2d99i4>e:9l550=83.99h4>1d9m60b=9o10c<:>:18'60c=9=:0b?;k:198k45a290/>8k51528j73c2810c<=k:18'60c=9=:0b?;k:398k45d290/>8k51528j73c2:10c<=m:18'60c=9=:0b?;k:598k45f290/>8k51528j73c2<10c<=6:18'60c=9=:0b?;k:798k45?290/>8k51528j73c2>10c<=8:18'60c=9=:0b?;k:998k451290/>8k51528j73c2010c<=::18'60c=9=:0b?;k:`98k453290/>8k51528j73c2k10c<==:18'60c=9=:0b?;k:b98k456290/>8k51528j73c2m10c<=?:18'60c=9=:0b?;k:d98k44a290/>8k51528j73c2o10c<<j:18'60c=9=:0b?;k:028?j75l3:1(?;j:063?k42l3;:76a>2b83>!42m3;?<6`=5e826>=h9;h1<7*=5d8205=i:<n1=>54o00b>5<#:<o1=9>4n37g>42<3f;957>5$37f>4273g8>h7?:;:m20=<72-8>i7?;0:l11a<6>21d=9950;&11`<6<91e>8j51698k421290/>8k51528j73c28207b?;5;29 73b28>;7c<:d;3:?>i6<=0;6)<:e;374>h5=m0:m65`15194?"5=l0:8=5a24f95g=<g8>96=4+24g9516<f;?o6<m4;n30a?6=,;?n6<:?;o06`?7c32e:?>4?:%06a?7382d99i4>e:9l57>=83.99h4>419m60b=9o10c<:j:18'60c=9=n0b?;k:198k42d290/>8k515f8j73c2810c<:m:18'60c=9=n0b?;k:398k42f290/>8k515f8j73c2:10c<;<:18'60c=9<80b?;k:198k436290/>8k51408j73c2810c<;?:18'60c=9<80b?;k:398k42a290/>8k51408j73c2:10qol82;29g7<729q/>ik52cf8L66>3A8n>6T98;ax63<5?3826?o533807?532:?1>i4=e;15>61=ug;9;7>4n06:>5=#:m=1=:l4$3fb>7=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e49601<,8n?6?5+1e796>"60k087)?7c;18 4>c2:1/=5k53:&2<c<43-;2<7=4$0f;>41e3-;o57?8b:&1f`<5=>1/>oh52458j7b6201e>i<59:&1`=<5=>1/=4?53:&2=7<43-8m=7<i0:&1b7<5n91e>k=59:l1b1<>3-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<7;:29'5<3=;2.:5;4<;%3:3?5<,8336>5+18;97>"61h087)?6b;18 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>a380?!7f;390(<o;:29'5d3=;2.:m;4<;%0gf?4<a?k1<75f6c83>>o083:17d9>:188m4102900e?j<:188m7b32900e<97:188m7bd2900e?jk:188k3e=831d:i4?::k7e?6=,;?n6974n37g>5=<a=21<7*=5d87=>h5=m0:76g;7;29 73b2=30b?;k:398m10=83.99h4;9:l11a<432c?97>5$37f>1?<f;?o6954i4194?"5=l0?56`=5e86?>o2:3:1(?;j:5;8j73c2?10e8?50;&11`<312d99i48;:k64?6=,;?n6974n37g>==<a=l1<7*=5d87=>h5=m0276g;e;29 73b2=30b?;k:`98m1b=83.99h4;9:l11a<e32c?o7>5$37f>1?<f;?o6n54i5`94?"5=l0?56`=5e8g?>o3<3:1(?;j:5;8j73c2l10e8o50;&11`<212d99i4?;:k6<?6=,;?n6874n37g>4=<a<=1<7*=5d86=>h5=m0976g:6;29 73b2<30b?;k:298m03=83.99h4:9:l11a<332c=?7>5$37f>0?<f;?o6854i7094?"5=l0>56`=5e85?>o193:1(?;j:4;8j73c2>10e;>50;&11`<212d99i47;:k6b?6=,;?n6874n37g><=<a<o1<7*=5d86=>h5=m0j76g:d;29 73b2<30b?;k:c98m0e=83.99h4:9:l11a<d32c>n7>5$37f>0?<f;?o6i54i4694?"5=l0>56`=5e8f?>oe:3:1(?;j:c38j73c2910eo>50;&11`<e92d99i4>;:kba?6=,;?n6o?4n37g>7=<ahn1<7*=5d8a5>h5=m0876gnc;29 73b2k;0b?;k:598mdd=83.99h4m1:l11a<232cjm7>5$37f>g7<f;?o6;54i`;94?"5=l0i=6`=5e84?>of03:1(?;j:c38j73c2110el950;&11`<e92d99i46;:kb2?6=,;?n6o?4n37g>d=<ah?1<7*=5d8a5>h5=m0i76gn3;29 73b2k;0b?;k:b98md4=83.99h4m1:l11a<c32cj=7>5$37f>g7<f;?o6h54i`294?"5=l0i=6`=5e8e?>o>n3:1(?;j:c38j73c28:07d7j:18'60c=j81e>8j51098m<b=83.99h4m1:l11a<6:21b5n4?:%06a?d63g8>h7?<;:k:f?6=,;?n6o?4n37g>42<3`3j6=4+24g9f4=i:<n1=854ic;94?"5=l0i=6`=5e822>=nj10;6)<:e;`2?k42l3;<76gm7;29 73b2k;0b?;k:0:8?ld1290/>8k5b09m60b=9010eo;50;&11`<e92d99i4>a:9jf1<72-8>i7l>;o06`?7e32ci?7>5$37f>g7<f;?o6<m4;hce>5<#:<o1n<5a24f95a=<ah>1<7*=5d8a5>h5=m0:i65f9883>!42m3h:7c<:d;3e?>oc;3:1(?;j:e08j73c2910ei?50;&11`<c:2d99i4>;:k`b?6=,;?n6i<4n37g>7=<ajo1<7*=5d8g6>h5=m0876gld;29 73b2m80b?;k:598mfe=83.99h4k2:l11a<232chn7>5$37f>a4<f;?o6;54ibc94?"5=l0o>6`=5e84?>od13:1(?;j:e08j73c2110en650;&11`<c:2d99i46;:k`3?6=,;?n6i<4n37g>d=<aj<1<7*=5d8g6>h5=m0i76gl4;29 73b2m80b?;k:b98mf5=83.99h4k2:l11a<c32ch>7>5$37f>a4<f;?o6h54ib394?"5=l0o>6`=5e8e?>od83:1(?;j:e08j73c28:07dli:18'60c=l;1e>8j51098mgc=83.99h4k2:l11a<6:21bni4?:%06a?b53g8>h7?<;:kag?6=,;?n6i<4n37g>42<3`hi6=4+24g9`7=i:<n1=854iec94?"5=l0o>6`=5e822>=nl00;6)<:e;f1?k42l3;<76gk8;29 73b2m80b?;k:0:8?lb0290/>8k5d39m60b=9010ei850;&11`<c:2d99i4>a:9j`0<72-8>i7j=;o06`?7e32co87>5$37f>a4<f;?o6<m4;hf3>5<#:<o1h?5a24f95a=<aj?1<7*=5d8g6>h5=m0:i65fb`83>!42m3n97c<:d;3e?>o6890;6)<:e;de?k42l3:07dhj:18'60c=no1e>8j51:9jba<72-8>i7hi;o06`?4<3`lh6=4+24g9bc=i:<n1?65f11794?"5=l0:<95a24f94>=n9991<7*=5d8241=i:<n1=65f11094?"5=l0:<95a24f96>=n99;1<7*=5d8241=i:<n1?65f2dc94?"5=l09i45a24f94>=n:l21<7*=5d81a<=i:<n1=65f2d594?"5=l09i45a24f96>=n:l<1<7*=5d81a<=i:<n1?65f2dd94?"5=l09ih5a24f94>=n:ln1<7*=5d81a`=i:<n1=65f2da94?"5=l09ih5a24f96>=n:lh1<7*=5d81a`=i:<n1?65`10d94?"5=l0:=h5a24f94>=h98n1<7*=5d825`=i:<n1=65`10`94?"5=l0:=h5a24f96>=h98k1<7*=5d825`=i:<n1?65`10;94?"5=l0:=h5a24f90>=h9821<7*=5d825`=i:<n1965`10594?"5=l0:=h5a24f92>=h98<1<7*=5d825`=i:<n1;65`10794?"5=l0:=h5a24f9<>=h98>1<7*=5d825`=i:<n1565`10194?"5=l0:=h5a24f9e>=h9881<7*=5d825`=i:<n1n65`10294?"5=l0:=h5a24f9g>=h99l1<7*=5d825`=i:<n1h65`11g94?"5=l0:=h5a24f9a>=h99n1<7*=5d825`=i:<n1j65`11a94?"5=l0:=h5a24f955=<g8:i6=4+24g954c<f;?o6<?4;n33e?6=,;?n6<?j;o06`?7532e:<44?:%06a?76m2d99i4>3:9l55>=83.99h4>1d9m60b=9=10c<>8:18'60c=98o0b?;k:078?j75>3:1(?;j:03f?k42l3;=76a>2483>!42m3;:i6`=5e823>=h9;>1<7*=5d825`=i:<n1=554o000>5<#:<o1=<k4n37g>4?<3f;9>7>5$37f>47b3g8>h7?n;:m264<72-8>i7?>e:l11a<6j21d=?>50;&11`<69l1e>8j51b98k47d290/>8k510g8j73c28n07b?>1;29 73b28;n7c<:d;3f?>i68?0;6)<:e;32a>h5=m0:j65`15394?"5=l0:8=5a24f94>=h9:l1<7*=5d8205=i:<n1=65`12f94?"5=l0:8=5a24f96>=h9:i1<7*=5d8205=i:<n1?65`12`94?"5=l0:8=5a24f90>=h9:k1<7*=5d8205=i:<n1965`12;94?"5=l0:8=5a24f92>=h9:21<7*=5d8205=i:<n1;65`12594?"5=l0:8=5a24f9<>=h9:<1<7*=5d8205=i:<n1565`12794?"5=l0:8=5a24f9e>=h9:>1<7*=5d8205=i:<n1n65`12094?"5=l0:8=5a24f9g>=h9:;1<7*=5d8205=i:<n1h65`12294?"5=l0:8=5a24f9a>=h9;l1<7*=5d8205=i:<n1j65`13g94?"5=l0:8=5a24f955=<g88o6=4+24g9516<f;?o6<?4;n31g?6=,;?n6<:?;o06`?7532e:>o4?:%06a?7382d99i4>3:9l57g=83.99h4>419m60b=9=10c<<6:18'60c=9=:0b?;k:078?j7303:1(?;j:063?k42l3;=76a>4683>!42m3;?<6`=5e823>=h9=<1<7*=5d8205=i:<n1=554o066>5<#:<o1=9>4n37g>4?<3f;?87>5$37f>4273g8>h7?n;:m206<72-8>i7?;0:l11a<6j21d=9<50;&11`<6<91e>8j51b98k45b290/>8k51528j73c28n07b?<3;29 73b28>;7c<:d;3f?>i6:10;6)<:e;374>h5=m0:j65`15g94?"5=l0:8i5a24f94>=h9=i1<7*=5d820a=i:<n1=65`15`94?"5=l0:8i5a24f96>=h9=k1<7*=5d820a=i:<n1?65`14194?"5=l0:9?5a24f94>=h9<;1<7*=5d8217=i:<n1=65`14294?"5=l0:9?5a24f96>=h9=l1<7*=5d8217=i:<n1?65rbc50>5<d:3:1<v*=dd81fa=O;930D?k=;[4;>f}5>38<6?752`806?542:>1?84=d;0f>60=;>0vb<<8:19m51?=82.9h:4>7c9'6ag=:2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`3<5=>1/=i:52:&2`0<53-;3n7=4$0:`>6=#91n1?6*>8d80?!7?n390(<7?:29'5a>=9>h0(<j6:05a?!4em38>;6*=bg8112=i:m;156`=d38:?!4c038>;6*>9080?!7>:390(?h>:3d3?!4a:38m<6`=f28:?k4a<330(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+18697>"61<087)?66;18 4?02:1/=4653:&2=<<43-;2m7=4$0;a>6=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3b6?5<,8k86>5+1`697>"6i<087)?n6;18 7be2;1b:l4?::k5f?6=3`=;6=44i6394?=n9>=1<75f2e194?=n:m>1<75f16:94?=n:mi1<75f2ef94?=h>j0;66a9d;29?l2f290/>8k5489m60b=821b854?:%06a?2>3g8>h7?4;h64>5<#:<o1845a24f96>=n<?0;6)<:e;6:?k42l3907d:::18'60c=<01e>8j54:9j16<72-8>i7:6;o06`?3<3`?96=4+24g90<=i:<n1:65f5083>!42m3>27c<:d;58?l37290/>8k5489m60b=021b8k4?:%06a?2>3g8>h774;h6f>5<#:<o1845a24f9e>=n<m0;6)<:e;6:?k42l3h07d:l:18'60c=<01e>8j5c:9j0g<72-8>i7:6;o06`?b<3`>?6=4+24g90<=i:<n1i65f5`83>!42m3?27c<:d;28?l3?290/>8k5589m60b=921b9:4?:%06a?3>3g8>h7<4;h75>5<#:<o1945a24f97>=n=<0;6)<:e;7:?k42l3>07d8<:18'60c==01e>8j55:9j27<72-8>i7;6;o06`?0<3`<:6=4+24g91<=i:<n1;65f6183>!42m3?27c<:d;:8?l3a290/>8k5589m60b=121b9h4?:%06a?3>3g8>h7o4;h7g>5<#:<o1945a24f9f>=n=j0;6)<:e;7:?k42l3i07d;m:18'60c==01e>8j5d:9j11<72-8>i7;6;o06`?c<3`h96=4+24g9f4=i:<n1<65fb183>!42m3h:7c<:d;38?lgb290/>8k5b09m60b=:21bmi4?:%06a?d63g8>h7=4;hc`>5<#:<o1n<5a24f90>=nik0;6)<:e;`2?k42l3?07don:18'60c=j81e>8j56:9je<<72-8>i7l>;o06`?1<3`k36=4+24g9f4=i:<n1465fa683>!42m3h:7c<:d;;8?lg1290/>8k5b09m60b=i21bm84?:%06a?d63g8>h7l4;hc0>5<#:<o1n<5a24f9g>=ni;0;6)<:e;`2?k42l3n07do>:18'60c=j81e>8j5e:9je5<72-8>i7l>;o06`?`<3`3m6=4+24g9f4=i:<n1==54i8g94?"5=l0i=6`=5e825>=n1m0;6)<:e;`2?k42l3;976g6c;29 73b2k;0b?;k:018?l?e290/>8k5b09m60b=9=10e4o50;&11`<e92d99i4>5:9jf<<72-8>i7l>;o06`?7132ci47>5$37f>g7<f;?o6<94;h`4>5<#:<o1n<5a24f95==<ak<1<7*=5d8a5>h5=m0:565fb483>!42m3h:7c<:d;3b?>oe<3:1(?;j:c38j73c28h07dl<:18'60c=j81e>8j51b98md`=83.99h4m1:l11a<6l21bm94?:%06a?d63g8>h7?j;:k:=?6=,;?n6o?4n37g>4`<3`n86=4+24g9`7=i:<n1<65fd083>!42m3n97c<:d;38?lea290/>8k5d39m60b=:21boh4?:%06a?b53g8>h7=4;hag>5<#:<o1h?5a24f90>=nkj0;6)<:e;f1?k42l3?07dmm:18'60c=l;1e>8j56:9jgd<72-8>i7j=;o06`?1<3`i26=4+24g9`7=i:<n1465fc983>!42m3n97c<:d;;8?le0290/>8k5d39m60b=i21bo;4?:%06a?b53g8>h7l4;ha7>5<#:<o1h?5a24f9g>=nk:0;6)<:e;f1?k42l3n07dm=:18'60c=l;1e>8j5e:9jg4<72-8>i7j=;o06`?`<3`i;6=4+24g9`7=i:<n1==54icd94?"5=l0o>6`=5e825>=njl0;6)<:e;f1?k42l3;976gmd;29 73b2m80b?;k:018?ldd290/>8k5d39m60b=9=10eol50;&11`<c:2d99i4>5:9j`d<72-8>i7j=;o06`?7132co57>5$37f>a4<f;?o6<94;hf;>5<#:<o1h?5a24f95==<am=1<7*=5d8g6>h5=m0:565fd783>!42m3n97c<:d;3b?>oc=3:1(?;j:e08j73c28h07dj;:18'60c=l;1e>8j51b98ma6=83.99h4k2:l11a<6l21bo84?:%06a?b53g8>h7?j;:kae?6=,;?n6i<4n37g>4`<3`;;<7>5$37f>c`<f;?o6=54igg94?"5=l0mj6`=5e82?>oal3:1(?;j:gd8j73c2;10ekm50;&11`<an2d99i4<;:k240<72-8>i7??4:l11a<732c:<>4?:%06a?77<2d99i4>;:k247<72-8>i7??4:l11a<532c:<<4?:%06a?77<2d99i4<;:k1ad<72-8>i7<j9:l11a<732c9i54?:%06a?4b12d99i4>;:k1a2<72-8>i7<j9:l11a<532c9i;4?:%06a?4b12d99i4<;:k1ac<72-8>i7<je:l11a<732c9ii4?:%06a?4bm2d99i4>;:k1af<72-8>i7<je:l11a<532c9io4?:%06a?4bm2d99i4<;:m25c<72-8>i7?>e:l11a<732e:=i4?:%06a?76m2d99i4>;:m25g<72-8>i7?>e:l11a<532e:=l4?:%06a?76m2d99i4<;:m25<<72-8>i7?>e:l11a<332e:=54?:%06a?76m2d99i4:;:m252<72-8>i7?>e:l11a<132e:=;4?:%06a?76m2d99i48;:m250<72-8>i7?>e:l11a<?32e:=94?:%06a?76m2d99i46;:m256<72-8>i7?>e:l11a<f32e:=?4?:%06a?76m2d99i4m;:m255<72-8>i7?>e:l11a<d32e:<k4?:%06a?76m2d99i4k;:m24`<72-8>i7?>e:l11a<b32e:<i4?:%06a?76m2d99i4i;:m24f<72-8>i7?>e:l11a<6821d==l50;&11`<69l1e>8j51098k46f290/>8k510g8j73c28807b??9;29 73b28;n7c<:d;30?>i6810;6)<:e;32a>h5=m0:865`11594?"5=l0:=h5a24f950=<g88=6=4+24g954c<f;?o6<84;n311?6=,;?n6<?j;o06`?7032e:>94?:%06a?76m2d99i4>8:9l575=83.99h4>1d9m60b=9010c<<=:18'60c=98o0b?;k:0c8?j7593:1(?;j:03f?k42l3;i76a>2183>!42m3;:i6`=5e82g>=h98i1<7*=5d825`=i:<n1=i54o032>5<#:<o1=<k4n37g>4c<3f;;:7>5$37f>47b3g8>h7?i;:m204<72-8>i7?;0:l11a<732e:?k4?:%06a?7382d99i4>;:m27a<72-8>i7?;0:l11a<532e:?n4?:%06a?7382d99i4<;:m27g<72-8>i7?;0:l11a<332e:?l4?:%06a?7382d99i4:;:m27<<72-8>i7?;0:l11a<132e:?54?:%06a?7382d99i48;:m272<72-8>i7?;0:l11a<?32e:?;4?:%06a?7382d99i46;:m270<72-8>i7?;0:l11a<f32e:?94?:%06a?7382d99i4m;:m277<72-8>i7?;0:l11a<d32e:?<4?:%06a?7382d99i4k;:m275<72-8>i7?;0:l11a<b32e:>k4?:%06a?7382d99i4i;:m26`<72-8>i7?;0:l11a<6821d=?j50;&11`<6<91e>8j51098k44d290/>8k51528j73c28807b?=b;29 73b28>;7c<:d;30?>i6:h0;6)<:e;374>h5=m0:865`13;94?"5=l0:8=5a24f950=<g8>36=4+24g9516<f;?o6<84;n373?6=,;?n6<:?;o06`?7032e:8;4?:%06a?7382d99i4>8:9l513=83.99h4>419m60b=9010c<:;:18'60c=9=:0b?;k:0c8?j73;3:1(?;j:063?k42l3;i76a>4383>!42m3;?<6`=5e82g>=h9:o1<7*=5d8205=i:<n1=i54o010>5<#:<o1=9>4n37g>4c<3f;947>5$37f>4273g8>h7?i;:m20`<72-8>i7?;d:l11a<732e:8n4?:%06a?73l2d99i4>;:m20g<72-8>i7?;d:l11a<532e:8l4?:%06a?73l2d99i4<;:m216<72-8>i7?:2:l11a<732e:9<4?:%06a?72:2d99i4>;:m215<72-8>i7?:2:l11a<532e:8k4?:%06a?72:2d99i4<;:\7faf22=83i96=4?{%0ga?4el2B8<45G2d08^3>=kr8=6?952881e?552:91?94<5;0g>7c=;?08;7sa13594>h6<00;7)<k7;34f>"5lh097)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c>38>;6*>d581?!7c=380(<6m:29'5=e=;2.:4i4<;%3;a?5<,82m6>5+18297>"6l10:;o5+1e;952d<,;hn6?;8;%0ab?42?2d9h<46;o0g6??<,;n36?;8;%3:5?5<,8396>5+2g396c6<,;l96?h?;o0e7??<f;l?645+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2=1<43-;297=4$0;5>6=#90=1?6*>9980?!7>1390(<7n:29'5<d=;2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4g52:1/=l=53:&2e1<43-;j97=4$0c5>6=#:mh1>6g9a;29?l0e2900e:>50;9j34<722c:;:4?::k1`6<722c9h94?::k23=<722c9hn4?::k1`a<722e=o7>5;n4g>5<<a=k1<7*=5d87=>h5=m0;76g;8;29 73b2=30b?;k:098m11=83.99h4;9:l11a<532c?:7>5$37f>1?<f;?o6>54i5794?"5=l0?56`=5e87?>o2;3:1(?;j:5;8j73c2<10e8<50;&11`<312d99i49;:k65?6=,;?n6974n37g>2=<a<:1<7*=5d87=>h5=m0376g;f;29 73b2=30b?;k:898m1c=83.99h4;9:l11a<f32c?h7>5$37f>1?<f;?o6o54i5a94?"5=l0?56`=5e8`?>o3j3:1(?;j:5;8j73c2m10e9:50;&11`<312d99i4j;:k6e?6=,;?n6874n37g>5=<a<21<7*=5d86=>h5=m0:76g:7;29 73b2<30b?;k:398m00=83.99h4:9:l11a<432c>97>5$37f>0?<f;?o6954i7194?"5=l0>56`=5e86?>o1:3:1(?;j:4;8j73c2?10e;?50;&11`<212d99i48;:k54?6=,;?n6874n37g>==<a<l1<7*=5d86=>h5=m0276g:e;29 73b2<30b?;k:`98m0b=83.99h4:9:l11a<e32c>o7>5$37f>0?<f;?o6n54i4`94?"5=l0>56`=5e8g?>o2<3:1(?;j:4;8j73c2l10eo<50;&11`<e92d99i4?;:ka4?6=,;?n6o?4n37g>4=<aho1<7*=5d8a5>h5=m0976gnd;29 73b2k;0b?;k:298mde=83.99h4m1:l11a<332cjn7>5$37f>g7<f;?o6854i`c94?"5=l0i=6`=5e85?>of13:1(?;j:c38j73c2>10el650;&11`<e92d99i47;:kb3?6=,;?n6o?4n37g><=<ah<1<7*=5d8a5>h5=m0j76gn5;29 73b2k;0b?;k:c98md5=83.99h4m1:l11a<d32cj>7>5$37f>g7<f;?o6i54i`394?"5=l0i=6`=5e8f?>of83:1(?;j:c38j73c2o10e4h50;&11`<e92d99i4>0:9j=`<72-8>i7l>;o06`?7632c2h7>5$37f>g7<f;?o6<<4;h;`>5<#:<o1n<5a24f956=<a0h1<7*=5d8a5>h5=m0:865f9`83>!42m3h:7c<:d;36?>oe13:1(?;j:c38j73c28<07dl7:18'60c=j81e>8j51698mg1=83.99h4m1:l11a<6021bn;4?:%06a?d63g8>h7?6;:ka1?6=,;?n6o?4n37g>4g<3`h?6=4+24g9f4=i:<n1=o54ic194?"5=l0i=6`=5e82g>=nio0;6)<:e;`2?k42l3;o76gn4;29 73b2k;0b?;k:0g8?l?>290/>8k5b09m60b=9o10ei=50;&11`<c:2d99i4?;:kg5?6=,;?n6i<4n37g>4=<ajl1<7*=5d8g6>h5=m0976gle;29 73b2m80b?;k:298mfb=83.99h4k2:l11a<332cho7>5$37f>a4<f;?o6854ib`94?"5=l0o>6`=5e85?>odi3:1(?;j:e08j73c2>10en750;&11`<c:2d99i47;:k`<?6=,;?n6i<4n37g><=<aj=1<7*=5d8g6>h5=m0j76gl6;29 73b2m80b?;k:c98mf2=83.99h4k2:l11a<d32ch?7>5$37f>a4<f;?o6i54ib094?"5=l0o>6`=5e8f?>od93:1(?;j:e08j73c2o10en>50;&11`<c:2d99i4>0:9jfc<72-8>i7j=;o06`?7632cii7>5$37f>a4<f;?o6<<4;h`g>5<#:<o1h?5a24f956=<aki1<7*=5d8g6>h5=m0:865fbc83>!42m3n97c<:d;36?>oci3:1(?;j:e08j73c28<07dj6:18'60c=l;1e>8j51698ma>=83.99h4k2:l11a<6021bh:4?:%06a?b53g8>h7?6;:kg2?6=,;?n6i<4n37g>4g<3`n>6=4+24g9`7=i:<n1=o54ie694?"5=l0o>6`=5e82g>=nl90;6)<:e;f1?k42l3;o76gl5;29 73b2m80b?;k:0g8?ldf290/>8k5d39m60b=9o10e<>?:18'60c=no1e>8j50:9jb`<72-8>i7hi;o06`?7<3`lo6=4+24g9bc=i:<n1>65ffb83>!42m3lm7c<:d;18?l77=3:1(?;j:027?k42l3:07d??3;29 73b28:?7c<:d;38?l77:3:1(?;j:027?k42l3807d??1;29 73b28:?7c<:d;18?l4bi3:1(?;j:3g:?k42l3:07d<j8;29 73b2;o27c<:d;38?l4b?3:1(?;j:3g:?k42l3807d<j6;29 73b2;o27c<:d;18?l4bn3:1(?;j:3gf?k42l3:07d<jd;29 73b2;on7c<:d;38?l4bk3:1(?;j:3gf?k42l3807d<jb;29 73b2;on7c<:d;18?j76n3:1(?;j:03f?k42l3:07b?>d;29 73b28;n7c<:d;38?j76j3:1(?;j:03f?k42l3807b?>a;29 73b28;n7c<:d;18?j7613:1(?;j:03f?k42l3>07b?>8;29 73b28;n7c<:d;78?j76?3:1(?;j:03f?k42l3<07b?>6;29 73b28;n7c<:d;58?j76=3:1(?;j:03f?k42l3207b?>4;29 73b28;n7c<:d;;8?j76;3:1(?;j:03f?k42l3k07b?>2;29 73b28;n7c<:d;`8?j7683:1(?;j:03f?k42l3i07b??f;29 73b28;n7c<:d;f8?j77m3:1(?;j:03f?k42l3o07b??d;29 73b28;n7c<:d;d8?j77k3:1(?;j:03f?k42l3;;76a>0c83>!42m3;:i6`=5e825>=h99k1<7*=5d825`=i:<n1=?54o02:>5<#:<o1=<k4n37g>45<3f;;47>5$37f>47b3g8>h7?;;:m242<72-8>i7?>e:l11a<6=21d=?850;&11`<69l1e>8j51798k442290/>8k510g8j73c28=07b?=4;29 73b28;n7c<:d;3;?>i6::0;6)<:e;32a>h5=m0:565`13094?"5=l0:=h5a24f95d=<g88:6=4+24g954c<f;?o6<l4;n314?6=,;?n6<?j;o06`?7d32e:=n4?:%06a?76m2d99i4>d:9l547=83.99h4>1d9m60b=9l10c<>9:18'60c=98o0b?;k:0d8?j7393:1(?;j:063?k42l3:07b?<f;29 73b28>;7c<:d;38?j74l3:1(?;j:063?k42l3807b?<c;29 73b28>;7c<:d;18?j74j3:1(?;j:063?k42l3>07b?<a;29 73b28>;7c<:d;78?j7413:1(?;j:063?k42l3<07b?<8;29 73b28>;7c<:d;58?j74?3:1(?;j:063?k42l3207b?<6;29 73b28>;7c<:d;;8?j74=3:1(?;j:063?k42l3k07b?<4;29 73b28>;7c<:d;`8?j74:3:1(?;j:063?k42l3i07b?<1;29 73b28>;7c<:d;f8?j7483:1(?;j:063?k42l3o07b?=f;29 73b28>;7c<:d;d8?j75m3:1(?;j:063?k42l3;;76a>2e83>!42m3;?<6`=5e825>=h9;i1<7*=5d8205=i:<n1=?54o00a>5<#:<o1=9>4n37g>45<3f;9m7>5$37f>4273g8>h7?;;:m26<<72-8>i7?;0:l11a<6=21d=9650;&11`<6<91e>8j51798k420290/>8k51528j73c28=07b?;6;29 73b28>;7c<:d;3;?>i6<<0;6)<:e;374>h5=m0:565`15694?"5=l0:8=5a24f95d=<g8>86=4+24g9516<f;?o6<l4;n376?6=,;?n6<:?;o06`?7d32e:?h4?:%06a?7382d99i4>d:9l565=83.99h4>419m60b=9l10c<<7:18'60c=9=:0b?;k:0d8?j73m3:1(?;j:06g?k42l3:07b?;c;29 73b28>o7c<:d;38?j73j3:1(?;j:06g?k42l3807b?;a;29 73b28>o7c<:d;18?j72;3:1(?;j:071?k42l3:07b?:1;29 73b28?97c<:d;38?j7283:1(?;j:071?k42l3807b?;f;29 73b28?97c<:d;18?xde?<0;6n<50;2x 7bb2;ho7E=?9:J1a7=]>10hw?852681=?4f2:81?>4<4;16>7b=:l08:7=8:|l262<73g;?57>4$3f4>41e3-8om7<4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n=6?;8;%3g0?4<,8n>6?5+19`97>"60j087)?7d;18 4>b2:1/=5h53:&2=5<43-;o47?8b:&2`<<6?k1/>ok52458 7da2;?<7c<k1;;8j7b5201/>i652458 4?62:1/=4<53:&1b4<5n91/>k<52g28j7`4201e>k:59:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7><390(<7::29'5<0=;2.:5:4<;%3:<?5<,8326>5+18c97>"61k087)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#9h81?6*>a280?!7f<390(<o::29'5d0=;2.9ho4=;h4b>5<<a?h1<75f7183>>o093:17d?87;29?l4c;3:17d<k4;29?l7003:17d<kc;29?l4cl3:17b8l:188k3b=831b8l4?:%06a?2>3g8>h7>4;h6;>5<#:<o1845a24f95>=n<>0;6)<:e;6:?k42l3807d:9:18'60c=<01e>8j53:9j00<72-8>i7:6;o06`?2<3`?86=4+24g90<=i:<n1965f5383>!42m3>27c<:d;48?l36290/>8k5489m60b=?21b9=4?:%06a?2>3g8>h764;h6e>5<#:<o1845a24f9=>=n<l0;6)<:e;6:?k42l3k07d:k:18'60c=<01e>8j5b:9j0f<72-8>i7:6;o06`?e<3`>i6=4+24g90<=i:<n1h65f4583>!42m3>27c<:d;g8?l3f290/>8k5589m60b=821b954?:%06a?3>3g8>h7?4;h74>5<#:<o1945a24f96>=n=?0;6)<:e;7:?k42l3907d;::18'60c==01e>8j54:9j26<72-8>i7;6;o06`?3<3`<96=4+24g91<=i:<n1:65f6083>!42m3?27c<:d;58?l07290/>8k5589m60b=021b9k4?:%06a?3>3g8>h774;h7f>5<#:<o1945a24f9e>=n=m0;6)<:e;7:?k42l3h07d;l:18'60c==01e>8j5c:9j1g<72-8>i7;6;o06`?b<3`??6=4+24g91<=i:<n1i65fb383>!42m3h:7c<:d;28?ld7290/>8k5b09m60b=921bmh4?:%06a?d63g8>h7<4;hcg>5<#:<o1n<5a24f97>=nij0;6)<:e;`2?k42l3>07dom:18'60c=j81e>8j55:9jed<72-8>i7l>;o06`?0<3`k26=4+24g9f4=i:<n1;65fa983>!42m3h:7c<:d;:8?lg0290/>8k5b09m60b=121bm;4?:%06a?d63g8>h7o4;hc6>5<#:<o1n<5a24f9f>=ni:0;6)<:e;`2?k42l3i07do=:18'60c=j81e>8j5d:9je4<72-8>i7l>;o06`?c<3`k;6=4+24g9f4=i:<n1j65f9g83>!42m3h:7c<:d;33?>o>m3:1(?;j:c38j73c28;07d7k:18'60c=j81e>8j51398m<e=83.99h4m1:l11a<6;21b5o4?:%06a?d63g8>h7?;;:k:e?6=,;?n6o?4n37g>43<3`h26=4+24g9f4=i:<n1=;54ic:94?"5=l0i=6`=5e823>=nj>0;6)<:e;`2?k42l3;376gm6;29 73b2k;0b?;k:0;8?ld2290/>8k5b09m60b=9h10eo:50;&11`<e92d99i4>b:9jf6<72-8>i7l>;o06`?7d32cjj7>5$37f>g7<f;?o6<j4;hc7>5<#:<o1n<5a24f95`=<a031<7*=5d8a5>h5=m0:j65fd283>!42m3n97c<:d;28?lb6290/>8k5d39m60b=921bok4?:%06a?b53g8>h7<4;haf>5<#:<o1h?5a24f97>=nkm0;6)<:e;f1?k42l3>07dml:18'60c=l;1e>8j55:9jgg<72-8>i7j=;o06`?0<3`ij6=4+24g9`7=i:<n1;65fc883>!42m3n97c<:d;:8?le?290/>8k5d39m60b=121bo:4?:%06a?b53g8>h7o4;ha5>5<#:<o1h?5a24f9f>=nk=0;6)<:e;f1?k42l3i07dm<:18'60c=l;1e>8j5d:9jg7<72-8>i7j=;o06`?c<3`i:6=4+24g9`7=i:<n1j65fc183>!42m3n97c<:d;33?>oen3:1(?;j:e08j73c28;07dlj:18'60c=l;1e>8j51398mgb=83.99h4k2:l11a<6;21bnn4?:%06a?b53g8>h7?;;:kaf?6=,;?n6i<4n37g>43<3`nj6=4+24g9`7=i:<n1=;54ie;94?"5=l0o>6`=5e823>=nl10;6)<:e;f1?k42l3;376gk7;29 73b2m80b?;k:0;8?lb1290/>8k5d39m60b=9h10ei;50;&11`<c:2d99i4>b:9j`1<72-8>i7j=;o06`?7d32co<7>5$37f>a4<f;?o6<j4;ha6>5<#:<o1h?5a24f95`=<akk1<7*=5d8g6>h5=m0:j65f11294?"5=l0mj6`=5e83?>oam3:1(?;j:gd8j73c2810ekj50;&11`<an2d99i4=;:keg?6=,;?n6kh4n37g>6=<a8:>6=4+24g9552<f;?o6=54i020>5<#:<o1==:4n37g>4=<a8:96=4+24g9552<f;?o6?54i022>5<#:<o1==:4n37g>6=<a;oj6=4+24g96`?<f;?o6=54i3g;>5<#:<o1>h74n37g>4=<a;o<6=4+24g96`?<f;?o6?54i3g5>5<#:<o1>h74n37g>6=<a;om6=4+24g96`c<f;?o6=54i3gg>5<#:<o1>hk4n37g>4=<a;oh6=4+24g96`c<f;?o6?54i3ga>5<#:<o1>hk4n37g>6=<g8;m6=4+24g954c<f;?o6=54o03g>5<#:<o1=<k4n37g>4=<g8;i6=4+24g954c<f;?o6?54o03b>5<#:<o1=<k4n37g>6=<g8;26=4+24g954c<f;?o6954o03;>5<#:<o1=<k4n37g>0=<g8;<6=4+24g954c<f;?o6;54o035>5<#:<o1=<k4n37g>2=<g8;>6=4+24g954c<f;?o6554o037>5<#:<o1=<k4n37g><=<g8;86=4+24g954c<f;?o6l54o031>5<#:<o1=<k4n37g>g=<g8;;6=4+24g954c<f;?o6n54o02e>5<#:<o1=<k4n37g>a=<g8:n6=4+24g954c<f;?o6h54o02g>5<#:<o1=<k4n37g>c=<g8:h6=4+24g954c<f;?o6<>4;n33f?6=,;?n6<?j;o06`?7632e:<l4?:%06a?76m2d99i4>2:9l55?=83.99h4>1d9m60b=9:10c<>7:18'60c=98o0b?;k:068?j77?3:1(?;j:03f?k42l3;>76a>2783>!42m3;:i6`=5e822>=h9;?1<7*=5d825`=i:<n1=:54o007>5<#:<o1=<k4n37g>4><3f;9?7>5$37f>47b3g8>h7?6;:m267<72-8>i7?>e:l11a<6i21d=??50;&11`<69l1e>8j51c98k447290/>8k510g8j73c28i07b?>c;29 73b28;n7c<:d;3g?>i6980;6)<:e;32a>h5=m0:i65`11494?"5=l0:=h5a24f95c=<g8>:6=4+24g9516<f;?o6=54o01e>5<#:<o1=9>4n37g>4=<g89o6=4+24g9516<f;?o6?54o01`>5<#:<o1=9>4n37g>6=<g89i6=4+24g9516<f;?o6954o01b>5<#:<o1=9>4n37g>0=<g8926=4+24g9516<f;?o6;54o01;>5<#:<o1=9>4n37g>2=<g89<6=4+24g9516<f;?o6554o015>5<#:<o1=9>4n37g><=<g89>6=4+24g9516<f;?o6l54o017>5<#:<o1=9>4n37g>g=<g8996=4+24g9516<f;?o6n54o012>5<#:<o1=9>4n37g>a=<g89;6=4+24g9516<f;?o6h54o00e>5<#:<o1=9>4n37g>c=<g88n6=4+24g9516<f;?o6<>4;n31`?6=,;?n6<:?;o06`?7632e:>n4?:%06a?7382d99i4>2:9l57d=83.99h4>419m60b=9:10c<<n:18'60c=9=:0b?;k:068?j7513:1(?;j:063?k42l3;>76a>4983>!42m3;?<6`=5e822>=h9==1<7*=5d8205=i:<n1=:54o065>5<#:<o1=9>4n37g>4><3f;?97>5$37f>4273g8>h7?6;:m201<72-8>i7?;0:l11a<6i21d=9=50;&11`<6<91e>8j51c98k425290/>8k51528j73c28i07b?<e;29 73b28>;7c<:d;3g?>i6;:0;6)<:e;374>h5=m0:i65`13:94?"5=l0:8=5a24f95c=<g8>n6=4+24g951b<f;?o6=54o06`>5<#:<o1=9j4n37g>4=<g8>i6=4+24g951b<f;?o6?54o06b>5<#:<o1=9j4n37g>6=<g8?86=4+24g9504<f;?o6=54o072>5<#:<o1=8<4n37g>4=<g8?;6=4+24g9504<f;?o6?54o06e>5<#:<o1=8<4n37g>6=<ukh<:7>5c383>5}#:mo1>oj4H22:?M4b:2P=47mt27813?4>2;k1??4<3;17>63=:m09i7=9:259yk75?3:0b<:6:19'6a1=9>h0(?jn:39'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i852458 4b32;1/=i;52:&2<g<43-;3o7=4$0:g>6=#91o1?6*>8g80?!7>8390(<j7:05a?!7c13;<n6*=bd8112=#:kl1>894n3f2><=i:m8156*=d98112=#90;1?6*>9380?!4a938m<6*=f381b5=i:o9156`=f58:?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,83?6>5+18797>"61?087)?67;18 4??2:1/=4753:&2=d<43-;2n7=4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.:m?4<;%3b7?5<,8k?6>5+1`797>"6i?087)<kb;08m3g=831b:o4?::k44?6=3`=:6=44i054>5<<a;n86=44i3f7>5<<a8=36=44i3f`>5<<a;no6=44o7a94?=h>m0;66g;a;29 73b2=30b?;k:198m1>=83.99h4;9:l11a<632c?;7>5$37f>1?<f;?o6?54i5494?"5=l0?56`=5e80?>o3=3:1(?;j:5;8j73c2=10e8=50;&11`<312d99i4:;:k66?6=,;?n6974n37g>3=<a<;1<7*=5d87=>h5=m0<76g:0;29 73b2=30b?;k:998m1`=83.99h4;9:l11a<>32c?i7>5$37f>1?<f;?o6l54i5f94?"5=l0?56`=5e8a?>o3k3:1(?;j:5;8j73c2j10e9l50;&11`<312d99i4k;:k70?6=,;?n6974n37g>`=<a<k1<7*=5d86=>h5=m0;76g:8;29 73b2<30b?;k:098m01=83.99h4:9:l11a<532c>:7>5$37f>0?<f;?o6>54i4794?"5=l0>56`=5e87?>o1;3:1(?;j:4;8j73c2<10e;<50;&11`<212d99i49;:k55?6=,;?n6874n37g>2=<a?:1<7*=5d86=>h5=m0376g:f;29 73b2<30b?;k:898m0c=83.99h4:9:l11a<f32c>h7>5$37f>0?<f;?o6o54i4a94?"5=l0>56`=5e8`?>o2j3:1(?;j:4;8j73c2m10e8:50;&11`<212d99i4j;:ka6?6=,;?n6o?4n37g>5=<ak:1<7*=5d8a5>h5=m0:76gne;29 73b2k;0b?;k:398mdb=83.99h4m1:l11a<432cjo7>5$37f>g7<f;?o6954i``94?"5=l0i=6`=5e86?>ofi3:1(?;j:c38j73c2?10el750;&11`<e92d99i48;:kb<?6=,;?n6o?4n37g>==<ah=1<7*=5d8a5>h5=m0276gn6;29 73b2k;0b?;k:`98md3=83.99h4m1:l11a<e32cj?7>5$37f>g7<f;?o6n54i`094?"5=l0i=6`=5e8g?>of93:1(?;j:c38j73c2l10el>50;&11`<e92d99i4i;:k:b?6=,;?n6o?4n37g>46<3`3n6=4+24g9f4=i:<n1=<54i8f94?"5=l0i=6`=5e826>=n1j0;6)<:e;`2?k42l3;876g6b;29 73b2k;0b?;k:068?l?f290/>8k5b09m60b=9<10eo750;&11`<e92d99i4>6:9jf=<72-8>i7l>;o06`?7032ci;7>5$37f>g7<f;?o6<64;h`5>5<#:<o1n<5a24f95<=<ak?1<7*=5d8a5>h5=m0:m65fb583>!42m3h:7c<:d;3a?>oe;3:1(?;j:c38j73c28i07doi:18'60c=j81e>8j51e98md2=83.99h4m1:l11a<6m21b544?:%06a?d63g8>h7?i;:kg7?6=,;?n6i<4n37g>5=<am;1<7*=5d8g6>h5=m0:76glf;29 73b2m80b?;k:398mfc=83.99h4k2:l11a<432chh7>5$37f>a4<f;?o6954iba94?"5=l0o>6`=5e86?>odj3:1(?;j:e08j73c2?10eno50;&11`<c:2d99i48;:k`=?6=,;?n6i<4n37g>==<aj21<7*=5d8g6>h5=m0276gl7;29 73b2m80b?;k:`98mf0=83.99h4k2:l11a<e32ch87>5$37f>a4<f;?o6n54ib194?"5=l0o>6`=5e8g?>od:3:1(?;j:e08j73c2l10en?50;&11`<c:2d99i4i;:k`4?6=,;?n6i<4n37g>46<3`hm6=4+24g9`7=i:<n1=<54icg94?"5=l0o>6`=5e826>=njm0;6)<:e;f1?k42l3;876gmc;29 73b2m80b?;k:068?lde290/>8k5d39m60b=9<10eio50;&11`<c:2d99i4>6:9j`<<72-8>i7j=;o06`?7032co47>5$37f>a4<f;?o6<64;hf4>5<#:<o1h?5a24f95<=<am<1<7*=5d8g6>h5=m0:m65fd483>!42m3n97c<:d;3a?>oc<3:1(?;j:e08j73c28i07dj?:18'60c=l;1e>8j51e98mf3=83.99h4k2:l11a<6m21bnl4?:%06a?b53g8>h7?i;:k245<72-8>i7hi;o06`?6<3`ln6=4+24g9bc=i:<n1=65ffe83>!42m3lm7c<:d;08?l`d290/>8k5fg9m60b=;21b==;50;&11`<68=1e>8j50:9j555=83.99h4>059m60b=921b==<50;&11`<68=1e>8j52:9j557=83.99h4>059m60b=;21b>ho50;&11`<5m01e>8j50:9j6`>=83.99h4=e89m60b=921b>h950;&11`<5m01e>8j52:9j6`0=83.99h4=e89m60b=;21b>hh50;&11`<5ml1e>8j50:9j6`b=83.99h4=ed9m60b=921b>hm50;&11`<5ml1e>8j52:9j6`d=83.99h4=ed9m60b=;21d=<h50;&11`<69l1e>8j50:9l54b=83.99h4>1d9m60b=921d=<l50;&11`<69l1e>8j52:9l54g=83.99h4>1d9m60b=;21d=<750;&11`<69l1e>8j54:9l54>=83.99h4>1d9m60b==21d=<950;&11`<69l1e>8j56:9l540=83.99h4>1d9m60b=?21d=<;50;&11`<69l1e>8j58:9l542=83.99h4>1d9m60b=121d=<=50;&11`<69l1e>8j5a:9l544=83.99h4>1d9m60b=j21d=<>50;&11`<69l1e>8j5c:9l55`=83.99h4>1d9m60b=l21d==k50;&11`<69l1e>8j5e:9l55b=83.99h4>1d9m60b=n21d==m50;&11`<69l1e>8j51198k46e290/>8k510g8j73c28;07b??a;29 73b28;n7c<:d;31?>i6800;6)<:e;32a>h5=m0:?65`11:94?"5=l0:=h5a24f951=<g8:<6=4+24g954c<f;?o6<;4;n312?6=,;?n6<?j;o06`?7132e:>84?:%06a?76m2d99i4>7:9l572=83.99h4>1d9m60b=9110c<<<:18'60c=98o0b?;k:0;8?j75:3:1(?;j:03f?k42l3;j76a>2083>!42m3;:i6`=5e82f>=h9;:1<7*=5d825`=i:<n1=n54o03`>5<#:<o1=<k4n37g>4b<3f;:=7>5$37f>47b3g8>h7?j;:m243<72-8>i7?>e:l11a<6n21d=9?50;&11`<6<91e>8j50:9l56`=83.99h4>419m60b=921d=>j50;&11`<6<91e>8j52:9l56e=83.99h4>419m60b=;21d=>l50;&11`<6<91e>8j54:9l56g=83.99h4>419m60b==21d=>750;&11`<6<91e>8j56:9l56>=83.99h4>419m60b=?21d=>950;&11`<6<91e>8j58:9l560=83.99h4>419m60b=121d=>;50;&11`<6<91e>8j5a:9l562=83.99h4>419m60b=j21d=><50;&11`<6<91e>8j5c:9l567=83.99h4>419m60b=l21d=>>50;&11`<6<91e>8j5e:9l57`=83.99h4>419m60b=n21d=?k50;&11`<6<91e>8j51198k44c290/>8k51528j73c28;07b?=c;29 73b28>;7c<:d;31?>i6:k0;6)<:e;374>h5=m0:?65`13c94?"5=l0:8=5a24f951=<g8826=4+24g9516<f;?o6<;4;n37<?6=,;?n6<:?;o06`?7132e:8:4?:%06a?7382d99i4>7:9l510=83.99h4>419m60b=9110c<:::18'60c=9=:0b?;k:0;8?j73<3:1(?;j:063?k42l3;j76a>4283>!42m3;?<6`=5e82f>=h9=81<7*=5d8205=i:<n1=n54o01f>5<#:<o1=9>4n37g>4b<3f;8?7>5$37f>4273g8>h7?j;:m26=<72-8>i7?;0:l11a<6n21d=9k50;&11`<6<m1e>8j50:9l51e=83.99h4>4e9m60b=921d=9l50;&11`<6<m1e>8j52:9l51g=83.99h4>4e9m60b=;21d=8=50;&11`<6=;1e>8j50:9l507=83.99h4>539m60b=921d=8>50;&11`<6=;1e>8j52:9l51`=83.99h4>539m60b=;21vn::9:186>5<7s-8oi7?j3:J04<=O:l80(<7<:2`8m4>02900e<67:188m4>>2900e<6n:188k4bd2900qo8nb;291?6=8r.9hh4>e29K75?<@;o97)?63;1a?l7??3:17d?78;29?l7?13:17d?7a;29?j7ck3:17p}88;296f}Y?1168h656d9>0`?=>l168hk56d9>0``=>l168k>56d9>0c7=>l168k<56d9>0c5=>l168k:56d9>0c3=>l168ho56d9>0`b=>l168hl56d9>0`e=>l1684856d9>0<1=>l1684m56d9>0<b=>l1684k56d9>0<`=>l168l>56d9>0d7=>l168l<56d9>0d5=>l1684656d9>0<d=>l1684756d9>0<g=>l1688<56d9>005=>l1688656d9>00?=>l1688o56d9>00d=>l1688m56d9>00b=>l1688k56d9>00`=>l1688:56d9>003=>l1688856d9>001=>l16;n8524:8yv7?:3:1;vP87:\2<7=:0>o1>om4=9f0>4>?342<h7?78:?;4=<601164=;51948yv4c83:19vP=d19>3f0=91=01o6?:0:4?8>3:3;3;638cc82<2=z{??1<7<t^7789=612;hh7p}=d483>3}Y:m?015k;:37e?8>b038>j637e6811c=:0l<1>8h4=9g6>73a3ty:;;4?:9y]33=Y9><015:<:3``?81d>3;3463m8182<==:0=81=564=6aa>4>?34=h47?76:\7fp3<<72hopR:74=725>3c<5?:<6;k4=72`>3c<5?:o6;k4=72f>3c<5?:m6;k4=733>3c<5?;:6;k4=731>3c<5?;86;k4=72;>3c<5?:i6;k4=72:>3c<5?:j6;k4=4`7>3c<5<h>6;k4=4`b>3c<5<hi6;k4=4``>3c<5<ho6;k4=4`f>3c<5<hm6;k4=4a3>3c<5<i:6;k4=4`5>3c<5<h26;k4=4`4>3c<5<h36;k4=453>3c<5<=:6;k4=455>3c<5<=<6;k4=45;>3c<5<=26;k4=45b>3c<5<=i6;k4=45`>3c<5<=o6;k4=451>3c<5<=>6;k4=450>3c<5<=?6;k4=40`>3c<5<8o6;k4=411>3c<5<986;k4=417>3c<5<9>6;k4=415>3c<5<9<6;k4=41;>3c<5<926;k4=40f>3c<5<8m6;k4=413>3c<5<9:6;k4=9f0>73?34h=>7<ja:?a27<5m116n;<52d589g052;o=70l9d;0fe>;e>m09i552b7f96`1<5k<o6?k9;<`43?4bi27i;:4=e99>f21=:l=01o98:3g5?8d0038nm63m7981a==:j>21>h94=c5;>7c134h<57<ja:?a3<<5m116n:752d589g1>2;o=70l8a;0fe>;e?h09i552b6c96`1<5k=j6?k9;<`4f?4bi27i;o4=e99>f2d=:l=01o9m:3g5?8d0k38nm63m7b81a==:j>i1>h94=c5`>7c134h<h7<ja:?a3a<5m116n:j52d589g1c2;o=70l8e;0fe>;e?l09i552b6g96`1<5k=n6?k9;<`57?4bi27i:>4=e99>f35=:l=01o8<:3g5?8d1<38nm63m6581a==:j?>1>h94=c47>7c134h=97<ja:?a20<5m116n;;52d589g022;o=70l96;0fe>;e>?09i552b7496`1<5k<=6?k9;<`53?4bi27i::4=e99>f31=:l=01o88:3g5?8d1038nm63m6981a==:j?21>h94=c4;>7c134h=57<ja:?a2<<5m116n;752d589g0>2;o=70l9a;0fe>;e>h09i552b7c96`1<5k<j6?k9;<`5f?4bi27i:o4=e99>f3d=:l=01o8m:3g5?8d1k38nm63m6b81a==:j?i1>h94=c4`>7c134h=i7<ja:?a2`<5m116n;k52d589g0b2;o=70l9f;0fe>;e>o09i552b7d96`1<5k<m6?k9;<`44?4bi27i;=4=e99>f26=:l=01o9?:3g5?8d0938nm63m7081a==:j>;1>h94=c52>7c134h<>7<ja:?a37<5m116n:<52d589g152;o=70l83;0fe>;e?:09i552b6196`1<5k=86?k9;<`40?4bi27i;94=e99>f22=:l=01o9;:3g5?8d0=38nm63m7481a==:j>?1>h94=c56>7c134h<:7<ja:?a33<5m116n:852d589g112;o=7p}=8d83><?>sW8m96P=e19]60g<V;o87S<90:\1<`=:;9k1=5:4=9g;>23<51o=6:;4=4a1>3`<5<i26464=4aa><><5<io6464=4ae><><5<n:6464=4f0><><5<n>6464=4f4><><5<n26464=4a0><><5<i>6464=4a4><><5<=n6;h4=4:6><><5<2<6464=4::><><5<2i6464=4:g><><5<2m6464=4;2><><5<386464=4;6><><5<=m6464=4:2><><5<286464=5c7>3`<5=ki6464=5cg><><5=km6464=5`2><><5=h86464=5`6><><5=h<6464=5`:><><5=hi6464=5c6><><5=k<6464=5c:><><5<9j6;h4=462><><5<>86464=466><><5<><6464=46:><><5<>i6464=46g><><5<>m6464=472><><5<9i6464=41g><><5<9m6464=543>3`<5=<<6464=54:><><5=<i6464=54g><><5=<m6464=552><><5==86464=556><><5==<6464=542><><5=<86464=546><><5=l=6;h4=5dg><><5=lm6464=422><><5<:86464=426><><5<:<6464=42:><><5<:i6464=42g><><5=l<6464=5d:><><5=li6464=53`>3`<5=886464=506><><5=8<6464=50:><><5=8i6464=50g><><5=8m6464=512><><5=986464=53g><><5=;m6464=502><><51>j6464=96a><><51>h6464=96g><><51>n6464=96e><><51?;6464=946><><51<=6464=944><><51<36464=94:><><51<j6464=94a><><512>6464=9:5><><512<6464=9:;><><51226464=9:b><><512i6464=9c3><><51k:6464=9c1><><51k86464=9c7><><51k>6464=9c5><><5>n96464=6f0><><5>n?6464=6f6><><5>n=6464=6f4><><5>n36464=6gg><><5>on6464=6ge><><5>l;6464=6d2><><5>l96464=6d0><><51:m6464=933><><51;:6464=931><><51;86464=937><><51;>6464=90b><><518i6464=90`><><518o6464=90f><><518m6464=913><><5k<96;o4=c41>3d<5k<96?j<;<`56?4c<27i:?4;a:?a27<2i27i:?4m2:?a27<e827i:?4ne:?a27<fl27i:?4nc:?a27<fj27i:?4na:?a27<f127i:?4n8:?a27<f?27i:?4n6:?a27<f=27i:?4n3:?a27<f:27i:?4n1:?a27<f827i:?46f:?a27<>m27i:?46d:?a27<>k27i:?46b:?a27<>i27i:?4m9:?a27<e027i:?4m7:?a27<e>27i:?4m5:?a27<e<27i:?4m3:?a27<fn27i:?4n4:?a27<c;27i:?4k1:?a27<dn27i:?4le:?a27<dl27i:?4lc:?a27<dj27i:?4la:?a27<d127i:?4l8:?a27<d?27i:?4l6:?a27<d<27i:?4l3:?a27<d:27i:?4l1:?a27<d827i:?4mf:?a27<em27i:?4md:?a27<ek27i:?4mb:?a27<ci27i:?4k9:?a27<c027i:?4k7:?a27<c>27i:?4k5:?a27<c<27i:?4k0:?a27<d=27i:?4ma:?a27<68916n;<5fd9>f34=nm16n;<5fb9>f34=99?01o8=:020?8d1:3;;>63m638244=:j?81>hh4=c41>7cc34h=>7<jc:?a27<5mk16n;j56`9>f3b=>k16n;j52e189g0c2;n?70l9d;6b?8d1l3?j70l9d;`1?8d1l3h;70l9d;cf?8d1l3ko70l9d;c`?8d1l3ki70l9d;cb?8d1l3k270l9d;c;?8d1l3k<70l9d;c5?8d1l3k>70l9d;c0?8d1l3k970l9d;c2?8d1l3k;70l9d;;e?8d1l33n70l9d;;g?8d1l33h70l9d;;a?8d1l33j70l9d;`:?8d1l3h370l9d;`4?8d1l3h=70l9d;`6?8d1l3h?70l9d;`0?8d1l3km70l9d;c7?8d1l3n870l9d;f2?8d1l3im70l9d;af?8d1l3io70l9d;a`?8d1l3ii70l9d;ab?8d1l3i270l9d;a;?8d1l3i<70l9d;a5?8d1l3i?70l9d;a0?8d1l3i970l9d;a2?8d1l3i;70l9d;`e?8d1l3hn70l9d;`g?8d1l3hh70l9d;`a?8d1l3nj70l9d;f:?8d1l3n370l9d;f4?8d1l3n=70l9d;f6?8d1l3n?70l9d;f3?8d1l3i>70l9d;`b?8d1l3;;<63m6e8ea>;e>m0mh63m6e8eg>;e>m0:<852b7f9555<5k<o6<>=;<`5`?77927i:i4=eg9>f3b=:ln01o8k:3g`?8d1l38nn63m7685e>;e?>0=n63m7681`6=:j>=1>i:4=c54>1g<5k=<68o4=c54>g4<5k=<6o>4=c54>dc<5k=<6lj4=c54>de<5k=<6ll4=c54>dg<5k=<6l74=c54>d><5k=<6l94=c54>d0<5k=<6l;4=c54>d5<5k=<6l<4=c54>d7<5k=<6l>4=c54><`<5k=<64k4=c54><b<5k=<64m4=c54><d<5k=<64o4=c54>g?<5k=<6o64=c54>g1<5k=<6o84=c54>g3<5k=<6o:4=c54>g5<5k=<6lh4=c54>d2<5k=<6i=4=c54>a7<5k=<6nh4=c54>fc<5k=<6nj4=c54>fe<5k=<6nl4=c54>fg<5k=<6n74=c54>f><5k=<6n94=c54>f0<5k=<6n:4=c54>f5<5k=<6n<4=c54>f7<5k=<6n>4=c54>g`<5k=<6ok4=c54>gb<5k=<6om4=c54>gd<5k=<6io4=c54>a?<5k=<6i64=c54>a1<5k=<6i84=c54>a3<5k=<6i:4=c54>a6<5k=<6n;4=c54>gg<5k=<6<>?;<`43?`b34h<;7hk;<`43?`d34h<;7??5:?a32<68:16n:9511089g1028::70l87;0fb>;e?>09ii52b6596`e<5k=<6?km;<`4<?0f34h<478m;<`4<?4c;27i;54=d59>f2>=<h16n:655`9>f2>=j;16n:65b19>f2>=il16n:65ae9>f2>=ij16n:65ac9>f2>=ih16n:65a89>f2>=i116n:65a69>f2>=i?16n:65a49>f2>=i:16n:65a39>f2>=i816n:65a19>f2>=1o16n:659d9>f2>=1m16n:659b9>f2>=1k16n:659`9>f2>=j016n:65b99>f2>=j>16n:65b79>f2>=j<16n:65b59>f2>=j:16n:65ag9>f2>=i=16n:65d29>f2>=l816n:65cg9>f2>=kl16n:65ce9>f2>=kj16n:65cc9>f2>=kh16n:65c89>f2>=k116n:65c69>f2>=k?16n:65c59>f2>=k:16n:65c39>f2>=k816n:65c19>f2>=jo16n:65bd9>f2>=jm16n:65bb9>f2>=jk16n:65d`9>f2>=l016n:65d99>f2>=l>16n:65d79>f2>=l<16n:65d59>f2>=l916n:65c49>f2>=jh16n:6511289g1?2oo01o97:gf89g1?2oi01o97:026?8d003;;?63m798247=:j>21==?4=c5;>7ca34h<47<jd:?a3=<5mj16n:652d`89g1>2?k01o96:7`89g1>2;n870l89;0g0>;e?00?m63m7886e>;e?00i>63m788a4>;e?00ji63m788b`>;e?00jo63m788bf>;e?00jm63m788b=>;e?00j463m788b3>;e?00j:63m788b1>;e?00j?63m788b6>;e?00j=63m788b4>;e?002j63m788:a>;e?002h63m788:g>;e?002n63m788:e>;e?00i563m788a<>;e?00i;63m788a2>;e?00i963m788a0>;e?00i?63m788bb>;e?00j863m788g7>;e?00o=63m788`b>;e?00hi63m788``>;e?00ho63m788`f>;e?00hm63m788`=>;e?00h463m788`3>;e?00h:63m788`0>;e?00h?63m788`6>;e?00h=63m788`4>;e?00ij63m788aa>;e?00ih63m788ag>;e?00in63m788ge>;e?00o563m788g<>;e?00o;63m788g2>;e?00o963m788g0>;e?00o<63m788`1>;e?00im63m788245=:j>31jh52b6;9ba=:j>31jn52b6;9553<5k=26<><;<`4=?77:27i;44>009>f2?=:ll01o96:3gg?8d0138no63m7881ag=:j>k1:l52b6c92g=:j>k1>i=4=c5b>7b334h<m7:n;<`4e?2d34h<m7:m;<`4e?2334h<m7;n;<`4e?3d34h<m7;m;<`4e?3334h<m7l=;<`4e?d734h<m7oj;<`4e?gc34h<m7ol;<`4e?ge34h<m7on;<`4e?g>34h<m7o7;<`4e?g034h<m7o9;<`4e?g234h<m7o<;<`4e?g534h<m7o>;<`4e?g734h<m77i;<`4e??b34h<m77k;<`4e??d34h<m77m;<`4e??f34h<m7l6;<`4e?d?34h<m7j<;<`4e?b634h<m7mi;<`4e?eb34h<m7mk;<`4e?ed34h<m7mm;<`4e?ef34h<m7m6;<`4e?e?34h<m7m8;<`4e?e134h<m7m;;<`4e?e434h<m7m=;<`4e?e634h<m7m?;<`4e?da34h<m7lj;<`4e?dc34h<m7ll;<`4e?de34h<m7jn;<`4e?b>34h<m7j7;<`4e?b034h<m7j9;<`4e?b234h<m7j;;<`4e?b734h<m7m:;<`4e?df34h<m7??0:?a3d<am27i;l4id:?a3d<68<16n:o511189g1f28:970l8a;335>;e?h09ik52b6c96`b<5k=j6?kl;<`4e?4bj27i;o49a:?a3g<1j27i;o4=d29>f2d=:m>01o9m:5c89g1e2=i01o9m:5`89g1e2=>01o9m:4c89g1e2<i01o9m:4`89g1e2<>01o9m:c089g1e2k:01o9m:`g89g1e2hn01o9m:`a89g1e2hh01o9m:`c89g1e2h301o9m:`:89g1e2h=01o9m:`489g1e2h?01o9m:`189g1e2h801o9m:`389g1e2h:01o9m:8d89g1e20o01o9m:8f89g1e20i01o9m:8`89g1e20k01o9m:c;89g1e2k201o9m:e189g1e2m;01o9m:bd89g1e2jo01o9m:bf89g1e2ji01o9m:b`89g1e2jk01o9m:b;89g1e2j201o9m:b589g1e2j<01o9m:b689g1e2j901o9m:b089g1e2j;01o9m:b289g1e2kl01o9m:cg89g1e2kn01o9m:ca89g1e2kh01o9m:ec89g1e2m301o9m:e:89g1e2m=01o9m:e489g1e2m?01o9m:e689g1e2m:01o9m:b789g1e2kk01o9m:023?8d0j3ln70l8b;dg?8d0j3;;963m7c8246=:j>h1==<4=c5a>46634h<n7<jf:?a3g<5mm16n:l52da89g1e2;oi70l8c;4b?8d0k3<i70l8c;0g7>;e?j09h952b6a90d=:j>i18n52b6a90g=:j>i18952b6a91d=:j>i19n52b6a91g=:j>i19952b6a9f7=:j>i1n=52b6a9e`=:j>i1mi52b6a9ef=:j>i1mo52b6a9ed=:j>i1m452b6a9e==:j>i1m:52b6a9e3=:j>i1m852b6a9e6=:j>i1m?52b6a9e4=:j>i1m=52b6a9=c=:j>i15h52b6a9=a=:j>i15n52b6a9=g=:j>i15l52b6a9f<=:j>i1n552b6a9`6=:j>i1h<52b6a9gc=:j>i1oh52b6a9ga=:j>i1on52b6a9gg=:j>i1ol52b6a9g<=:j>i1o552b6a9g2=:j>i1o;52b6a9g1=:j>i1o>52b6a9g7=:j>i1o<52b6a9g5=:j>i1nk52b6a9f`=:j>i1ni52b6a9ff=:j>i1no52b6a9`d=:j>i1h452b6a9`==:j>i1h:52b6a9`3=:j>i1h852b6a9`1=:j>i1h=52b6a9g0=:j>i1nl52b6a9556<5k=h6kk4=c5`>cb<5k=h6<>:;<`4g?77;27i;n4>039>f2e=99;01o9l:3ge?8d0k38nh63m7b81af=:j>i1>hl4=c5g>3g<5k=o6;l4=c5g>7b434h<h7<k4:?a3a<3i27i;i4;c:?a3a<3j27i;i4;4:?a3a<2i27i;i4:c:?a3a<2j27i;i4:4:?a3a<e:27i;i4m0:?a3a<fm27i;i4nd:?a3a<fk27i;i4nb:?a3a<fi27i;i4n9:?a3a<f027i;i4n7:?a3a<f>27i;i4n5:?a3a<f;27i;i4n2:?a3a<f927i;i4n0:?a3a<>n27i;i46e:?a3a<>l27i;i46c:?a3a<>j27i;i46a:?a3a<e127i;i4m8:?a3a<c;27i;i4k1:?a3a<dn27i;i4le:?a3a<dl27i;i4lc:?a3a<dj27i;i4la:?a3a<d127i;i4l8:?a3a<d?27i;i4l6:?a3a<d<27i;i4l3:?a3a<d:27i;i4l1:?a3a<d827i;i4mf:?a3a<em27i;i4md:?a3a<ek27i;i4mb:?a3a<ci27i;i4k9:?a3a<c027i;i4k7:?a3a<c>27i;i4k5:?a3a<c<27i;i4k0:?a3a<d=27i;i4ma:?a3a<68916n:j5fd9>f2b=nm16n:j511789g1c28:870l8d;336>;e?m0:<<52b6f96``<5k=o6?kk;<`4`?4bk27i;i4=ec9>f2c=>h16n:k56c9>f2c=:m901o9j:3f7?8d0m3>j70l8e;6`?8d0m3>i70l8e;67?8d0m3?j70l8e;7`?8d0m3?i70l8e;77?8d0m3h970l8e;`3?8d0m3kn70l8e;cg?8d0m3kh70l8e;ca?8d0m3kj70l8e;c:?8d0m3k370l8e;c4?8d0m3k=70l8e;c6?8d0m3k870l8e;c1?8d0m3k:70l8e;c3?8d0m33m70l8e;;f?8d0m33o70l8e;;`?8d0m33i70l8e;;b?8d0m3h270l8e;`;?8d0m3n870l8e;f2?8d0m3im70l8e;af?8d0m3io70l8e;a`?8d0m3ii70l8e;ab?8d0m3i270l8e;a;?8d0m3i<70l8e;a5?8d0m3i?70l8e;a0?8d0m3i970l8e;a2?8d0m3i;70l8e;`e?8d0m3hn70l8e;`g?8d0m3hh70l8e;`a?8d0m3nj70l8e;f:?8d0m3n370l8e;f4?8d0m3n=70l8e;f6?8d0m3n?70l8e;f3?8d0m3i>70l8e;`b?8d0m3;;<63m7d8ea>;e?l0mh63m7d8240=:j>o1===4=c5f>46534h<i7??1:?a3`<5mo16n:k52df89g1b2;oh70l8e;0ff>;e>:0=m63m6285f>;e>:09h>52b7196a2<5k<869o4=c40>1e<5k<869l4=c40>12<5k<868o4=c40>0e<5k<868l4=c40>02<5k<86o<4=c40>g6<5k<86lk4=c40>db<5k<86lm4=c40>dd<5k<86lo4=c40>d?<5k<86l64=c40>d1<5k<86l84=c40>d3<5k<86l=4=c40>d4<5k<86l?4=c40>d6<5k<864h4=c40><c<5k<864j4=c40><e<5k<864l4=c40><g<5k<86o74=c40>g><5k<86i=4=c40>a7<5k<86nh4=c40>fc<5k<86nj4=c40>fe<5k<86nl4=c40>fg<5k<86n74=c40>f><5k<86n94=c40>f0<5k<86n:4=c40>f5<5k<86n<4=c40>f7<5k<86n>4=c40>g`<5k<86ok4=c40>gb<5k<86om4=c40>gd<5k<86io4=c40>a?<5k<86i64=c40>a1<5k<86i84=c40>a3<5k<86i:4=c40>a6<5k<86n;4=c40>gg<5k<86<>?;<`57?`b34h=?7hk;<`57?77=27i:>4>029>f35=99801o8<:022?8d1;38nj63m6281aa=:j?91>hm4=c40>7ce34h=878n;<`50?0e34h=87<k3:?a21<5l=16n;:54`9>f32=<j16n;:54c9>f32=<=16n;:55`9>f32==j16n;:55c9>f32===16n;:5b39>f32=j916n;:5ad9>f32=im16n;:5ab9>f32=ik16n;:5a`9>f32=i016n;:5a99>f32=i>16n;:5a79>f32=i<16n;:5a29>f32=i;16n;:5a09>f32=i916n;:59g9>f32=1l16n;:59e9>f32=1j16n;:59c9>f32=1h16n;:5b89>f32=j116n;:5d29>f32=l816n;:5cg9>f32=kl16n;:5ce9>f32=kj16n;:5cc9>f32=kh16n;:5c89>f32=k116n;:5c69>f32=k?16n;:5c59>f32=k:16n;:5c39>f32=k816n;:5c19>f32=jo16n;:5bd9>f32=jm16n;:5bb9>f32=jk16n;:5d`9>f32=l016n;:5d99>f32=l>16n;:5d79>f32=l<16n;:5d59>f32=l916n;:5c49>f32=jh16n;:511289g032oo01o8;:gf89g0328:>70l94;337>;e>=0:<?52b769557<5k<?6?ki;<`50?4bl27i:94=eb9>f32=:lh01o8::7c89g022?h01o8::3f0?8d1=38o863m6487e>;e><0?o63m6487f>;e><0?863m6486e>;e><0>o63m6486f>;e><0>863m648a6>;e><0i<63m648ba>;e><0jh63m648bg>;e><0jn63m648be>;e><0j563m648b<>;e><0j;63m648b2>;e><0j963m648b7>;e><0j>63m648b5>;e><0j<63m648:b>;e><02i63m648:`>;e><02o63m648:f>;e><02m63m648a=>;e><0i463m648g7>;e><0o=63m648`b>;e><0hi63m648``>;e><0ho63m648`f>;e><0hm63m648`=>;e><0h463m648`3>;e><0h:63m648`0>;e><0h?63m648`6>;e><0h=63m648`4>;e><0ij63m648aa>;e><0ih63m648ag>;e><0in63m648ge>;e><0o563m648g<>;e><0o;63m648g2>;e><0o963m648g0>;e><0o<63m648`1>;e><0im63m648245=:j??1jh52b779ba=:j??1==;4=c46>46434h=97??2:?a20<68816n;;52dd89g022;oo70l95;0fg>;e><09io52b7492d=:j?<1:o52b7496a5<5k<=6?j;;<`52?2f34h=:7:l;<`52?2e34h=:7:;;<`52?3f34h=:7;l;<`52?3e34h=:7;;;<`52?d534h=:7l?;<`52?gb34h=:7ok;<`52?gd34h=:7om;<`52?gf34h=:7o6;<`52?g?34h=:7o8;<`52?g134h=:7o:;<`52?g434h=:7o=;<`52?g634h=:7o?;<`52??a34h=:77j;<`52??c34h=:77l;<`52??e34h=:77n;<`52?d>34h=:7l7;<`52?b434h=:7j>;<`52?ea34h=:7mj;<`52?ec34h=:7ml;<`52?ee34h=:7mn;<`52?e>34h=:7m7;<`52?e034h=:7m9;<`52?e334h=:7m<;<`52?e534h=:7m>;<`52?e734h=:7li;<`52?db34h=:7lk;<`52?dd34h=:7lm;<`52?bf34h=:7j6;<`52?b?34h=:7j8;<`52?b134h=:7j:;<`52?b334h=:7j?;<`52?e234h=:7ln;<`52?77827i:;4ie:?a23<al27i:;4>049>f30=99901o89:021?8d1>3;;=63m6781ac=:j?<1>hj4=c45>7cd34h=:7<jb:?a22<1i27i::49b:?a22<5l:16n;952e689g002=k01o88:5a89g002=h01o88:5689g002<k01o88:4a89g002<h01o88:4689g002k801o88:c289g002ho01o88:`f89g002hi01o88:``89g002hk01o88:`;89g002h201o88:`589g002h<01o88:`789g002h901o88:`089g002h;01o88:`289g0020l01o88:8g89g0020n01o88:8a89g0020h01o88:8c89g002k301o88:c:89g002m901o88:e389g002jl01o88:bg89g002jn01o88:ba89g002jh01o88:bc89g002j301o88:b:89g002j=01o88:b489g002j>01o88:b189g002j801o88:b389g002j:01o88:cd89g002ko01o88:cf89g002ki01o88:c`89g002mk01o88:e;89g002m201o88:e589g002m<01o88:e789g002m>01o88:e289g002j?01o88:cc89g0028:;70l97;df?8d1?3lo70l97;331>;e>>0:<>52b759554<5k<<6<>>;<`53?4bn27i::4=ee9>f31=:li01o88:3ga?8d103<j70l98;4a?8d1038o?63m6981`1=:j?218l52b7:90f=:j?218o52b7:901=:j?219l52b7:91f=:j?219o52b7:911=:j?21n?52b7:9f5=:j?21mh52b7:9ea=:j?21mn52b7:9eg=:j?21ml52b7:9e<=:j?21m552b7:9e2=:j?21m;52b7:9e0=:j?21m>52b7:9e7=:j?21m<52b7:9e5=:j?215k52b7:9=`=:j?215i52b7:9=f=:j?215o52b7:9=d=:j?21n452b7:9f==:j?21h>52b7:9`4=:j?21ok52b7:9g`=:j?21oi52b7:9gf=:j?21oo52b7:9gd=:j?21o452b7:9g==:j?21o:52b7:9g3=:j?21o952b7:9g6=:j?21o?52b7:9g4=:j?21o=52b7:9fc=:j?21nh52b7:9fa=:j?21nn52b7:9fg=:j?21hl52b7:9`<=:j?21h552b7:9`2=:j?21h;52b7:9`0=:j?21h952b7:9`5=:j?21o852b7:9fd=:j?21==>4=c4;>cc<5k<36kj4=c4;>46234h=47??3:?a2=<68;16n;6511389g0?2;om70l98;0f`>;e>109in52b7:96`d<5k<26;o4=c4:>3d<5k<26?j<;<`5=?4c<27i:44;a:?a2<<3k27i:44;b:?a2<<3<27i:44:a:?a2<<2k27i:44:b:?a2<<2<27i:44m2:?a2<<e827i:44ne:?a2<<fl27i:44nc:?a2<<fj27i:44na:?a2<<f127i:44n8:?a2<<f?27i:44n6:?a2<<f=27i:44n3:?a2<<f:27i:44n1:?a2<<f827i:446f:?a2<<>m27i:446d:?a2<<>k27i:446b:?a2<<>i27i:44m9:?a2<<e027i:44k3:?a2<<c927i:44lf:?a2<<dm27i:44ld:?a2<<dk27i:44lb:?a2<<di27i:44l9:?a2<<d027i:44l7:?a2<<d>27i:44l4:?a2<<d;27i:44l2:?a2<<d927i:44l0:?a2<<en27i:44me:?a2<<el27i:44mc:?a2<<ej27i:44ka:?a2<<c127i:44k8:?a2<<c?27i:44k6:?a2<<c=27i:44k4:?a2<<c827i:44l5:?a2<<ei27i:44>019>f3?=nl16n;75fe9>f3?=99?01o86:020?8d113;;>63m688244=:j?31>hh4=c4:>7cc34h=57<jc:?a2<<5mk16n;o56`9>f3g=>k16n;o52e189g0f2;n?70l9a;6b?8d1i3>h70l9a;6a?8d1i3>?70l9a;7b?8d1i3?h70l9a;7a?8d1i3??70l9a;`1?8d1i3h;70l9a;cf?8d1i3ko70l9a;c`?8d1i3ki70l9a;cb?8d1i3k270l9a;c;?8d1i3k<70l9a;c5?8d1i3k>70l9a;c0?8d1i3k970l9a;c2?8d1i3k;70l9a;;e?8d1i33n70l9a;;g?8d1i33h70l9a;;a?8d1i33j70l9a;`:?8d1i3h370l9a;f0?8d1i3n:70l9a;ae?8d1i3in70l9a;ag?8d1i3ih70l9a;aa?8d1i3ij70l9a;a:?8d1i3i370l9a;a4?8d1i3i=70l9a;a7?8d1i3i870l9a;a1?8d1i3i:70l9a;a3?8d1i3hm70l9a;`f?8d1i3ho70l9a;``?8d1i3hi70l9a;fb?8d1i3n270l9a;f;?8d1i3n<70l9a;f5?8d1i3n>70l9a;f7?8d1i3n;70l9a;a6?8d1i3hj70l9a;334>;e>h0mi63m6`8e`>;e>h0:<852b7c9555<5k<j6<>=;<`5e?77927i:l4=eg9>f3g=:ln01o8n:3g`?8d1i38nn63m6c85e>;e>k0=n63m6c81`6=:j?h1>i:4=c4a>1g<5k<i69m4=c4a>1d<5k<i69:4=c4a>0g<5k<i68m4=c4a>0d<5k<i68:4=c4a>g4<5k<i6o>4=c4a>dc<5k<i6lj4=c4a>de<5k<i6ll4=c4a>dg<5k<i6l74=c4a>d><5k<i6l94=c4a>d0<5k<i6l;4=c4a>d5<5k<i6l<4=c4a>d7<5k<i6l>4=c4a><`<5k<i64k4=c4a><b<5k<i64m4=c4a><d<5k<i64o4=c4a>g?<5k<i6o64=c4a>a5<5k<i6i?4=c4a>f`<5k<i6nk4=c4a>fb<5k<i6nm4=c4a>fd<5k<i6no4=c4a>f?<5k<i6n64=c4a>f1<5k<i6n84=c4a>f2<5k<i6n=4=c4a>f4<5k<i6n?4=c4a>f6<5k<i6oh4=c4a>gc<5k<i6oj4=c4a>ge<5k<i6ol4=c4a>ag<5k<i6i74=c4a>a><5k<i6i94=c4a>a0<5k<i6i;4=c4a>a2<5k<i6i>4=c4a>f3<5k<i6oo4=c4a>46734h=n7hj;<`5f?`c34h=n7??5:?a2g<68:16n;l511089g0e28::70l9b;0fb>;e>k09ii52b7`96`e<5k<i6?km;<`5g?0f34h=o78m;<`5g?4c;27i:n4=d59>f3e=<h16n;m54b9>f3e=<k16n;m5459>f3e==h16n;m55b9>f3e==k16n;m5559>f3e=j;16n;m5b19>f3e=il16n;m5ae9>f3e=ij16n;m5ac9>f3e=ih16n;m5a89>f3e=i116n;m5a69>f3e=i?16n;m5a49>f3e=i:16n;m5a39>f3e=i816n;m5a19>f3e=1o16n;m59d9>f3e=1m16n;m59b9>f3e=1k16n;m59`9>f3e=j016n;m5b99>f3e=l:16n;m5d09>f3e=ko16n;m5cd9>f3e=km16n;m5cb9>f3e=kk16n;m5c`9>f3e=k016n;m5c99>f3e=k>16n;m5c79>f3e=k=16n;m5c29>f3e=k;16n;m5c09>f3e=k916n;m5bg9>f3e=jl16n;m5be9>f3e=jj16n;m5bc9>f3e=lh16n;m5d89>f3e=l116n;m5d69>f3e=l?16n;m5d49>f3e=l=16n;m5d19>f3e=k<16n;m5b`9>f3e=99:01o8l:gg89g0d2on01o8l:026?8d1k3;;?63m6b8247=:j?i1==?4=c4`>7ca34h=o7<jd:?a2f<5mj16n;m52d`89g0b2?k01o8j:7`89g0b2;n870l9e;0g0>;e>l0?m63m6d87g>;e>l0?n63m6d870>;e>l0>m63m6d86g>;e>l0>n63m6d860>;e>l0i>63m6d8a4>;e>l0ji63m6d8b`>;e>l0jo63m6d8bf>;e>l0jm63m6d8b=>;e>l0j463m6d8b3>;e>l0j:63m6d8b1>;e>l0j?63m6d8b6>;e>l0j=63m6d8b4>;e>l02j63m6d8:a>;e>l02h63m6d8:g>;e>l02n63m6d8:e>;e>l0i563m6d8a<>;e>l0o?63m6d8g5>;e>l0hj63m6d8`a>;e>l0hh63m6d8`g>;e>l0hn63m6d8`e>;e>l0h563m6d8`<>;e>l0h;63m6d8`2>;e>l0h863m6d8`7>;e>l0h>63m6d8`5>;e>l0h<63m6d8ab>;e>l0ii63m6d8a`>;e>l0io63m6d8af>;e>l0om63m6d8g=>;e>l0o463m6d8g3>;e>l0o:63m6d8g1>;e>l0o863m6d8g4>;e>l0h963m6d8ae>;e>l0:<=52b7g9b`=:j?o1ji52b7g9553<5k<n6<><;<`5a?77:27i:h4>009>f3c=:ll01o8j:3gg?8d1m38no63m6d81ag=:j?l1:l52b7d92g=:j?l1>i=4=c4e>7b334h=j7:n;<`5b?2d34h=j7:m;<`5b?2334h=j7;n;<`5b?3d34h=j7;m;<`5b?3334h=j7l=;<`5b?d734h=j7oj;<`5b?gc34h=j7ol;<`5b?ge34h=j7on;<`5b?g>34h=j7o7;<`5b?g034h=j7o9;<`5b?g234h=j7o<;<`5b?g534h=j7o>;<`5b?g734h=j77i;<`5b??b34h=j77k;<`5b??d34h=j77m;<`5b??f34h=j7l6;<`5b?d?34h=j7j<;<`5b?b634h=j7mi;<`5b?eb34h=j7mk;<`5b?ed34h=j7mm;<`5b?ef34h=j7m6;<`5b?e?34h=j7m8;<`5b?e134h=j7m;;<`5b?e434h=j7m=;<`5b?e634h=j7m?;<`5b?da34h=j7lj;<`5b?dc34h=j7ll;<`5b?de34h=j7jn;<`5b?b>34h=j7j7;<`5b?b034h=j7j9;<`5b?b234h=j7j;;<`5b?b734h=j7m:;<`5b?df34h=j7??0:?a2c<am27i:k4id:?a2c<68<16n;h511189g0a28:970l9f;335>;e>o09ik52b7d96`b<5k<m6?kl;<`5b?4bj27i;=49a:?a35<1j27i;=4=d29>f26=:m>01o9?:5c89g172=i01o9?:5`89g172=>01o9?:4c89g172<i01o9?:4`89g172<>01o9?:c089g172k:01o9?:`g89g172hn01o9?:`a89g172hh01o9?:`c89g172h301o9?:`:89g172h=01o9?:`489g172h?01o9?:`189g172h801o9?:`389g172h:01o9?:8d89g1720o01o9?:8f89g1720i01o9?:8`89g1720k01o9?:c;89g172k201o9?:e189g172m;01o9?:bd89g172jo01o9?:bf89g172ji01o9?:b`89g172jk01o9?:b;89g172j201o9?:b589g172j<01o9?:b689g172j901o9?:b089g172j;01o9?:b289g172kl01o9?:cg89g172kn01o9?:ca89g172kh01o9?:ec89g172m301o9?:e:89g172m=01o9?:e489g172m?01o9?:e689g172m:01o9?:b789g172kk01o9?:023?8d083ln70l80;dg?8d083;;963m718246=:j>:1==<4=c53>46634h<<7<jf:?a35<5mm16n:>52da89g172;oi70l81;4b?8d093<i70l81;0g7>;e?809h952b6390d=:j>;18n52b6390g=:j>;18952b6391d=:j>;19n52b6391g=:j>;19952b639f7=:j>;1n=52b639e`=:j>;1mi52b639ef=:j>;1mo52b639ed=:j>;1m452b639e==:j>;1m:52b639e3=:j>;1m852b639e6=:j>;1m?52b639e4=:j>;1m=52b639=c=:j>;15h52b639=a=:j>;15n52b639=g=:j>;15l52b639f<=:j>;1n552b639`6=:j>;1h<52b639gc=:j>;1oh52b639ga=:j>;1on52b639gg=:j>;1ol52b639g<=:j>;1o552b639g2=:j>;1o;52b639g1=:j>;1o>52b639g7=:j>;1o<52b639g5=:j>;1nk52b639f`=:j>;1ni52b639ff=:j>;1no52b639`d=:j>;1h452b639`==:j>;1h:52b639`3=:j>;1h852b639`1=:j>;1h=52b639g0=:j>;1nl52b639556<5k=:6kk4=c52>cb<5k=:6<>:;<`45?77;27i;<4>039>f27=99;01o9>:3ge?8d0938nh63m7081af=:j>;1>hl4=c51>3g<5k=96;l4=c51>7b434h<>7<k4:?a37<3i27i;?4;c:?a37<3j27i;?4;4:?a37<2i27i;?4:c:?a37<2j27i;?4:4:?a37<e:27i;?4m0:?a37<fm27i;?4nd:?a37<fk27i;?4nb:?a37<fi27i;?4n9:?a37<f027i;?4n7:?a37<f>27i;?4n5:?a37<f;27i;?4n2:?a37<f927i;?4n0:?a37<>n27i;?46e:?a37<>l27i;?46c:?a37<>j27i;?46a:?a37<e127i;?4m8:?a37<c;27i;?4k1:?a37<dn27i;?4le:?a37<dl27i;?4lc:?a37<dj27i;?4la:?a37<d127i;?4l8:?a37<d?27i;?4l6:?a37<d<27i;?4l3:?a37<d:27i;?4l1:?a37<d827i;?4mf:?a37<em27i;?4md:?a37<ek27i;?4mb:?a37<ci27i;?4k9:?a37<c027i;?4k7:?a37<c>27i;?4k5:?a37<c<27i;?4k0:?a37<d=27i;?4ma:?a37<68916n:<5fd9>f24=nm16n:<511789g1528:870l82;336>;e?;0:<<52b6096``<5k=96?kk;<`46?4bk27i;?4=ec9>f25=>h16n:=56c9>f25=:m901o9<:3f7?8d0;3>j70l83;6`?8d0;3>i70l83;67?8d0;3?j70l83;7`?8d0;3?i70l83;77?8d0;3h970l83;`3?8d0;3kn70l83;cg?8d0;3kh70l83;ca?8d0;3kj70l83;c:?8d0;3k370l83;c4?8d0;3k=70l83;c6?8d0;3k870l83;c1?8d0;3k:70l83;c3?8d0;33m70l83;;f?8d0;33o70l83;;`?8d0;33i70l83;;b?8d0;3h270l83;`;?8d0;3n870l83;f2?8d0;3im70l83;af?8d0;3io70l83;a`?8d0;3ii70l83;ab?8d0;3i270l83;a;?8d0;3i<70l83;a5?8d0;3i?70l83;a0?8d0;3i970l83;a2?8d0;3i;70l83;`e?8d0;3hn70l83;`g?8d0;3hh70l83;`a?8d0;3nj70l83;f:?8d0;3n370l83;f4?8d0;3n=70l83;f6?8d0;3n?70l83;f3?8d0;3i>70l83;`b?8d0;3;;<63m728ea>;e?:0mh63m728240=:j>91===4=c50>46534h<?7??1:?a36<5mo16n:=52df89g142;oh70l83;0ff>;e?=0=m63m7585f>;e?=09h>52b6696a2<5k=?69o4=c57>1e<5k=?69l4=c57>12<5k=?68o4=c57>0e<5k=?68l4=c57>02<5k=?6o<4=c57>g6<5k=?6lk4=c57>db<5k=?6lm4=c57>dd<5k=?6lo4=c57>d?<5k=?6l64=c57>d1<5k=?6l84=c57>d3<5k=?6l=4=c57>d4<5k=?6l?4=c57>d6<5k=?64h4=c57><c<5k=?64j4=c57><e<5k=?64l4=c57><g<5k=?6o74=c57>g><5k=?6i=4=c57>a7<5k=?6nh4=c57>fc<5k=?6nj4=c57>fe<5k=?6nl4=c57>fg<5k=?6n74=c57>f><5k=?6n94=c57>f0<5k=?6n:4=c57>f5<5k=?6n<4=c57>f7<5k=?6n>4=c57>g`<5k=?6ok4=c57>gb<5k=?6om4=c57>gd<5k=?6io4=c57>a?<5k=?6i64=c57>a1<5k=?6i84=c57>a3<5k=?6i:4=c57>a6<5k=?6n;4=c57>gg<5k=?6<>?;<`40?`b34h<87hk;<`40?77=27i;94>029>f22=99801o9;:022?8d0<38nj63m7581aa=:j>>1>hm4=c57>7ce34h<978n;<`41?0e34h<97<k3:?a30<5l=16n:;54`9>f23=<j16n:;54c9>f23=<=16n:;55`9>f23==j16n:;55c9>f23===16n:;5b39>f23=j916n:;5ad9>f23=im16n:;5ab9>f23=ik16n:;5a`9>f23=i016n:;5a99>f23=i>16n:;5a79>f23=i<16n:;5a29>f23=i;16n:;5a09>f23=i916n:;59g9>f23=1l16n:;59e9>f23=1j16n:;59c9>f23=1h16n:;5b89>f23=j116n:;5d29>f23=l816n:;5cg9>f23=kl16n:;5ce9>f23=kj16n:;5cc9>f23=kh16n:;5c89>f23=k116n:;5c69>f23=k?16n:;5c59>f23=k:16n:;5c39>f23=k816n:;5c19>f23=jo16n:;5bd9>f23=jm16n:;5bb9>f23=jk16n:;5d`9>f23=l016n:;5d99>f23=l>16n:;5d79>f23=l<16n:;5d59>f23=l916n:;5c49>f23=jh16n:;511289g122oo01o9::gf89g1228:>70l85;337>;e?<0:<?52b679557<5k=>6?ki;<`41?4bl27i;84=eb9>f23=:lh01o99:7c89g112?h01o99:3f0?8d0>38o863m7787e>;e??0?o63m7787f>;e??0?863m7786e>;e??0>o63m7786f>;e??0>863m778a6>;e??0i<63m778ba>;e??0jh63m778bg>;e??0jn63m778be>;e??0j563m778b<>;e??0j;63m778b2>;e??0j963m778b7>;e??0j>63m778b5>;e??0j<63m778:b>;e??02i63m778:`>;e??02o63m778:f>;e??02m63m778a=>;e??0i463m778g7>;e??0o=63m778`b>;e??0hi63m778``>;e??0ho63m778`f>;e??0hm63m778`=>;e??0h463m778`3>;e??0h:63m778`0>;e??0h?63m778`6>;e??0h=63m778`4>;e??0ij63m778aa>;e??0ih63m778ag>;e??0in63m778ge>;e??0o563m778g<>;e??0o;63m778g2>;e??0o963m778g0>;e??0o<63m778`1>;e??0im63m778245=:j><1jh52b649ba=:j><1==;4=c55>46434h<:7??2:?a33<68816n:852dd89g112;oo70l86;0fg>;e??09io5rs225>5<3sW9;:637d282<2=:0>n1=594=92;>4>03ty=87>52z\50>;0k009nn5rs3a3>5<>>rT9o=528d692<=:0l<1:4524d:92<=:<l31:4524dg92<=:<ll1:4524g292<=:<o;1:4524g092<=:<o91:4524g692<=:<o?1:4524dc92<=:<ln1:4524d`92<=:<li1:45248492<=:<0=1:45248a92<=:<0n1:45248g92<=:<0l1:4524`292<=:<h;1:4524`092<=:<h91:45248:92<=:<0h1:45248;92<=:<0k1:45244092<=:<<91:45244:92<=:<<31:45244c92<=:<<h1:45244a92<=:<<n1:45244g92<=:<<l1:45244692<=:<<?1:45244492<=:<<=1:45285192<=:?j31:4526b792<=:>j>1:4526b192<=:>j81:4526bf92<=:>ji1:4526b`92<=:>jk1:4526b;92<=:>j21:4526b592<=:>j<1:4526b392<=:>j:1:45273d92<=:?;o1:45273f92<=:?;i1:45272592<=:?:<1:45272792<=:?:>1:45272192<=:?:81:45272392<=:?::1:45273`92<=:?;k1:45276a92<=:?>h1:45276c92<=:?>31:45279692<=:?191:45279092<=:?1;1:45279292<=:?>l1:45276g92<=:?>n1:45276:92<=:?>=1:45278:92<=:?0=1:45278492<=:?0?1:4527`292<=:?0l1:45278g92<=:?0n1:45278a92<=:?0h1:45278c92<=:?031:45278692<=:?091:4527cf92<=:?ki1:4527c`92<=:?kk1:4527b792<=:?j>1:4527b192<=:?j81:4527b392<=:?j:1:4527cd92<=:?ko1:4527c;92<=:?k21:452a9;92<=:i121:452a9592<=:0l?1:452b70934=:j?n1;<52b65934=:j>21;<52b6;934=:j>k1;<52b6`934=:j>i1;<52b6f934=:j>o1;<52b71934=:j?>1;<52b77934=:j?<1;<52b75934=:j?21;<52b7;934=:j?k1;<52b7`934=:j?i1;<52b7g934=:j?l1;<52b62934=:j>;1;<52b60934=:j>91;<52b66934=:j>?1;<52b64934=z{;l=6=4n0z\1b3=:0l21:4528d592<=:>9<1:45261592<=:>9i1:45261f92<=:>9o1:45261d92<=:>8:1:45260392<=:>881:45260192<=:>921:45261`92<=:>931:45261c92<=:=k>1:4525c792<=:=kk1:4525c`92<=:=ki1:4525cf92<=:=ko1:4525cd92<=:=j:1:4525b392<=:=k<1:4525c;92<=:=k=1:4525c:92<=:=>:1:45256392<=:=><1:45256592<=:=>21:45256;92<=:=>k1:45256`92<=:=>i1:45256f92<=:=>81:45256792<=:=>91:45256692<=:=;i1:45253f92<=:=:81:45252192<=:=:>1:45252792<=:=:<1:45252592<=:=:21:45252;92<=:=;o1:45253d92<=:=::1:45252392<=:0>o1:45281492<=:>0>1:45268192<=:>081:45268392<=:>0i1:45268`92<=:>0k1:45268;92<=:>021:45268592<=:>0<1:45268792<=:>0:1:45269d92<=:>l;1:4526d292<=:>ml1:4526eg92<=:>l31:4526d:92<=:>l=1:4526d492<=:>l?1:4526d692<=:>l91:4526d092<=:>mn1:4526ea92<=:>on1:4526ga92<=:>oh1:4526gc92<=:?9?1:45271692<=:?991:45271092<=:?9;1:45271292<=:>ol1:4526gg92<=:>o31:4526g:92<=:??:1:45274d92<=:?<o1:45274f92<=:??21:45277592<=:??<1:45277792<=:??>1:45277192<=:??81:45277392<=:?<i1:45274`92<=:0m31:4528e:92<=:0m=1:4528e492<=:0l;1:4528d292<=:0ml1:4528eg92<=:0mn1:4528ea92<=:0mh1:4528ec92<=:0m?1:4528e692<=:j?81;=52b7f935=:j>=1;=52b6:935=:j>31;=52b6c935=:j>h1;=52b6a935=:j>n1;=52b6g935=:j?91;=52b76935=:j??1;=52b74935=:j?=1;=52b7:935=:j?31;=52b7c935=:j?h1;=52b7a935=:j?o1;=52b7d935=:j>:1;=52b63935=:j>81;=52b61935=:j>>1;=52b67935=:j><1;=5rs25:>5<0sW9<56382g81ff=:>;?1=594=95:>4>>342>i7?79:?;44<60h16;h8519c8yv50?3:14vP<769>37c=:ki01;?8:8:89343282<70689;3;3>;?=l0:4:5281395=><5>o=6<67;|q033<721qU?:84=60g>7dd34<::777;<417?7??273;54>889><0b=91=015>?:0:b?81b=3;3m6s|36794?>|V:=>709=c;0ag>;19<0246392382<2=:0>21=594=97g>4>?342;<7?78:?4a0<6011v\7f>6=:18;\7f[5?:27<?:4=bb9>276=1116:?k519589=102822706:c;3;3>;0no0:4l527d695=g<uz93=7>58z\0<4=:?:<1>om4=73e><><5?8o6<68;<:43?7??2739n4>899>3c`=91201:k;:0:;?xu4090;65uQ392892522;hh708>e;;;?805k3;3;6377782<<=:0<h1=594=6df>4>f34=n?7?7a:\7fp72`=832pR>9i;<500?4ek27==i468:?56g<60>164:8519589=3e2823709ie;3;<>;0m:0:455rs25f>5<?sW9<i6383281ff=:>8i1555263c95=1<51=>6<66;<:6e?7??27<ji4>8`9>3`4=91k0q~=8d;29<~X4?m16;><52ca8937e20201;<6:0:4?8>0=3;3;6375`82<==:?on1=564=6g1>4>?3ty8;n4?:9y]72e<5>9:6?ll;<42e???34<947?77:?;31<600164875195892`d282j709j1;3;e>{t;>h1<76t^25a?814838io639188:<>;1:>0:4:5286695=1<51?26<67;<5eg?7?027<i<4>899~w61f2903wS=8a:?46g<5jj16:<65999>270=91=0159<:0::?8>203;3;638fc82<d=:?l:1=5o4}r140?6=0rT8;95273c96ge<5?;?6464=702>4>0342<?7?77:?;1=<60116;kl519:892c728237p}7e;2951}Y;120R5k4=412>7dd34==<79:;<47a?7??27<=i4>869><c6=912015ki:0:;?8>bm3;34637ee82<==:0li1=594=9ga>4>>342nm7?77:?;a<<601169<:519589g052=201o8k:5:89g102=201o97:5:89g1>2=20q~6l:1821~X40?1U4n5252296ge<5?>;6464=76g>4>034=:i7?77:?45a<601164k>519;89=ca2822706je;3;3>;?mm0:44528da95=?<51oi6<67;<:fe?7?1273i44>889>0ce=91=01o8=:5589g0c2==01o98:5589g1?2==01o96:558yv>e290:9vP<849]<g=:=;l1>om4=71e><><5?>h6<68;<52b?7??27<=h4>899><c6=91=015ki:0:4?8>bm3;35637ee82<2=:0li1=564=9ga>4>0342nm7?78:?;a<<60>168ko519589g052=<01o8k:5489g102=<01o97:5489g1>2=<0q~6n:1811~X40=1U4l5253g96ge<5?9n6464=76a>4>034=9<7?77:?45c<601168k6519589g052=?01o8k:5789g102=?01o97:5789g1>2=?01o9n:5:89g1e2=201o9l:5:89g1c2=201o9j:5:89g042=201o8;:5:89g022=201o89:5:89g002=201o87:5:89g0>2=201o8n:5:89g0e2=201o8l:5:89g0b2=201o8i:5:89g172=201o9>:5:89g152=201o9<:5:89g132=201o9::5:89g112=20q~78:1811~X4181U5:5252;96ge<5?>26464=774>4>034=9=7?77:?465<601169=k519589g052<901o8k:4189g102<901o97:4189g1>2<901o9n:5589g1e2==01o9l:5589g1c2==01o9j:5589g042==01o8;:5589g022==01o89:5589g002==01o87:5589g0>2==01o8n:5589g0e2==01o8l:5589g0b2==01o8i:5589g172==01o9>:5589g152==01o9<:5589g132==01o9::5589g112==0q~79:1811~X4191U5;5252:96ge<5?>36464=775>4>034=9>7?77:?464<601169=m519589g052<801o8k:4089g102<801o97:4089g1>2<801o9n:5489g1e2=<01o9l:5489g1c2=<01o9j:5489g042=<01o8;:5489g022=<01o89:5489g002=<01o87:5489g0>2=<01o8n:5489g0e2=<01o8l:5489g0b2=<01o8i:5489g172=<01o9>:5489g152=<01o9<:5489g132=<01o9::5489g112=<0q~7::1811~X40o1U585252596ge<5?><6464=776>4>034=9?7?77:?467<601169=o519589g052<;01o8k:4389g102<;01o97:4389g1>2<;01o9n:5789g1e2=?01o9l:5789g1c2=?01o9j:5789g042=?01o8;:5789g022=?01o89:5789g002=?01o87:5789g0>2=?01o8n:5789g0e2=?01o8l:5789g0b2=?01o8i:5789g172=?01o9>:5789g152=?01o9<:5789g132=?01o9::5789g112=?0q~7;:1811~X40l1U595252496ge<5?>=6464=777>4>034=987?77:?466<601169=6519589g052<:01o8k:4289g102<:01o97:4289g1>2<:01o9n:4189g1e2<901o9l:4189g1c2<901o9j:4189g042<901o8;:4189g022<901o89:4189g002<901o87:4189g0>2<901o8n:4189g0e2<901o8l:4189g0b2<901o8i:4189g172<901o9>:4189g152<901o9<:4189g132<901o9::4189g112<90q~7<:1811~X40m1U5>5252796ge<5?>>6464=770>4>034=997?77:?461<601169=8519589g052=l01o8k:5d89g102=l01o97:5d89g1>2=l01o9n:4089g1e2<801o9l:4089g1c2<801o9j:4089g042<801o8;:4089g022<801o89:4089g002<801o87:4089g0>2<801o8n:4089g0e2<801o8l:4089g0b2<801o8i:4089g172<801o9>:4089g152<801o9<:4089g132<801o9::4089g112<80q~7=:1811~X40j1U5?5252696ge<5?>?6464=771>4>034=9:7?77:?460<601169=:519589g052=o01o8k:5g89g102=o01o97:5g89g1>2=o01o9n:4389g1e2<;01o9l:4389g1c2<;01o9j:4389g042<;01o8;:4389g022<;01o89:4389g002<;01o87:4389g0>2<;01o8n:4389g0e2<;01o8l:4389g0b2<;01o8i:4389g172<;01o9>:4389g152<;01o9<:4389g132<;01o9::4389g112<;0q~7>:1811~X40k1U5<5252196ge<5?>86464=772>4>034=9;7?77:?463<601169=<519589g052=n01o8k:5f89g102=n01o97:5f89g1>2=n01o9n:4289g1e2<:01o9l:4289g1c2<:01o9j:4289g042<:01o8;:4289g022<:01o89:4289g002<:01o87:4289g0>2<:01o8n:4289g0e2<:01o8l:4289g0b2<:01o8i:4289g172<:01o9>:4289g152<:01o9<:4289g132<:01o9::4289g112<:0q~7?:1811~X40h1U5=5252096ge<5?>96464=773>4>034=947?77:?462<601169=>519589g052=i01o8k:5a89g102=i01o97:5a89g1>2=i01o9n:5d89g1e2=l01o9l:5d89g1c2=l01o9j:5d89g042=l01o8;:5d89g022=l01o89:5d89g002=l01o87:5d89g0>2=l01o8n:5d89g0e2=l01o8l:5d89g0b2=l01o8i:5d89g172=l01o9>:5d89g152=l01o9<:5d89g132=l01o9::5d89g112=l0q~6i:1811~X4001U4k5253f96ge<5?>:6464=76e>4>034=957?77:?46=<601168kk519589g052=h01o8k:5`89g102=h01o97:5`89g1>2=h01o9n:5g89g1e2=o01o9l:5g89g1c2=o01o9j:5g89g042=o01o8;:5g89g022=o01o89:5g89g002=o01o87:5g89g0>2=o01o8n:5g89g0e2=o01o8l:5g89g0b2=o01o8i:5g89g172=o01o9>:5g89g152=o01o9<:5g89g132=o01o9::5g89g112=o0q~66:1810~X40:1U445253a96ge<5?9o6464=76b>4>034=957?78:?64c<60?16n;<5459>f3b=<=16n:95459>f2>=<=16n:75459>f2g=<m16n:l54e9>f2e=<m16n:j54e9>f2c=<m16n;=54e9>f32=<m16n;;54e9>f30=<m16n;954e9>f3>=<m16n;754e9>f3g=<m16n;l54e9>f3e=<m16n;k54e9>f3`=<m16n:>54e9>f27=<m16n:<54e9>f25=<m16n::54e9>f23=<m16n:854e9~w64b290>wS==e:?7=g<5jj164:7519c892c1282270:8e;3;3>{t;;i1<7;t^20`?82>i38io6377882<==:?l<1=594=545>4>03ty8>o4?:4y]77d<5=326?ll;<:4<?7?i27<i84>889>032=91=0q~==a;291~X4:h1684652ca89=1?2823709j5;3;3>;3>;0:4:5rs214>5<2sW98;63;a281ff=:0>=1=5o4=6g7>4>>34><47?77:\7fp760=83?pR>=9;<6b6?4ek273;:4>899>3`2=91=01999:0:4?xu4;<0;68uQ327891g62;hh70686;3;e>;0m:0:445246695=1<uz9887>55z\071=:<h:1>om4=955>4>?34=n?7?77:?737<60>1v\7f>=<:186\7f[54;27?5k4=bb9><23=91k01:k=:0::?82083;3;6s|32094?3|V:9970:6e;0ag>;??<0:45527d095=1<5=<n6<68;|q074<72<qU?>?4=5;g>7dd342<87?7a:?4a4<600168;m51958yv5483:19vP<319>0<e=:ki0159;:0:;?81b93;3;63;6`82<2=z{:8m6=4:{_11b>;31>09nn5286195=g<5>o;6<66;<65<?7??2wx??750;7xZ64>34>2:7<mc:?;36<60116;h>51958911>282=7p}8f;2953}Y;:n0R:h4=574>7dd34<h979:;<411?7?027j44485:?5<7<60>1648k519c89=`?2823706i7;3;<>;?n?0:45528g795=><51l?6<66;<:e7?7?i273j?4>889><c7=912019=n:0:4?8d1:3?370l9d;7;?8d0?3?370l88;7;?8d013?37p}8d;2953}Y;:h0R:j4=575>7dd34<987?78:?b<=<0=27=4>4>869>2=4=912015;j:0:;?8>a03;3;637f682<2=:0o<1=574=9d6>4>0342m87?77:?;b6<601164k<519589=`6282<70:=2;3;3>;e>;0>;63m6e863>;e?>0>;63m79863>;e?00>;6s|7b83>40|V:9j7S9l;<661?4ek27=>>4>899>e=1=?<16:5:5195893>42823706:d;3;=>;?n10:44528g595=?<51l=6<68;<:e1?7?1273j94>899><c5=91=015h=:0:;?8>a93;3563;2182<2=:j?819;52b7f913=:j>=19;52b6:913=:j>319;5rs6`94?42sW9856P8b:?711<5jj16:?<519:893>2282<70874;3;<>;?=m0:4l5240g95=1<5k<968;4=c4g>03<5k=<68;4=c5;>03<5k=268;4=c5b>0><5k=i6864=c5`>0><5k=o6864=c5f>0><5k<86864=c47>0><5k<>6864=c45>0><5k<<6864=c4;>0><5k<26864=c4b>0><5k<i6864=c4`>0><5k<n6864=c4e>0><5k=;6864=c52>0><5k=96864=c50>0><5k=?6864=c56>0><5k==6864}r:;>5<5=rT88;5Q899>00`=:ki01;<j:0:;?80?>3;3;6398482<==:0<i1=574=517>4>034h=>78<;<`5`?0434h<;78<;<`4<?0434h<578<;<`4e?3034h<n7;8;<`4g?3034h<h7;8;<`4a?3034h=?7;8;<`50?3034h=97;8;<`52?3034h=;7;8;<`5<?3034h=57;8;<`5e?3034h=n7;8;<`5g?3034h=i7;8;<`5b?3034h<<7;8;<`45?3034h<>7;8;<`47?3034h<87;8;<`41?3034h<:7;8;|q;3?6=:<qU?9;4^958913b2;hh708=d;3;<>;10>0:4:5269495=><51?h6<6n;<606?7??27i:?492:?a2a<1:27i;:492:?a3=<1:27i;4492:?a3d<2>27i;o4:6:?a3f<2>27i;i4:6:?a3`<2>27i:>4:6:?a21<2>27i:84:6:?a23<2>27i::4:6:?a2=<2>27i:44:6:?a2d<2>27i:o4:6:?a2f<2>27i:h4:6:?a2c<2>27i;=4:6:?a34<2>27i;?4:6:?a36<2>27i;94:6:?a30<2>27i;;4:6:\7fp<3<72;?pR>:;;_:5?822l38io6392b82<==:>121=594=7:4>4>?342>n7?79:?775<60>16n;<5609>f3b=>816n:95609>f2>=>816n:75609>f2g==<16n:l5549>f2e==<16n:j5549>f2c==<16n;=5549>f32==<16n;;5549>f30==<16n;95549>f3>==<16n;75549>f3g==<16n;l5549>f3e==<16n;k5549>f3`==<16n:>5549>f27==<16n:<5549>f25==<16n::5549>f23==<16n:85549~w=3=838>wS=;3:\;1>;3=j09nn5263`95=><5?226<68;<4;<?7?02739o4>8`9>07c=91=01o8=:7289g0c2?:01o98:7289g1?2?:01o96:7289g1f2?901o9m:7189g1d2?901o9k:7189g1b2?901o8<:7189g032?901o8::7189g012?901o88:7189g0?2?901o86:7189g0f2?901o8m:7189g0d2?901o8j:7189g0a2?901o9?:7189g162?901o9=:7189g142?901o9;:7189g122?901o99:718yv>329099vP<439]<1=:<<h1>om4=70b>4>?34<3m7?77:?5<<<6011648o519;8914d282<70l92;7e?8d1l3?m70l87;7e?8d003?m70l89;7e?8d0i3<970l8b;41?8d0k3<970l8d;41?8d0m3<970l93;41?8d1<3<970l95;41?8d1>3<970l97;41?8d103<970l99;41?8d1i3<970l9b;41?8d1k3<970l9e;41?8d1n3<970l80;41?8d093<970l82;41?8d0;3<970l84;41?8d0=3<970l86;41?xu?;3:1>8uQ3538Z=5<5=?j6?ll;<41=?7?027=4o4>869>2=g=912015;n:0:b?825i3;3;63m6386a>;e>m0>i63m7686a>;e?10>i63m7886a>;e?h0==63m7c855>;e?j0==63m7e855>;e?l0==63m62855>;e>=0==63m64855>;e>?0==63m66855>;e>10==63m68855>;e>h0==63m6c855>;e>j0==63m6d855>;e>o0==63m71855>;e?80==63m73855>;e?:0==63m75855>;e?<0==63m77855>{t0;0;6?;t^263?[>534>>57<mc:?56=<60116:5m5195893>e2823706:9;3;=>;3:10:4:52b7091a=:j?n19i52b6591a=:j>219i52b6;91a=:j>k1:=52b6`925=:j>i1:=52b6f925=:j>o1:=52b71925=:j?>1:=52b77925=:j?<1:=52b75925=:j?21:=52b7;925=:j?k1:=52b7`925=:j?i1:=52b7g925=:j?l1:=52b62925=:j>;1:=52b60925=:j>91:=52b66925=:j>?1:=52b64925=z{1;1<7<:{_10b>X?927?954=bb9>271=91201;6k:0:4?80?k3;346375882<d=:<;<1=594=c41>0e<5k<o68m4=c54>0e<5k=368m4=c5:>0e<5k=j68h4=c5a>0`<5k=h68h4=c5g>0`<5k=n68h4=c40>0`<5k<?68h4=c46>0`<5k<=68h4=c44>0`<5k<368h4=c4:>0`<5k<j68h4=c4a>0`<5k<h68h4=c4f>0`<5k<m68h4=c53>0`<5k=:68h4=c51>0`<5k=868h4=c57>0`<5k=>68h4=c55>0`<uz2;6=4=5z\07`=Y091688=52ca8934128237087e;3;3>;10m0:455284:95=g<5=8?6<68;<`56?3e34h=h7;m;<`43?3e34h<47;m;<`4=?3e34h<m7;j;<`4f?3b34h<o7;j;<`4`?3b34h<i7;j;<`57?3b34h=87;j;<`51?3b34h=:7;j;<`53?3b34h=47;j;<`5=?3b34h=m7;j;<`5f?3b34h=o7;j;<`5a?3b34h=j7;j;<`44?3b34h<=7;j;<`46?3b34h<?7;j;<`40?3b34h<97;j;<`42?3b3ty<m7>525y]76><V>k019;=:3``?80593;346398d82<==:0<21=574=516>4>134h=>7;;;<`5`?3334h<;7;;;<`4<?3334h<57;;;<`4e?3c34h<n7;k;<`4g?3c34h<h7;k;<`4a?3c34h=?7;k;<`50?3c34h=97;k;<`52?3c34h=;7;k;<`5<?3c34h=57;k;<`5e?3c34h=n7;k;<`5g?3c34h=i7;k;<`5b?3c34h<<7;k;<`45?3c34h<>7;k;<`47?3c34h<87;k;<`41?3c34h<:7;k;|q00f<72>qU?9m4=7;7>7dd34<?i7?78:?;f1<60h16447519c89=5b282j706=3;3;e>{t;=k1<79t^26b?80>;38io6394e82<==:0k>1=564=9;:>4>?3428i7?78:?;66<6011v\7f>:6:184\7f[53127=5?4=bb9>21e=912015l<:0:b?8>>03;3m6373e82<d=:0;81=5o4}r17<?6=?rT8855268396ge<5?>i6<67;<:a7?7?0273554>899><6b=912015<=:0:;?xu4=<0;6:uQ347893?d2;hh708:7;3;<>;?j;0:4l5288595=g<519h6<6n;<:15?7?i2wx?8:50;5xZ63334<2n7<mc:?513<601164o<519:89=?02823706<c;3;<>;?:80:455rs270>5<0sW9>?6399`81ff=:><?1=564=9`2>4>f3422:7?7a:?;7g<60h164?>519c8yv52:3:1;vP<539>2<?=:ki01;;;:0:;?8>e93;346379782<==:0:h1=564=903>4>?3ty89<4?:6y]707<5?336?ll;<467?7?0273n=4>8`9><<3=91k015=n:0:b?8>6n3;3m6s|34294?1|V:?;70867;0ag>;1=;0:45528c295=><513>6<67;<:0e?7?0273=k4>899~w62a290<wS=;f:?5=3<5jj16:8?519:89=ga282j70664;3;e>;?;00:4l5280g95=g<uz9?i7>57z\00`=:>0?1>om4=773>4>?342jj7?78:?;=1<601164>7519:89=7b28237p}<4e83>2}Y;=n01;7?:3``?803n3;34637ad82<d=:0091=5o4=91;>4>f342:h7?7a:\7fp711=83=pR>:8;<4;b?4ek27=8l4>899><dc=9120157<:0:;?8>403;346371e82<==z{;lh6=4={_0eg>;?l009nn5rs3db>5<5sW8mm637d981ff=z{;l26=4={_0e=>;?l>09nn5rs3d;>5<5sW8m4637d781ff=z{::>6=4={_131>;?m809nn5rs227>5<5sW9;8637e181ff=z{::86=4={_137>;?lo09nn5rs221>5<5sW9;>637dd81ff=z{:::6=4={_135>;?lm09nn5rs223>5<5sW9;<637db81ff=z{;lm6=4={_0eb>;?lk09nn5rs3df>5<5sW8mi637d`81ff=z{;lo6=4={_0e`>;?l<09nn5rs3d4>5<5sW8m;637d581ff=z{:?i6=4;{_16f>;2?<09nn5288;95=?<5<?36<68;|q01<<72=qU?874=457>7dd342257?77:?605<60>1v\7f>;7:187\7f[52027>;>4=bb9><<>=913018=j:0:4?xu4=>0;69uQ345890152;hh70668;3;3>;2;j0:4:5rs247>5<3sW9=863:7e81ff=:00=1=574=471>4>03ty8:>4?:5y]735<5<=h6?ll;<::3?7??27>9=4>869~w605290?wS=92:?63g<5jj16448519;8902b282<7p}<6083>1}Y;?;0189n:3``?8>>>3;3;63:4b82<2=z{:<;6=4;{_154>;2?009nn5288795=?<5<>j6<68;|q01c<72=qU?8h4=45;>7dd342297?77:?60=<60>1v\7f>;j:187\7f[52m27>;:4=bb9><<2=913018:9:0:4?xu4=m0;69uQ34f890112;hh70664;3;3>;2<=0:4:5rs27`>5<3sW9>o63:7081ff=:0091=574=461>4>03ty89;4?:5y]700<5<=;6?ll;<::7?7??27>9>4>879~w60f290>wS=9a:?6f<<5jj164o:519;89=44282270;6c;3;3>{t;?21<7;t^24;?83e038io637b582<2=:0;91=594=4:7>4>03ty8::4?:4y]731<5<h<6?ll;<:a7?7?1273>?4>889>1=4=91=0q~=96;291~X4>?169o852ca89=d4282<706=2;3;3>;2090:4:5rs250>5<2sW9<?63:c081ff=:0k81=574=902>4>>34?2:7?77:\7fp724=83?pR>9=;<7`4?4ek273n?4>869><77=91=0187;:0:4?xu4?80;68uQ363890da2;hh706m1;3;=>;?:90:445258095=1<uz9<<7>55z\035=:=ko1>om4=9`2>4>03429<7?77:?6=5<60>1v\7f>8i:186\7f[51n27>ni4=bb9><g6=913015?i:0::?83?m3;3;6s|37g94?3|V:<n70;mc;0ag>;?j90:4:5280d95=1<5<2h6<68;|q02a<72<qU?;j4=4`a>7dd342jj7?79:?;5`<6001695o51958yv51k3:19vP<6b9>1gg=:ki015oi:0:4?8>6m3;3;63:8982<2=z{:<i6=4:{_15f>;2j<09nn528`g95=?<51;o6<66;<7;2?7??2wx?;;50;7xZ60234?i87<mc:?;e`<60>164<j5195890?0282=7p}>6e83>7}Y9?n014?n:37;?xu6>k0;6?uQ17`89<7>2;?37p}>6883>7}Y9?3014?8:37;?xu6>10;6?uQ17:89<722;?37p}>6683>7}Y9?=014?;:37;?xu6>?0;6?uQ17489<742;?37p}>6483>7}Y9??014?=:37;?xu6>=0;6?uQ17689<762;?37p}>6283>7}Y9?9014??:37;?xu6>;0;6?uQ17089<6a2;?37p}>6083>7}Y9?;014>j:37;?xu6>90;6?uQ17289<6c2;?37p}>5d83>7}Y9<o014<7:37;?xu6=m0;6?uQ14f89<402;?37p}>5b83>7}Y9<i014<9:37;?xu6=k0;6?uQ14`89<422;?37p}>5`83>7}Y9<k014<;:37;?xu6=00;6?uQ14;89<442;?37p}>5983>7}Y9<2014<>:37;?xu6=>0;6?uQ14589<712;?37p}>5783>7}Y9<<014>m:37;?xu6=<0;6?uQ14789<6f2;?37p}>7583>7}Y9>>014<=:37;?xu6?:0;6?uQ16189<472;?37p}>7383>7}Y9>8014?i:37;?xu6?80;6?uQ16389<7b2;?37p}>7183>7}Y9>:014?k:37;?xu6>o0;6?uQ17d89<7d2;?37p}>6d83>7}Y9?o014?m:37;?xu6>h0;6?uQ17c89<7?2;?37p}>5g83>7}Y9<l014>l:37;?xu6==0;6?uQ14689<6>2;?37p}=c783>7}Y:j<01:lk:3``?xu5k=0;6?uQ2b6892dd2;hh7p}=c283>7}Y:j901:lm:3``?xu5k;0;6?uQ2b0892df2;hh7p}=cg83>7}Y:jl01:m::3``?xu5kl0;6?uQ2bg892e32;hh7p}=ce83>7}Y:jn01:m<:3``?xu5kj0;6?uQ2ba892e52;hh7p}=cc83>7}Y:jh01:m>:3``?xu5kh0;6?uQ2bc892e72;hh7p}=c883>7}Y:j301:li:3``?xu5k10;6?uQ2b:892db2;hh7p}=c683>7}Y:j=01:l6:3``?xu5k80;6?uQ2b3892d?2;hh7p}i4;29=~Xa<27i:k4ic:?a35<ak27i;<4ic:?a37<ak27i;>4ic:?a31<ak27i;84ic:?a33<ak2wxj?4?:8y]b7=:j?l1n:52b629f2=:j>;1n:52b609f2=:j>91n:52b669f2=:j>?1n:52b649f2=z{o:1<77t^g289g0a2k<01o9?:c489g162k<01o9=:c489g142k<01o9;:c489g122k<01o99:c48yvca2902wSki;<`5b?d234h<<7l:;<`45?d234h<>7l:;<`47?d234h<87l:;<`41?d234h<:7l:;|qfa?6=1rTni63m6g8a0>;e?90i863m708a0>;e?;0i863m728a0>;e?=0i863m748a0>;e??0i86s|ee83><}Ymm16n;h5b29>f26=j:16n:?5b29>f24=j:16n:=5b29>f22=j:16n:;5b29>f20=j:1v\7fhm50;;xZ`e<5k<m6lh4=c53>d`<5k=:6lh4=c51>d`<5k=86lh4=c57>d`<5k=>6lh4=c55>d`<uzoi6=46{_ga?8d1n3k?70l80;c7?8d093k?70l82;c7?8d0;3k?70l84;c7?8d0=3k?70l86;c7?xubi3:15vPja:?a2c<>127i;=469:?a34<>127i;?469:?a36<>127i;9469:?a30<>127i;;469:\7fpa<<720qUi452b749bf=:j?=1jn52b7:9bf=:j?31jn52b7c9bf=:j?h1jn52b7a9bf=:j?o1jn5rsd:94??|Vl201o89:c589g002k=01o87:c589g0>2k=01o8n:c589g0e2k=01o8l:c589g0b2k=0q~k8:18:\7f[c034h=:7l9;<`53?d134h=47l9;<`5=?d134h=m7l9;<`5f?d134h=o7l9;<`5a?d13tyn97>59z\f1>;e>?0i963m668a1>;e>10i963m688a1>;e>h0i963m6c8a1>;e>j0i963m6d8a1>{tm=0;64uQe59>f30=j=16n;95b59>f3>=j=16n;75b59>f3g=j=16n;l5b59>f3e=j=16n;k5b59~w`5=833pRh=4=c45>g5<5k<<6o=4=c4;>g5<5k<26o=4=c4b>g5<5k<i6o=4=c4`>g5<5k<n6o=4}rg1>5<>sWo970l96;ce?8d1?3km70l98;ce?8d113km70l9a;ce?8d1j3km70l9c;ce?8d1m3km7p}j1;29=~Xb927i:;4n4:?a22<f<27i:54n4:?a2<<f<27i:l4n4:?a2g<f<27i:n4n4:?a2`<f<2wxi=4?:8y]a5=:j?<15452b759=<=:j?215452b7;9=<=:j?k15452b7`9=<=:j?i15452b7g9=<=z{ml1<77t^ed89g1f2oi01o9m:ga89g1d2oi01o9k:ga89g1b2oi01o8<:ga89g032oi01o8::ga8yvbb2902wSjj;<`4e?d034h<n7l8;<`4g?d034h<h7l8;<`4a?d034h=?7l8;<`50?d034h=97l8;|qg`?6=1rToh63m7`8a2>;e?k0i:63m7b8a2>;e?m0i:63m7d8a2>;e>:0i:63m658a2>;e><0i:6s|db83><}Ylj16n:o5b49>f2d=j<16n:m5b49>f2b=j<16n:k5b49>f35=j<16n;:5b49>f33=j<1v\7fkl50;;xZcd<5k=j6o:4=c5a>g2<5k=h6o:4=c5g>g2<5k=n6o:4=c40>g2<5k<?6o:4=c46>g2<uzlj6=46{_db?8d0i3h870l8b;`0?8d0k3h870l8d;`0?8d0m3h870l93;`0?8d1<3h870l95;`0?xua13:15vPi9:?a3d<fn27i;o4nf:?a3f<fn27i;i4nf:?a3`<fn27i:>4nf:?a21<fn27i:84nf:\7fpb=<720qUj552b6c9e1=:j>h1m952b6a9e1=:j>n1m952b6g9e1=:j?91m952b769e1=:j??1m95rsg594??|Vo=01o9n:8;89g1e20301o9l:8;89g1c20301o9j:8;89g0420301o8;:8;89g022030q~h9:181\7f[`134h<5776;|qe1?6=:rTm963m798:=>{tn80;6?uQf09>f21=101v\7fh850;0xZ`0<5k<o6474}rfa>5<5sWni70l92;;:?xu6m00;6<7t=22a>73e34?h>777;<74a???34>j8777;<70e???34>=<777;<6e2???34>:o777;<:7e?0a342=978i;<:;1?0a342j<78i;<5g6?0a34=nh78i;<:3b?0a3429m78i;<41b?0a34<:878i;<46<?0a34<8h78i;<`56?70?27i:i4>769>f21=9>=01o97:054?8d013;<;6s|8d494?5|51o?6:;4=9g5>7dd342n979:;|q;a7<720;p15k;:3``?8>b>3<n70:j8;51?82b138>j63;ed846>;3mo0<>63;f1846>;3n80<>63;f3846>;3n:0<>63;f5846>;3n<0<>63;e`846>;3mm0<>63;ec846>;3mj0<>63;97811c=:<0=1;?5248a937=:<0n1;?5248g937=:<0l1;?524`2937=:<h;1;?524`0937=:<h91;?5248:937=:<0h1;?5248;937=:<0k1;?52440937=:<<91;?5244:937=:<<31;?5244c937=:<<h1;?5244a937=:<<n1;?5244g937=:<<l1;?52446937=:<<?1;?52444937=:<<=1;?52851960`<5>i26?;i;<4`1?1534<h879=;<4`7?1534<h>79=;<4``?1534<ho79=;<4`f?1534<hm79=;<4`=?1534<h479=;<4`3?1534<h:79=;<4`5?1534<h<79=;<51b?1534=9i79=;<51`?1534=9o79=;<503?1534=8:79=;<501?1534=8879=;<507?1534=8>79=;<505?1534=8<79=;<51f?1534=9m79=;<54g?1534=<n79=;<54e?1534=<579=;<5;0?1534=3?79=;<5;6?1534=3=79=;<5;4?1534=<j79=;<54a?1534=<h79=;<54<?1534=<;79=;<5:<?1534=2;79=;<5:2?1534=2979=;<5b4?1534=2j79=;<5:a?1534=2h79=;<5:g?1534=2n79=;<5:e?1534=2579=;<5:0?1534=2?79=;<5a`?1534=io79=;<5af?1534=im79=;<5`1?1534=h879=;<5`7?1534=h>79=;<5`5?1534=h<79=;<5ab?1534=ii79=;<5a=?1534=i479=;<`56?4cl27i:i4=de9>f21=:mn01o97:3fg?8d0138oh63m7`81`a=:j>h1>ij4=c5`>7bc34h<h7<kd:?a3`<5lm16n;=52ef89g032;no70l95;0g`>;e>?09hi52b7596ab<5k<36?jk;<`5=?4cl27i:l4=de9>f3d=:mn01o8l:3fg?8d1m38oh63m6g81`a=:j>:1>ij4=c52>7bc34h<>7<kd:?a36<5lm16n::52ef89g122;no70l86;0g`>{t0l=1<77i{<:f<?0b342n;7<mc:?543<5=o16:=9524d8936d2>801;>k:608936b2>801;>i:60893772>801;?>:60893752>801;?<:608936?2>801;>m:608936>2>801;>n:60890d32>8018l::37e?83ei3=970;mb;51?83ek3=970;md;51?83em3=970;mf;51?83d83=970;l1;51?83e>3=970;m9;51?83e?3=970;m8;51?830838>j63:70846>;2??0<>63:76846>;2?10<>63:78846>;2?h0<>63:7c846>;2?j0<>63:7e846>;2?;0<>63:74846>;2?:0<>63:75846>;2:j0<>63:2e846>;2;;0<>63:32846>;2;=0<>63:34846>;2;?0<>63:36846>;2;10<>63:38846>;2:l0<>63:2g846>;2;90<>63:30846>;??l099k52814960`<5?3?6:<4=7;0>24<5?396:<4=7;2>24<5?3h6:<4=7;a>24<5?3j6:<4=7;:>24<5?336:<4=7;4>24<5?3=6:<4=7;6>24<5?3;6:<4=7:e>24<5?o:6:<4=7g3>24<5?nm6:<4=7ff>24<5?o26:<4=7g;>24<5?o<6:<4=7g5>24<5?o>6:<4=7g7>24<5?o86:<4=7g1>24<5?no6:<4=7f`>24<5?lo6:<4=7d`>24<5?li6:<4=7db>24<5>:>6:<4=627>24<5>:86:<4=621>24<5>::6:<4=623>24<5?lm6:<4=7df>24<5?l26:<4=7d;>24<5><;6:<4=67e>24<5>?n6:<4=67g>24<5><36:<4=644>24<5><=6:<4=646>24<5><?6:<4=640>24<5><96:<4=642>24<5>?h6:<4=67a>24<51n26:<4=9f;>24<51n<6:<4=9f5>24<51o:6:<4=9g3>24<51nm6:<4=9ff>24<51no6:<4=9f`>24<51ni6:<4=9fb>24<51n>6:<4=9f7>24<5k<96?jl;<`5`?4ck27i;:4=db9>f2>=:mi01o96:3f`?8d0i38oo63m7c81`f=:j>i1>im4=c5g>7bd34h<i7<kc:?a26<5lj16n;:52ea89g022;nh70l96;0gg>;e>>09hn52b7:96ae<5k<26?jl;<`5e?4ck27i:o4=db9>f3e=:mi01o8j:3f`?8d1n38oo63m7181`f=:j>;1>im4=c51>7bd34h<?7<kc:?a31<5lj16n:;52ea89g112;nh7p}7e983>7}:0l21>om4=9g4>23<uz?nm7>53z?543<0=27>o?4=d79>1ad=:<20q~;i8;297~;18?09nn5282:95=1<5<ni6<69;|q6ac<72;q6:=95749>1`7=:<20q~;id;297~;18>09nn5282:95=?<5<ij6<68;|q6b5<72;q6:=m5749>1`4=:<20q~;ie;297~;18j09nn5282;95=1<5<ih6<68;|q6b4<72;q6:=j5749>1`5=:<20q~;if;297~;18m09nn5282;95=?<5<in6<68;|q6b7<72;q6:=k5749>1`2=:<20q~8?0;297~;18l09nn5282c95=1<5<n;6<68;|q6b6<72;q6:=h5749>1`3=:<20q~8?1;297~;18o09nn5282c95=?<5<n96<68;|q6b1<72;q6:<>5749>1`0=:<20q~8?2;297~;19909nn5282`95=1<5<n?6<68;|q6b0<72;q6:<?5749>1`1=:<20q~8?3;297~;19809nn5282`95=?<5<n=6<68;|q6b3<72;q6:<<5749>1`>=:<20q~8?4;297~;19;09nn5282a95=1<5<n36<68;|q6b2<72;q6:<=5749>1`?=:<20q~8?5;297~;19:09nn5282a95=?<5<nj6<68;|q6ag<72;q6:=65749>1ae=:<20q~;i9;297~;18109nn5282f95=1<5<i?6<68;|q6a`<72;q6:=l5749>1a`=:<20q~;ic;297~;18k09nn5282g95=?<5<o;6<68;|q6af<72;q6:=75749>1ab=:<20q~;ia;297~;18009nn5282f95=?<5<i=6<68;|q6aa<72;q6:=o5749>1ac=:<20q~;ib;297~;18h09nn5282g95=1<5<i36<68;|q6g7<72:q69n<524:890e>2?l018k>:7d8yv3di3:1?v3:c881`3=:=l;1=il4=4ab>73?3ty>o44?:2y>1f?=:<2018mm:7d890c52?l0q~;lc;297~;2kk09h;525d095ad<5<ih6?;7;|q6gg<72:q69nl524:890ec2?l018k<:7d8yv3dm3:1?v3:ce81`3=:=l91=il4=4af>73?3ty>oi4?:2y>1fb=:<2018mi:7d890c32?l0q~;k0;297~;2ko09h;525d695ad<5<n;6?;7;|q6gc<72:q69nh524:890b62?l018k::7d8yv3c:3:1?v3:d081`3=:=l?1=il4=4f1>73?3ty>h<4?:2y>1a7=:<2018j<:7d890c12?l0q~;k4;297~;2l:09h;525d495ad<5<n?6?;7;|q6`6<72:q69i=524:890b22?l018k8:7d8yv3c>3:1?v3:d481`3=:=l=1=il4=4f5>73?3ty>h84?:2y>1a3=:<2018j8:7d890c?2?l0q~;k8;297~;2l>09h;525d:95ad<5<n36?;7;|q6`2<72:q69i9524:890b>2?l018k6:7d8yv3ci3:1?v3:d881`3=:=l31=il4=4fb>73?3ty>h44?:2y>1a?=:<2018m<:7d890bd2?l0q~;l4;297~;2k:09h;525ea95ad<5<i?6?;7;|q6g6<72:q69n=524:890e22?l018jk:7d8yv3d>3:1?v3:c481`3=:=mn1=il4=4a5>73?3ty>o84?:2y>1f3=:<2018m8:7d890bb2?l0q~;l8;297~;2k>09h;525eg95ad<5<i36?;7;|q6g2<72;q69n9524:890ba2?l0q~;j0;296~;2lo0:ho525d2960><uz?j:7>53z?6f1<0=27>;h4=d79>1<1=:<20q~;nb;296~;2j<0<963:9e811==z{<kh6=4={<7ae?1234?2i7<:8:\7fp1db=838p18lm:67890?a2;?37p}:ad83>7}:=ki1;8525`2960><uz?jj7>52z?6fa<0=27>m<4=599~w0d72909w0;me;56?83f:38>46s|5c394?4|5<hm6:;4=4c0>73?3ty>n?4?:3y>1f6=?<169l:524:8yv3e;3:1>v3:c0841>;2i<09955rs4c4>5<5s4?i:79:;<7:<?4202wx9lo50;0x90d>2>?0187m:37;?xu2i10;6?u25c5930=:=031>864}r7b=?6=:r7>n5485:?6=d<5=11v\7f89j:180\7f830m38>463:8485b>;21m0=j6s|59494?5|5<2>6?j9;<7:`?7cj27>4;4=599~w0>22908w0;75;06<>;20>0=j63:9d85b>{t=121<7=t=4:4>7b134?2i7?kb:?6<=<5=11v\7f868:180\7f83??38>463:8885b>;21o0=j6s|59c94?5|5<226?j9;<7:b?7cj27>4l4=599~w0>>2908w0;79;06<>;20k0=j63:a185b>{t=1i1<7=t=4:a>7b134?j<7?kb:?6<f<5=11v\7f86m:180\7f83?j38>463:8e85b>;2i80=j6s|59g94?5|5<2o6?j9;<7b5?7cj27>4h4=599~w0>c2908w0;7d;06<>;20o0=j63:a385b>{t=0:1<7=t=4:e>7b134?j>7?kb:?6=5<5=11v\7f86i:180\7f83?n38>463:9085b>;2i:0=j6s|58094?5|5<3:6?j9;<7b7?7cj27>5?4=599~w0?62908w0;61;06<>;21:0=j63:a585b>{t=0>1<7=t=4;0>7b134?j87?kb:?6=1<5=11v\7f87<:180\7f83>;38>463:9485b>;2i<0=j6s|58494?5|5<3>6?j9;<7b1?7cj27>5;4=599~w0?22908w0;65;06<>;2?o0=j63:9985b>{t=1:1<7=t=45e>7b134?247?kb:?6<5<5=11v\7f89i:180\7f830n38>463:8085b>;2100=j6s|59094?5|5<2:6?j9;<7:=?7cj27>4?4=599~w0>62908w0;71;06<>;20:0=j63:9`85b>{t=1>1<7=t=4:0>7b134?2m7?kb:?6<1<5=11v\7f86<:181\7f83?;38>463:9c85b>{t=0i1<7<t=4;a>4be34?2o7<:8:\7fp0fe=839p19k7:67891g32;n=70:md;06<>{t<mk1<7=t=5g;>7dd34=mn7?77:?7fa<60?1v\7f9j>:181\7f82b13=>70:l3;06<>{t<ml1<7=t=5g:>7dd34=mn7?79:?7ef<60>1v\7f9j=:181\7f82bm3=>70:l4;06<>{t<l:1<7=t=5gf>7dd34=mo7?77:?7e`<60>1v\7f9j<:181\7f82bn3=>70:l5;06<>{t<l;1<7=t=5ge>7dd34=mo7?79:?7f5<60>1v\7f9j;:181\7f82a83=>70:l6;06<>{t<l81<7=t=5d3>7dd34=mh7?77:?7f7<60>1v\7f9j::181\7f82a93=>70:l7;06<>{t<l91<7=t=5d2>7dd34=mh7?79:?7f1<60>1v\7f9j9:181\7f82a:3=>70:l8;06<>{t<l>1<7=t=5d1>7dd34=mi7?77:?7f3<60>1v\7f9j8:181\7f82a;3=>70:l9;06<>{t<l?1<7=t=5d0>7dd34=mi7?79:?7f=<60>1v\7f9j7:181\7f82a<3=>70:la;06<>{t<l<1<7=t=5d7>7dd34=mj7?77:?7fd<60>1v\7f9j6:181\7f82a=3=>70:lb;06<>{t<l=1<7=t=5d6>7dd34=mj7?79:?7ff<60>1v\7f9mk:181\7f82bi3=>70:me;06<>{t<mh1<7=t=5gb>7dd342;<7?77:?7e3<60>1v\7f9j?:181\7f82bl3=>70:l1;06<>{t<mo1<7=t=5gg>7dd342;=7?79:?7g7<60>1v\7f9mj:181\7f82bj3=>70:mf;06<>{t<mi1<7=t=5ga>7dd342;<7?79:?7e=<60>1v\7f9mi:181\7f82bk3=>70:l0;06<>{t<mn1<7=t=5g`>7dd342;=7?77:?7ed<60>1v\7f9o;:180\7f82f<38>463;ac85b>;3k:0=j6s|4`a94?5|5=ki6?j9;<6`7?7cj27?mn4=599~w1ge2908w0:nb;06<>;3im0=j63;c585b>{t<ho1<7=t=5cg>7b134>h87?kb:?7e`<5=11v\7f9ok:180\7f82fl38>463;ag85b>;3k<0=j6s|4c294?5|5=km6?j9;<6`1?7cj27?n=4=599~w1ga2908w0:nf;06<>;3j80=j63;c785b>{t<k81<7=t=5`2>7b134>h:7?kb:?7f7<5=11v\7f9l>:180\7f82e938>463;b285b>;3k>0=j6s|4c694?5|5=h86?j9;<6`3?7cj27?n94=599~w1d42908w0:m3;06<>;3j<0=j63;c985b>{t<k<1<7=t=5`6>7b134>h47?kb:?7f3<5=11v\7f9l::180\7f82e=38>463;b685b>;3k00=j6s|4c:94?5|5=h<6?j9;<6`=?7cj27?n54=599~w1d02908w0:m7;06<>;3j00=j63;c`85b>{t<kk1<7=t=5`:>7b134>hm7?kb:?7fd<5=11v\7f9l6:180\7f82e138>463;bc85b>;3kk0=j6s|4ca94?5|5=hi6?j9;<6`f?7cj27?nn4=599~w1de2908w0:mb;06<>;3i<0=j63;bd85b>{t<h<1<7=t=5c6>7b134>ii7?kb:?7e3<5=11v\7f9o::180\7f82f=38>463;a685b>;3jo0=j6s|4`:94?5|5=k<6?j9;<6ab?7cj27?m54=599~w1g02908w0:n7;06<>;3i00=j63;c185b>{t<hk1<7=t=5c:>7b134>h<7?kb:?7ed<5=11v\7f9o6:181\7f82f138>463;c085b>{t<j81<7<t=5a2>4be34>h>7<:8:\7fp134=839p189?:678905f2;n=70;:3;06<>{t=?=1<7<t=452>23<5<?26?;7;|q62=<72;q69:85749>10g=:<20q~;99;296~;2?>0<963:5c811==z{<<j6=4={<74<?1234?>o7<:8:\7fp13d=838p1896:678903c2;?37p}:6b83>7}:=>k1;85254g960><uz?=h7>52z?63g<0=27>9k4=599~w00b2909w0;8c;56?831838>46s|57d94?4|5<=o6:;4=442>73?3ty>:>4?:3y>124=?<1698:524:8yv31>3:1>v3:74841>;2=>09955rs447>5<5s4?<?79:;<761?4202wx9;;50;0x90132>?018;9:37;?xu2;h0;6>u252c960><5<>:6;h4=47:>3`<uz??>7>53z?604<5l?1698751e`890252;?37p}:4083>6}:==;1>864=460>3`<5<?j6;h4}r770?6=;r7>8>4=d79>10g=9mh018:;:37;?xu2<:0;6>u2551960><5<>>6;h4=47a>3`<uz??:7>53z?600<5l?1698l51e`890212;?37p}:4483>6}:==?1>864=464>3`<5<?h6;h4}r77<?6=;r7>8:4=d79>10e=9mh018:7:37;?xu2<>0;6>u2555960><5<>26;h4=47g>3`<uz??m7>53z?60<<5l?1698j51e`8902f2;?37p}:4883>6}:==31>864=46a>3`<5<?n6;h4}r77g?6=;r7>8o4=d79>10c=9mh018:l:37;?xu2<k0;6>u255`960><5<>o6;h4=47e>3`<uz??i7>53z?60a<5l?1698h51e`8902b2;?37p}:4e83>6}:==n1>864=46e>3`<5<<;6;h4}r764?6=;r7>8k4=d79>136=9mh018;?:37;?xu2<o0;6>u255d960><5<?:6;h4=442>3`<uz?>>7>53z?614<5l?169;?51e`890352;?37p}:5083>6}:=<;1>864=41a>3`<5<??6;h4}r70g?6=;r7>?o4=d79>102=9mh018=l:37;?xu2;k0;6>u252`960><5<9o6;h4=476>3`<uz?8i7>53z?67a<5l?1698;51e`8905b2;?37p}:3e83>6}:=:n1>864=41e>3`<5<?=6;h4}r774?6=;r7>?k4=d79>100=9mh018:?:37;?xu2;o0;6?u252d960><5<?<6;h4}r76<?6=:r7>9:4>dc9>10>=:<20q~:78;297~;31?0<963;6181`3=:<>31>864}r6;`?6=:r7?5:485:?73c<5=11v\7f96j:181\7f82>k3=>70:70;06<>{t<1l1<7<t=5;g>23<5=2:6?;7;|q7=5<72;q684k5749>0=4=:<20q~:61;296~;31o0<963;82811==z{=396=4={<6b4?1234>387<:8:\7fp0<5=838p19o>:67891>22;?37p};9583>7}:<h81;852494960><uz>297>52z?7e6<0=27?4:4=599~w1>>2909w0:68;56?820i38>46s|49a94?4|5=3i6:;4=55g>73?3ty?4l4?:3y>0<?=?<168:l524:8yv2?j3:1>v3;9`841>;3?j09955rs543>5<4s4>=<7<:8:?722<1n27?;k49f:\7fp03>=839p1988:3f5?820n3;on63;69811==z{=<<6=4<{<653?42027?:449f:?7<5<1n2wx8;o50;1x910>2;n=70:70;3gf>;3>h09955rs54:>5<4s4>=57<:8:?72g<1n27?4<49f:\7fp03e=839p198m:3f5?82?93;on63;6b811==z{=<i6=4<{<65f?42027?:i49f:?7<7<1n2wx8;k50;1x910c2;n=70:72;3gf>;3>l09955rs54g>5<4s4>=h7<:8:?72c<1n27?4>49f:\7fp026=839p198i:3f5?82?;3;on63;71811==z{=<m6=4<{<65b?42027?;<49f:?7<1<1n2wx8:<50;1x91162;n=70:74;3gf>;3?;09955rs552>5<4s4><=7<:8:?736<1n27?4849f:\7fp022=839p199<:3f5?82?=3;on63;75811==z{==86=4<{<647?42027?;849f:?7<3<1n2wx8:850;1x91122;n=70:76;3gf>;3??09955rs556>5<4s4><97<:8:?732<1n27?4:49f:\7fp02>=839p1998:3f5?82??3;on63;79811==z{==<6=4<{<643?42027?:<49f:?73d<1n2wx8;<50;1x91062;n=70:8a;3gf>;3>;09955rs542>5<4s4>==7<:8:?726<1n27?;o49f:\7fp032=839p198<:3f5?820j3;on63;65811==z{=<86=4<{<657?42027?:849f:?73f<1n2wx8;850;1x91022;n=70:8c;3gf>;3>?09955rs546>5<5s4>=97<:8:?73a<1n2wx8:k50;0x911c28ni70:8e;06<>{t=8o1<7=t=40`>23<5=l=6?j9;<73b?4202wx9?=50;0x904c2>?018?::37;?xu2:=0;6?u2520930=:=8<1>864}r711?6=:r7>?>485:?652<5=11v\7f8<9:181\7f834<3=>70;>8;06<>{t=;=1<7<t=416>23<5<;26?;7;|q66=<72;q69>85749>14g=:<20q~;=9;296~;2;>0<963:1c811==z{<8j6=4={<70<?1234?:o7<:8:\7fp17d=838p18=6:678907c2;?37p}:1g83>7}:=;o1;852502960><uz?9<7>52z?66c<0=27>=<4=599~w0462909w0;<0;56?836:38>46s|53094?4|5<9:6:;4=430>73?3ty?j;4?:2y>0c0=:<2019hk:7d890722?l0q~:ie;297~;3nm09h;5250795ad<5=ln6?;7;|q7ba<72:q68kj524:891`a2?l018?9:7d8yv3783:1?v3;fg81`3=:=8<1=il4=423>73?3ty?jk4?:2y>0c`=:<2018>>:7d890702?l0q~;?2;297~;28809h;5250595ad<5<:96?;7;|q644<72:q69=?524:890642?l018?7:7d8yv37<3:1?v3:0281`3=:=821=il4=427>73?3ty><>4?:2y>155=:<2018>::7d8907>2?l0q~;?6;297~;28<09h;5250;95ad<5<:=6?;7;|q640<72:q69=;524:890602?l018?n:7d8yv3703:1?v3:0681`3=:=8k1=il4=42;>73?3ty><:4?:2y>151=:<2018>6:7d8907e2?l0q~;?a;297~;28009h;5250`95ad<5<:j6?;7;|q64<<72:q69=7524:8906e2?l018?l:7d8yv37k3:1?v3:0c81`3=:=8i1=il4=42`>73?3ty><o4?:2y>15d=:<2018>k:7d8907c2?l0q~;?e;297~;28m09h;5250f95ad<5<:n6?;7;|q64a<72:q69=j524:891`02?l018??:7d8yv2a03:1?v3;f681`3=:=8:1=il4=5d;>73?3ty?j:4?:2y>0c1=:<2019h6:7d890762?l0q~:ia;297~;3n009h;5250395ad<5=lj6?;7;|q7b<<72:q68k7524:891`e2?l018?=:7d8yv2ak3:1?v3;fc81`3=:=881=il4=5d`>73?3ty?jo4?:3y>0cd=:<2018?<:7d8yv36<3:1>v3:1282`g=:=8>1>864}r670?6=;r7?9?485:?75f<5l?168>;524:8yv2313:1>v3;52841>;3;k09955rs56b>5<5s4>>479:;<60g?4202wx89l50;0x913>2>?019=k:37;?xu3<j0;6?u244c930=:<:o1>864}r67`?6=:r7?9o485:?77c<5=11v\7f9:j:181\7f822k3=>70:;0;06<>{t<=l1<7<t=57g>23<5=>:6?;7;|q715<72;q688k5749>014=:<20q~::1;296~;3=o0<963;42811==z{=>>6=4={<660?1234>8:7<:8:\7fp010=838p19;::67891502;?37p};4683>7}:<<<1;85242:960><uz>?47>52z?712<0=27??44=599~w17d2908w0:>c;06<>;3::0=j63;3c85b>{t<;>1<7=t=500>7b134>8n7?kb:?761<5=11v\7f9<<:180\7f825;38>463;2485b>;3;j0=j6s|43494?5|5=8>6?j9;<60g?7cj27?>;4=599~w1422908w0:=5;06<>;3:>0=j63;3e85b>{t<;21<7=t=504>7b134>8h7?kb:?76=<5=11v\7f9<8:180\7f825?38>463;2885b>;3;l0=j6s|43c94?5|5=826?j9;<60a?7cj27?>l4=599~w14>2908w0:=9;06<>;3:k0=j63;3g85b>{t<;i1<7=t=50a>7b134>8j7?kb:?76f<5=11v\7f9<m:180\7f825j38>463;2e85b>;3<90=j6s|43g94?5|5=8o6?j9;<674?7cj27?>h4=599~w14c2908w0:=d;06<>;3:o0=j63;4085b>{t<::1<7=t=50e>7b134>?=7?kb:?775<5=11v\7f9<i:180\7f825n38>463;3085b>;3<;0=j6s|42094?5|5=9:6?j9;<676?7cj27???4=599~w1562908w0:<1;06<>;3;:0=j63;4285b>{t<:>1<7=t=510>7b134>??7?kb:?771<5=11v\7f9=<:180\7f824;38>463;1e85b>;3;?0=j6s|40g94?5|5=;o6?j9;<602?7cj27?=h4=599~w17c2908w0:>d;06<>;39o0=j63;3685b>{t<;:1<7=t=53e>7b134>8;7?kb:?765<5=11v\7f9?i:180\7f826n38>463;2085b>;3;10=j6s|43094?5|5=8:6?j9;<60<?7cj27?>?4=599~w1462909w0:=1;06<>;3;00=j6s|42c94?4|5=926<jm;<60e?4202wx48?50;0x9=2f2;n=706:8;06<>{t0=>1<7<t=96b>73?342?n78i;|q;17<72;q649l52e489=3>2;?37p}74483>7}:0=h1>864=96`>3`<uz2>?7>52z?;0f<5l?1648o524:8yv>3>3:1>v374b811==:0=n1:k5rs977>5<5s42?h7<k6:?;1g<5=11v\7f5:8:181\7f8>3l38>46374d85b>{t0<?1<7<t=96f>7b1342>o7<:8:\7fp<1>=838p15:j:37;?8>3n3<m7p}75783>7}:0=l1>i84=97g>73?3ty3844?:3y><1`=:<2015;?:7d8yv>2?3:1>v375181`3=:0<o1>864}r:4e?6=:r739=4=599><14=91k0q~69c;296~;?><09h;52861960><uz2>j7>52z?;20<5=1164;856g9~w=0c2909w0696;0g2>;??=09955rs943>5<5s42=:7<:8:?;22<1n2wx4;k50;0x9=002;n=70685;06<>{t0?;1<7<t=944>73?342=478i;|q;2c<72;q64;652e489=112;?37p}76383>7}:0?21>864=94:>3`<uz2<<7>52z?;2<<5l?164:9524:8yv>1;3:1>v3768811==:0?k1:k5rs952>5<5s42=m7<k6:?;3=<5=11v\7f58;:181\7f8>1i38>46376c85b>{t0>81<7<t=94a>7b1342<57<:8:\7fp<2d=838p158m:37;?8>3:3;356s|85394?4|51>86:;4=961>73?3ty34n4?:3y><=3=:m<0157<:37;?xu??o0;6?u2897960><512=6;h4}r:;`?6=:r734;4=d79><<2=:<20q~670;296~;?0?09955289592c=z{12n6=4={<:;3?4c>273584=599~w=>62909w0677;06<>;?010=j6s|89d94?4|51236?j9;<::2?4202wx45<50;0x9=>?2;?370679;4e?xu?190;6?u289;96a0<513<6?;7;|q;<6<72;q6457524:89=>f2?l0q~661;296~;?0h09h;5288:960><uz2387>52z?;<d<5=11645l56g9~w=?52909w067b;0g2>;?1009955rs9`6>5<5s423n7<:8:?;3a<60h1v\7f5o8:181\7f8>f838o:637ad811==z{13j6=4={<:b4?420273m<49f:\7fp<d>=838p15o>:3f5?8>fn38>46s|88`94?4|51k:6?;7;<:b6?0a3ty3m44?:3y><d4=:m<015l?:37;?xu?1j0;6?u28`0960><51k86;h4}r:be?6=:r73m>4=d79><g7=:<20q~66d;296~;?i:0995528`692c=z{1ki6=4={<:b0?4c>273n?4=599~w=?b2909w06n4;06<>;?i<0=j6s|8`a94?4|51k>6?j9;<:a7?4202wx44h50;0x9=g22;?3706n6;4e?xu?im0;6?u28`496a0<51h?6?;7;|q;f3<72;q64l8524:89=1c28227p}77b83>7}:0>o1;85286f960><uz=o57>52z?4`7<5l?16;h>524:8yv1dk3:1>v38d3811==:?m91:k5rs6fb>5<5s4=o?7<k6:?4a4<5=11v\7f:mk:181\7f81c;38>4638d585b>{t?mh1<7<t=6f7>7b134=n>7<:8:\7fp3fc=838p1:j;:37;?81c=3<m7p}8db83>7}:?m?1>i84=6g0>73?3ty<ok4?:3y>3a3=:<201:j9:7d8yv1cl3:1>v38d781`3=:?l>1>864}r5g4?6=:r7<h;4=599>3a1=>o1v\7f:jj:181\7f81c?38o:638e4811==z{>n:6=4={<5g3?42027<h549f:\7fp3a`=838p1:j7:3f5?81b>38>46s|81094?4|5>n36?;7;<5`f?7?12wx;k:50;0x92cc2;n=709ib;06<>{t?l=1<7<t=6gg>73?34=ni78i;|q4b0<72;q6;hk52e4892`d2;?37p}8e983>7}:?lo1>864=6ge>3`<uz=m:7>52z?4ac<5l?16;kj524:8yv1b13:1>v38eg811==:?o:1:k5rs6d4>5<5s4=m<7<k6:?4b`<5=11v\7f:kn:181\7f81a838>4638f085b>{t?o21<7<t=6d2>7b134=mj7<:8:\7fp3`d=838p1:h>:37;?81a:3<m7p}8f883>7}:?o81>i84=923>73?3ty<in4?:3y>3c4=:<201:h<:7d8yv1ai3:1>v38f281`3=:09;1>864}r:37?6=:r7<j>4=599>3fd=91k0q~9l7;296~;0k00=i638c9811==z{>ij6=4={<5`=?1234=hn7<:8:\7fp<40=838p15>i:3f5?8>6l38>46s|81;94?4|51:m6?;7;<:24?0a3ty3=:4?:3y><46=:m<015?j:37;?xu?8h0;6?u2802960><51;:6;h4}r:2<?6=:r73=<4=d79><4`=:<20q~6?b;296~;?9809955280092c=z{1;26=4={<:26?4c>273>=4=599~w=6d2909w06>2;06<>;?9:0=j6s|80c94?4|51;86?j9;<:15?4202wx4=j50;0x9=742;?3706>4;4e?xu?9k0;6?u280696a0<51896?;7;|q;4`<72;q64<:524:89=722?l0q~6>c;296~;?9<09h;52831960><uz28j7>52z?;50<5=1164=6519;8yv>493:1>v372`81`3=:0:21>864}r:10?6=:r73>l4=599><7d=>o1v\7f5==:181\7f8>5j38o:63738811==z{18>6=4={<:1f?420273>n49f:\7fp<65=838p15<l:3f5?8>4i38>46s|83494?4|518h6?;7;<:1`?0a3ty3?94?:3y><7b=:m<015=m:37;?xu?:>0;6?u283f960><518n6;h4}r:01?6=:r73>h4=d79><6e=:<20q~6=8;296~;?:l09955283d92c=z{19=6=4={<:1b?4c>273?i4=599~w=4>2909w06=f;06<>;?;90=j6s|82594?4|519;6?j9;<:0a?4202wx49>50;0x9=572;?3706?8;3;e>{t09>1<7<t=925>3c<51:>6?;7;|q;42<72;q64=85749><5>=:<20q~8k2;291~;11=0<9639e081ff=:>0o1=564=7c3>4>034<j>7?79:\7fp2<b=838p1;7<:67893?b2;?37p}99g83>7}:>081;8526`2960><uz<j=7>57z?5=4<0=27=m?4=599>2d2=91201;o9:0:4?80f03;35639a`82<d=:?8>1=5o4}r4b7?6=:r7=5n485:?5e1<5=11v\7f;o::181\7f80>j3=>708n6;06<>{t>h=1<7<t=7;b>23<5?k36?;7;|q5e<<72;q6:475749>2de=:<20q~8ne;296~;1110<9639ag811==z{?h;6=4={<4:3?1234<i=7<:8:\7fp34d=838p1;79:678927e2;?37p}81883>7}:>0?1;85270c960><uz=:;7>52z?5=5<0=27<=54=599~w2742909w087f;56?816;38>46s|6dg94?4|5?o:6:;4=7dg>7dd3ty=ii4?:3y>2`6=?<16:km52ca8yv0c93:18v39e181ff=:>0o1=594=7c3>4>?34<j>7?7a:\7fp2`e=838p1;ji:67893`e2;hh7p}9d183>6}:>ml1>om4=7c3>4>>34<j>7?77:\7fp2`d=838p1;jj:67893`f2;hh7p}9cg83>7}:>mo1>om4=7c1>4>?3ty=j:4?:3y>2`?=?<16;=;52ca8yv0cj3:1:v39e881ff=:>h>1=594=7c5>4>?34<j47?7a:?5ed<60016;<:519:8yv0a>3:1>v39e9841>;08=09nn5rs7fb>5<2s4<n47<mc:?5e3<60016:l65195893gf2823709>4;3;3>{t>o?1<7<t=7g4>23<5>:86?ll;|q5`<<72=q6:h952ca893g?2823708na;3;3>;1ik0:4:5rs7d7>5<5s4<n:79:;<536?4ek2wx:i650;4x93c12;hh709>b;3;<>;1ij0:4:526`d95=><5?h:6<66;<4bf?7?02wx:k=50;0x93c22>?01:>>:3``?xu1l>0;68u26d796ge<5>;i6<68;<4bb?7??27=n<4>899>2dd=9130q~8i2;296~;1m=0<96380181ff=z{?n=6=49{<4f0?4ek27<=n4>869>2g7=91=01:?<:0:;?816i3;346381982<==z{?l:6=4={<4f7?1234<mj7<mc:\7fp2a3=83?p1;k<:3``?816k3;346381282<2=:?8k1=594=63;>4>03ty=j=4?:3y>2`4=?<16:kk52ca8yv0c<3:1>v39e381ff=:>hh1=5o4}r4fb?6=:r7=hi485:?5b<<5jj1v\7f;j<:180\7f80cl38io6381482<2=:?821=574}r4fe?6=:r7=hn485:?5b=<5jj1v\7f;mj:181\7f80ck38io6381482<==z{?h=6=4={<4e`?1234<h97<mc:\7fp2g3=838p1;hl:67893e32;hh7p}9b583>7}:>oh1;8526b196ge<uz<i?7>52z?5bd<0=27=o?4=bb9~w3da2909w09?5;56?80dl38io6s|6cg94?4|5>:?6:;4=7a`>7dd3ty=ni4?:3y>355=?<16:nl52ca8yv0ek3:1>v3803841>;1kh09nn5rs7`a>5<5s4=;=79:;<4`=?4ek2wx:oo50;0x92672>?01;m7:3``?xu1j00;6?u26gd930=:>j=1>om4}r4a<?6=:r7=jh485:?5g3<5jj1v\7f;l8:181\7f80a13=>708l1;0ag>{t>k81<7<t=7d;>23<5?i;6?ll;|q443<72;q6:n:5749>2=4=:<20q~9?7;296~;1k:0<963982811==z{>:36=4={<4`6?1234<387<:8:\7fp35?=838p1;mk:67893>22;?37p}80`83>7}:>ji1;852694960><uz=;n7>52z?5gg<0=27=4:4=599~w26d2909w08la;56?80?038>46s|71f94?4|5?i26:;4=7::>73?3ty<<h4?:3y>2f>=?<16:5o524:8yv17n3:1>v39c6841>;10k09955rs633>5<5s4<h:79:;<4;g?4202wx;<?50;0x93e62>?01;6k:37;?xu09;0;6?u26b2930=:>1o1>864}r55`?6==r7<>k485:?43f<5jj16;>7519:8925e282<709<d;3;=>{t?:21<7<t=60f>23<5>926?;7;|q47d<72;q6;?j5749>36d=:<20q~9<c;293~;0:j0<96383e811==:?:l1=564=662>4>034=??7?79:?400<60h16;lh519c8yv14m3:1>v3836841>;0;o09955rs663>5<5s4=8:79:;<575?4202wx;9<50;0x92522>?01::<:37;?xu0<=0;6?u2726930=:?==1>864}r57=?6=:r7<?>485:?40d<5=11v\7f::m:181\7f814:3=>709;c;06<>{t?k<1<7<t=612>23<5>h=6?;7;|q4f1<72;q6;>>5749>3g3=:<20q~9m2;296~;0:k0<9638b2811==z{>kn6=4={<51e?1234=ji7<:8:\7fp3=?=838p1:9l:67892??2;hh7p}88983>7}:?>h1;85278596ge<uz==o7>54z?43g<5jj16;>751958925e2823709<d;3;e>{t?1=1<7<t=65b>23<5>3=6?ll;|q42g<72:q6;:o52ca8925e2822709<d;3;3>{t?1<1<7<t=65:>23<5>3>6?ll;|q42d<72;q6;:752ca8925c28237p}89383>7}:?1>1;8527`296ge<uz=<:7>56z?4<1<5jj16;>h5195892262823709;3;3;e>;0<<0:44527`d95=><uz=2=7>52z?4<6<0=27<5k4=bb9~w212290>w0973;0ag>;0<80:445275195=1<5>>>6<67;<5bb?7??2wx;4>50;0x92>52>?01:7j:3``?xu0?=0;69u279096ge<5>>86<67;<571?7??27<8;4>869~w2>a2909w0971;56?81>l38io6s|76194?0|5>2:6?ll;<5a2?7?027<8:4>869>31g=91201::l:0::?813>3;346s|79g94?4|5>2;6:;4=6;`>7dd3ty<;?4?:4y>3=6=:ki01:l9:0:4?813i3;3;6384b82<==:?=<1=574}r5;`?6=:r7<;k485:?4=g<5jj1v\7f:9>:185\7f810n38io638b682<2=:?=i1=594=6cf>4>?34=i97?78:?4f6<6011v\7f:6l:181\7f810m3=>7096a;0ag>{t?>:1<7;t=65f>7dd34=i;7?78:?4e`<60>16;o;5195892d4282<7p}88c83>7}:?>n1;85278;96ge<uz==j7>52z?43a<5jj16;98519c8yv1?i3:1>v3879841>;01=09nn5rs64f>5<4s4=<47<mc:?4f5<60>16;o=519;8yv1?=3:1>v3876841>;01:09nn5rs64:>5<5s4=<;7<mc:?4f5<6011v\7f:;>:181\7f81>03=>70990;0ag>{t?<:1<7<t=6;4>23<5>?m6?ll;|q40c<72;q6;485749>30c=:ki0q~9;e;296~;01<0<96385e81ff=z{>?j6=4={<5b4?1234==47<mc:\7fp30?=838p1:7i:67892002;hh7p}85983>7}:?0o1;85277496ge<uz=>;7>52z?4=a<0=27<:84=bb9~w2312909w096c;56?811<38io6s|74794?4|5>3i6:;4=640>7dd3ty<994?:3y>3<g=?<16;;<52ca8yv12;3:1>v3898841>;0>809nn5rs671>5<5s4=2879:;<56g?4ek2wx;9j50;0x92?42>?01:;m:3``?xu0i80;6?u274d930=:?8n1>864}r5b6?6=:r7<9h485:?45`<5=11v\7f:o<:181\7f812l3=>709>f;06<>{t?h>1<7<t=64;>23<5>8;6?;7;|q4e0<72;q6;;95749>377=:<20q~9n6;296~;0>?0<963823811==z{>k<6=4={<551?1234=9?7<:8:\7fp3d>=838p1:8;:67892432;?37p}8a883>7}:??91;852737960><uz=jm7>52z?427<0=27<>;4=599~w2ge2909w0991;56?815?38>46s|7`a94?4|5>?h6:;4=60;>73?3ty<mi4?:3y>30d=?<16;?7524:8yv06?3:1>v393285b>;19>09955rs75g>5<5s4<8?7?kb:?560<5=11v\7f5lm:181\7f804;38>4638be841>{t>8<1<7=t=711>3`<5?;<6;h4=735>73?3ty=;n4?:2y>264=9mh01;?8:3f5?805<38>46s|8cc94?4|5?996?;7;<5ag?123ty==84?:2y>267=>o16:<856g9>243=:<20q~88b;297~;1;80:ho5260496a0<5?886?;7;|q;f<<72;q6:>?524:892de2>?0q~8=0;297~;1;90=j6391485b>;1:909955rs75b>5<4s4<8<7?kb:?550<5l?16:?<524:8yv>e03:1>v3931811==:?kk1;85rs73e>5<4s4<8o78i;<414?0a34<:j7<:8:\7fp22?=839p1;=l:0fa?805838o:6392d811==z{1i?6=4={<40g?42027<o8485:\7fp24c=839p1;=m:7d8937a2?l01;?j:37;?xu1?10;6>u262`95ad<5?;m6?j9;<41`?4202wx4n=50;0x935e2;?3709l4;56?xu19m0;6>u262c92c=:>8o1:k5260f960><uz<<;7>53z?57d<6lk16:<k52e48934d2;?37p}7c383>7}:>:k1>864=6a0>23<uz<:o7>53z?57<<1n27==i49f:?55f<5=11v\7f;99:180\7f80413;on6391e81`3=:>;h1>864}r:`5?6=:r7=?44=599>3f4=?<1v\7f;?m:180\7f80403<m708>c;4e?806j38>46s|66794?5|5?936<jm;<42g?4c>27=>l4=599~w=e72909w08<8;06<>;0k80<96s|60c94?5|5?9<6;h4=73a>3`<5?;j6?;7;|q531<72:q6:>951e`8937e2;n=708=9;06<>{t0kl1<7<t=714>73?34=h<79:;|q55<<72:q6:>856g9>24g=>o16:<7524:8yv00;3:1?v393782`g=:>8k1>i84=70;>73?3ty3nh4?:3y>260=:<201:li:678yv0603:1?v393485b>;1900=j63919811==z{?=96=4<{<401?7cj27==44=d79>271=:<20q~6md;296~;1;<0995527cg930=z{?;?6=4<{<400?0a34<:478i;<420?4202wx::?50;1x935328ni708>8;0g2>;1:?09955rs9``>5<5s4<887<:8:?4f<<0=2wx::>50;1x934a28ni708>4;0g2>;1:809955rs9`4>5<5s4<9j7<:8:?4f=<0=2wx:9>50;0x933d2?l01;:?:37;?xu1>o0;6?u264a95ad<5?>n6?;7;|q;g<<72;q6:8m524:89=b>2>?0q~8<f;297~;1=k0=j6394185b>;1;o09955rs74f>5<4s4<>n7?kb:?505<5l?16:9j524:8yv>d03:1>v395c811==:0m21;85rs71f>5<4s4<>m78i;<40b?0a34<8i7<:8:\7fp23b=839p1;;n:0fa?804n38o:6394b811==z{1i<6=4={<46e?420273h:485:\7fp21?=839p1;;6:7d8935b2?l01;:6:37;?xu1>j0;6>u264;95ad<5?9n6?j9;<47f?4202wx4n850;0x933>2;?3706k6;56?xu1<10;6>u267792c=:>=31:k5265:960><uz<=n7>53z?520<6lk16:9752e4893302;?37p}7d383>7}:>??1>864=9g2>23<uz<?;7>53z?521<1n27=8549f:?502<5=11v\7f;8n:180\7f801<3;on6394981`3=:><<1>864}r:g5?6=:r7=:94=599><`6=?<1v\7f;:9:180\7f801;3<m708;7;4e?803>38>46s|67;94?5|5?<86<jm;<473?4c>27=984=599~w=b72909w0893;06<>;?lo0<96s|65794?5|5?<96;h4=765>3`<5?>>6?;7;|q52=<72:q6:;<51e`893212;n=708:4;06<>{t0jl1<7<t=741>73?342oi79:;|q501<72:q6:;?56g9>213=>o16:9:524:8yv01?3:1?v396082`g=:>=?1>i84=770>73?3ty3oh4?:3y>237=:<2015jk:678yv03;3:1?v396185b>;1<=0=j63942811==z{?<=6=4<{<454?7cj27=894=d79>204=:<20q~6ld;296~;1>90995528ea930=z{?>96=4<{<46b?0a34<??78i;<476?4202wx:5?50;1x933a28ni708;3;0g2>;1=809955rs9a`>5<5s4<>j7<:8:?;`g<0=2wx:9?50;1x933b2?l01;:=:7d893262;?37p}98183>6}:><o1=il4=761>7b134<><7<:8:\7fp<fd=838p1;;j:37;?8>ci3=>7p}93e83>6}:><n1:k5265392c=:>:n1>864}r44b?6=;r7=9i4>dc9>217=:m<01;:i:37;?xu?kh0;6?u264f960><51n>6:;4}r44a?6=;r7=954>dc9>26b=:m<01;:n:37;?xu?k<0;6?u264:960><51n?6:;4}rc02?6==r72>44>869>=7?=9120146<:0:4?8??;3;3463m63826==z{h2>6=4;1z?:6<<5l?1655=52e489<2e2;n=707n5;0g2>;>=>09h;529c396a0<50?j6?j9;<;a0?4c>2729o4=d79>=g3=:m<01l67:3``?8?f;38o:6364881`3=:1h>1>i84=86b>7b1343jj7<k6:?:10<5l?165oo52e489<072;n=707mc;0g2>;>>;09h;529cf96a0<50<86?j9;<;aa?4c>272:94=d79>=g`=:m<0148::3f5?8?d838o:6366781`3=:1j;1>i84=844>7b1343j:7<k6:?:0f<5l?165l952e489<2c2;n=707n8;0g2>;><l09h;529`;96a0<50>m6?j9;<;be?4c>2729=4=d79>=dd=:m<014;>:3f5?8?fk38o:6365381`3=:1hn1>i84=870>7b1343ji7<k6:?:11<5l?165o>52e489<312;n=707m2;0g2>;>=109h;529c196a0<50?26?j9;<;a2?4c>2729n4=d79>=g1=:m<014;k:3f5?8?e038o:6365d81`3=:1k31>i84=87e>7b1343in7<k6:?:24<5l?1v\7f4<6:181\7f8?5138>46360882<==z{0286=4={<;;7?420272<44>869~wd>12909=v360881`3=:19i1>i84=83;>7b1343:n7<k6:?:5f<5l?16m5752ca89<6f2;n=707?b;0g2>;>9?09h;5293396a0<50886?j9;<;10?4c>272>84=d79>=70=:m<014<8:3f5?8?5038o:6360e81`3=:19o1>i84=82e>7b1343:<7<k6:?:54<5l?165<<52e489<742;n=707>4;0g2>;>9<09h;5290596a0<50;26?j9;<;2e?4c>272=i4=d79>=4c=:m<014?i:3f5?8?5838o:6362381`3=z{h?;6=4:{<;7f?7??2728o4>899>=d3=91=014o::0:;?8d1l3;946s|93a94?4|50>i6?;7;<;3g?7?02wx55850;0x9<g22;?3707?c;3;3>{ti>n1<7;t=874>4>0343>;7?78:?:f4<60>165o?519:89g1028837p}63983>7}:1<=1>864=83;>4>?3ty25?4?:3y>=g7=:<2014?7:0:4?xuf9?0;68u294c95=1<50?j6<67;<;a0?7??272n94>899>f2>=9;20q~7<b;296~;>=h09955290`95=><uz3297>52z?:f1<5=1165<l51958yvg6?3:19v365c82<2=:1<h1=564=8`6>4>0343i97?78:?a3<<6:11v\7f4=l:181\7f8?2j38>46361b82<==z{03=6=4={<;a1?420272=n4>869~wg1a290:=v3n8885a>;f010=i63n8685a>;?n10:4l528g595=g<51l=6<6n;<:e1?7?i273j94>8`9><c5=913015h=:0:b?8>a93;3m63m81811==:j?81=:64=c4g>41?34h<;7?88:?a3=<6?116n:7516:8yvg?<3:1:iu2a9596ge<50n>6<68;<;46?7??272:54>869>=fe=91=014k?:0:4?8?a03;3;63n0382<2=:1>k1=594=8g:>4>0343m<7?77:?:b2<60>165k;519589d6f282<7078e;3;3>;>?m0:4:529g495=1<50i96<68;<c3`?7??27j<h4>869>=2`=91=014m<:0:4?8?d<3;3;636c682<2=:1j?1=594=`2e>4>03433=7?77:?:<5<60>165n8519589<e?282<70o>0;3;3>;f980:4:5299095=1<50i26<68;<;`e?7??272oh4>869>=fd=91=01l?=:0:4?8?a13;3;6366882<2=:1jn1=594=8ae>4>0343o<7?77:?:2d<60>165kl519589<0e282<707ia;3;3>;>l:0:4:529e395=1<50n96<68;<;eg?7??272:n4>869>=a2=91=014j9:0:4?8?c?3;3;6366e82<2=:1oo1=594=84f>4>0343mh7?77:?:`d<60>165i6519589<b>282<707if;3;3>;>>o0:4:529e`95=1<50nh6<68;<;g`?7??272;=4>869>e57=91=0149>:0:4?8g783;3;636e082<2=:1mo1=594=8fe>4>034k;?7?77:?:36<60>165h<519589<c4282<707j4;3;3>;>?=0:4:52a1795=1<5h:?6<68;<;41?7??272i:4>869>=`3=91=014k9:0:4?8g7>3;3;6367782<2=:1l21=594=8gb>4>0343nn7?77:?:32<60>16m=6519589<1?282<70o?7;3;3>;>ml0:4:529da95=1<50oo6<68;<c3=?7??272;44>869>=``=91=014h>:0:4?8?a:3;3;6367c82<2=:i9i1=594=85`>4>034k;n7?77:?:b6<60>165k:51958yv43l3:1>v38b6811==:?k<1=574}r57<?6==r7<n;4>8`9>311=91201::n:0::?813k3;3m63844811==z{;>n6=4={<52g?42027<=o4>889~w3gc290>w09>b;3;e>;1ij0:45526`d95=?<5?h:6<6n;<4be?4202wx5=>50;6x9=`72;?370l95;343>;e>l0:;:52b649521<uz2mj7>54z?;ac<5=116n;:516589g0d28=<70l85;343>{t0oo1<7:t=9gf>73?34h=?7?87:?a2g<6?>16n::51658yv>al3:18v37ee811==:j>o1=:94=c4b>41034h<?7?87:\7fp<ce=83>p15kl:37;?8d0l3;<;63m688232=:j>81=:94}r:ef?6=<r73io4=599>f2e=9>=01o87:054?8d093;<;6s|8gc94?2|51oj6?;7;<`4f?70?27i::4>769>f26=9>=0q~6i9;290~;?m0099552b6c9521<5k<=6<98;<`5b?70?2wx=k;50;0x92d72;?3709ne;3;=>{t?k;1<7:t=6cf>4>f34=i97?79:?4f6<60h16;lh524:8yv7a>3:1>v3814811==:?891=574}r522?6=<r7<=>4>8`9>34g=91301:?7:0:b?816<38>46s|91:94?2|51l36?;7;<`51?70027i:h4>799>f20=9>20q~7?7;290~;?n>099552b76952><5k<h6<97;<`41?7002wx5=850;6x9=`12;?370l93;34<>;e>k0:;552b66952><uz3;97>54z?;b0<5=116n:k516:89g0f28=370l83;34<>{t19>1<7:t=9d7>73?34h<h7?88:?a2<<6?116n:<516:8yv?7;3:18v37f2811==:j>i1=:64=c4;>41?34h<=7?88:\7fp=54=83>p15h=:37;?8d0j3;<463m66823==:j>:1=:64}r;35?6=<r73j<4=599>f2g=9>201o89:05;?8d1n3;<46s|8d194?4|5k2;6<66;<:f1?4ek2wx><<50;0x92ga2822709;6;3gg>{t:8?1<7<t=637>4>>34<jn7?kc:\7fpf15=838p14j::0:;?8d0k3;?86s|b5a94?4|50n>6<66;<`4`?73<2wxm:?50;0x9<b22;?3707n3;3;<>{ti0:1<7<t=851>4>?34h=87?;5:\7fpe<?=838p149=:0::?8d1=3;?96s|a0194?4|50=96?;7;<;7e?7?02wxm5h50;0x9<0?282370l94;370>{ti021<7<t=84;>4>>34h=97?;4:\7fpe0d=838p1487:37;?8?313;346s|b4794?4|50ih6<67;<`4a?73<2wxn8k50;0x9<ed282270l93;370>{ti?<1<7<t=8a`>73?343?57?77:\7fpf00=838p14k?:0:;?8d0m3;?96s|b4d94?4|50o;6<66;<`57?73=2wxm<750;0x9<c72;?3707;a;3;3>{tj:;1<7<t=8d;>4>?34h<m7?;4:\7fpf6g=838p14h7:0::?8d0j3;?86s|a6a94?4|50l36?;7;<;b7?7??2wxn><50;0x9d65282370l8a;371>{tj:h1<7<t=`21>4>>34h<n7?;5:\7fpe7c=838p1l>=:37;?8?f<3;3;6s|a8394?4|50=j6<67;<`50?73>2wxm4o50;0x9<1f282270l95;372>{ti;l1<7<t=85b>73?343>97?78:\7fpf12=838p14k6:0:;?8d0k3;?96s|b5f94?4|50o26<66;<`4`?73=2wxm?=50;0x9<c>2;?3707n4;3;<>{tj<=1<7<t=8d3>4>?34h<i7?;6:\7fpf36=838p14h?:0::?8d1;3;?:6s|a2294?4|50l;6?;7;<;61?7??2wxn9850;0x9<`0282370l8c;37b>{tj=l1<7<t=8d4>4>>34h<h7?;f:\7fpe63=838p14h8:37;?8?ei3;346s|b5794?4|50l>6<67;<`4g?73>2wxn9k50;0x9<`2282270l8d;372>{ti:;1<7<t=8d6>73?343jj7?78:\7fpf65=838p1l>n:0:;?8d0i3;?:6s|b2a94?4|5h:j6<66;<`4f?73>2wxm><50;0x9d6f2;?3707nf;3;3>{tim81<7<t=85f>4>?34h=o7?=8:\7fpead=838p149j:0::?8d1m3;946s|a2:94?4|50=n6?;7;<;56?7?02wxm4<50;0x9<1c282370l94;37b>{ti0h1<7<t=85g>4>>34h=97?;f:\7fpe65=838p149k:37;?8?183;346s|b4:94?4|50l=6<67;<`4a?73n2wxn;?50;0x9<`1282270l93;37b>{ti:>1<7<t=8d5>73?343=<7?77:\7fpef6=838p14m=:0:;?8d1i3;946s|ab;94?4|50i96<66;<`5f?7502wxm>750;0x9<e52;?370792;3;3>{tj:>1<7<t=`2g>4>?34h<m7?;f:\7fpf6b=838p1l>k:0::?8d0j3;?j6s|a2594?4|5h:o6?;7;<;ae?7??2wxm4m50;0x9d6b282370l96;31<>{tih?1<7<t=`2f>4>>34h=;7?=8:\7fpe6d=838p1l>j:37;?8?ek3;3;6s|ae194?4|50=m6<67;<`5g?74;2wxmim50;0x9<1a282270l9e;307>{ti:i1<7<t=85e>73?343=?7?78:\7fpedc=838p14m<:0:;?8d103;946s|ac594?4|50i86<66;<`5=?7502wxm>o50;0x9<e42;?3707mc;3;<>{tij;1<7<t=8a7>4>?34h=m7?<3:\7fpefg=838p14m;:0::?8d1j3;8?6s|a2f94?4|50i?6?;7;<;57?7??2wxmo>50;0x9<e0282370l98;30a>{tik31<7<t=8a4>4>>34h=57?<e:\7fpe14=838p14m8:37;?8?em3;346s|a`d94?4|50i>6<67;<`5<?74;2wxmo650;0x9<e2282270l99;307>{ti:o1<7<t=8a6>73?343ih7?78:\7fpe<b=838p1l>i:0:;?8d1>3;8?6s|a`494?4|5h:m6<66;<`53?74;2wxm>h50;0x9d6a2;?3707md;3;3>{tim?1<7<t=8:2>4>?34h=o7?;2:\7fpeac=838p146>:0::?8d1m3;?>6s|a5694?4|502:6?;7;<;51?7?02wxmi:50;0x9<>7282370l9c;30a>{timn1<7<t=8:3>4>>34h=i7?<e:\7fpe16=838p146?:37;?8?1<3;346s|ab094?4|50i=6<67;<`5e?74m2wxmnl50;0x9<e1282270l9b;30a>{ti=;1<7<t=8a5>73?343=87?77:\7fpef5=838p14m7:0:;?8d1i3;?>6s|aba94?4|50i36<66;<`5f?73:2wxm9;50;0x9<e?2;?370795;3;3>{ti0o1<7<t=`33>4>?34h=:7?<e:\7fped1=838p1l??:0::?8d1?3;8i6s|a5194?4|5h;;6?;7;<;aa?7??2wxm4h50;0x9d76282370l96;376>{tih21<7<t=`32>4>>34h=;7?;2:\7fpe11=838p1l?>:37;?8?en3;3;6s|ae494?4|50296<67;<`5g?73;2wxmih50;0x9<>5282270l9e;377>{ti=21<7<t=8:1>73?343=:7?78:\7fpeg7=838p14m6:0:;?8d103;?>6s|acc94?4|50i26<66;<`5=?73:2wxm9850;0x9<e>2;?3707mf;3;<>{tij>1<7<t=8ab>4>?34h=m7?;3:\7fpefb=838p14mn:0::?8d1j3;??6s|a5;94?4|50ij6?;7;<;52?7??2wxmo=50;0x9<eb282370l98;370>{tiki1<7<t=8af>4>>34h=57?;4:\7fpe1c=838p14mj:37;?8?d93;346s|ac094?4|50ii6<67;<`5<?73;2wxmol50;0x9<ee282270l99;377>{ti=k1<7<t=8aa>73?343h<7?78:\7fped6=838p1l?=:0:;?8d1>3;??6s|a`;94?4|5h;96<66;<`53?73;2wxm9l50;0x9d752;?3707l0;3;3>{tih;1<7<t=8d:>4>?34h=:7?;4:\7fpedg=838p14h6:0::?8d1?3;?86s|a5d94?4|50l26?;7;<;`5?7??2wxmi950;0x9<0>282370l9c;370>{til:1<7<t=84:>4>>34h=i7?;4:\7fpe1e=838p1486:37;?8?1?3;346s|ab794?4|50io6<67;<`5e?73<2wxmnk50;0x9<ec282270l9b;370>{ti=n1<7<t=8ag>73?343=;7?77:\7fpef0=838p14mi:0:;?8d1i3;?96s|abd94?4|50im6<66;<`5f?73=2wxm8<50;0x9<ea2;?3707;c;3;3>{tik>1<7<t=8f3>4>?34h=47?;5:\7fpegb=838p14j?:0::?8d113;?96s|a4194?4|50n;6?;7;<;b2?7?02wxmi650;0x9<0f282370l9c;371>{til;1<7<t=84b>4>>34h=i7?;5:\7fpe07=838p148n:37;?8?3k3;346s|a`194?4|50li6<67;<`52?73>2wxmlm50;0x9<`e282270l97;372>{ti<21<7<t=8da>73?343j;7?77:\7fpea?=838p148m:0:;?8d1k3;?:6s|ad094?4|50<i6<66;<`5a?73>2wxm8;50;0x9<0e2;?3707;d;3;<>{tih81<7<t=8db>4>?34h=:7?;5:\7fpedd=838p14hn:0::?8d1?3;?96s|a4694?4|50lj6?;7;<;b2?7??2wxmn650;0x9<b4282370l9a;37b>{tim;1<7<t=8f0>4>>34h=n7?;f:\7fpe0g=838p14j<:37;?8?3m3;3;6s|ab594?4|50n:6<67;<`5e?73>2wxmi>50;0x9<b6282270l9b;372>{ti<<1<7<t=8f2>73?343?h7?77:\7fpeg3=838p14j=:0:;?8d103;?:6s|acg94?4|50n96<66;<`5=?73>2wxm8950;0x9<b52;?3707n7;3;<>{tih>1<7<t=8d`>4>?34h=:7?;f:\7fpedb=838p14hl:0::?8d1?3;?j6s|a4f94?4|50lh6?;7;<;b<?7??2wxmio50;0x9<0d282370l9c;37b>{til91<7<t=84`>4>>34h=i7?;f:\7fpe0?=838p148l:37;?8?3m3;346s|ac494?4|50n?6<67;<`5<?73n2wxmoh50;0x9<b3282270l99;37b>{ti<i1<7<t=8f7>73?343j47?78:\7fpf5>=838p14j9:0:;?8d0;3;946s|b0394?4|50n=6<66;<`40?7502wxm8h50;0x9<b12;?3707;f;3;3>{tio<1<7<t=8f4>4>?34h<=7?=8:\7fpec`=838p14j8:0::?8d0:3;946s|a7294?4|50n<6?;7;<;b=?7?02wxn<o50;0x9<0c282370l85;31<>{tj;91<7<t=84g>4>>34h<:7?=8:\7fpe0c=838p148k:37;?8?3n3;346s|ad794?4|50ln6<67;<`5b?74;2wxmhk50;0x9<`b282270l80;307>{ti??1<7<t=8df>73?343jm7?77:\7fpf4d=838p148j:0:;?8d0=3;8?6s|b3694?4|50<n6<66;<`42?74;2wxm;<50;0x9<0b2;?3707:0;3;<>{til>1<7<t=8dg>4>?34h=j7?=8:\7fpe`b=838p14hk:0::?8d083;946s|a7394?4|50lo6?;7;<;b=?7??2wxn=o50;0x9<bf282370l83;30a>{tj891<7<t=8fb>4>>34h<87?<e:\7fpe3>=838p14jn:37;?8?293;3;6s|b1;94?4|50n36<67;<`47?74;2wxn<<50;0x9<b?282270l84;307>{ti?91<7<t=8f;>73?343><7?77:\7fpec1=838p14j6:0:;?8d093;8?6s|b1294?4|50n26<66;<`46?74;2wxm;:50;0x9<b>2;?3707na;3;<>{til<1<7<t=8de>4>?34h=j7?<e:\7fpe``=838p14hi:0::?8d083;8i6s|a7c94?4|50lm6?;7;<;bf?7??2wxn<m50;0x9<0a282370l85;30a>{tj;?1<7<t=84e>4>>34h<:7?<e:\7fpe31=838p148i:37;?8?293;346s|ag:94?4|50ni6<67;<`45?74m2wxn=?50;0x9<be282270l82;30a>{ti?31<7<t=8fa>73?343jn7?78:\7fpf5d=838p14jl:0:;?8d0;3;?>6s|b0694?4|50nh6<66;<`40?73:2wxm;m50;0x9<bd2;?3707:2;3;3>{tio31<7<t=8fg>4>?34h<=7?;2:\7fpf54=838p14jk:0::?8d0:3;?>6s|a7f94?4|50no6?;7;<;bg?7?02wxn<j50;0x9<17282370l85;376>{tj;<1<7<t=853>4>>34h<:7?;2:\7fpe3d=838p149?:37;?8?2:3;346s|ad:94?4|5h::6<67;<`5b?73;2wxmk?50;0x9d66282270l80;377>{ti>91<7<t=`22>73?343jh7?77:\7fpf4c=838p149>:0:;?8d0=3;??6s|b3594?4|50=:6<66;<`42?73;2wxm;h50;0x9<162;?3707:3;3;<>{til=1<7<t=`23>4>?34h=j7?;2:\7fpec6=838p1l>?:0::?8d083;?>6s|a7g94?4|5h:;6?;7;<;bg?7??2wxn=j50;0x9<c6282370l83;370>{tj8<1<7<t=8g2>4>>34h<87?;4:\7fpe23=838p14k>:37;?8?2<3;3;6s|b1a94?4|50nn6<67;<`47?73;2wxn<;50;0x9<bb282270l84;377>{ti>:1<7<t=8ff>73?343>?7?77:\7fpecg=838p14ji:0:;?8d093;??6s|b1194?4|50nm6<66;<`46?73;2wxm:<50;0x9<ba2;?3707nd;3;<>{til31<7<t=`20>4>?34h=j7?;4:\7fpec4=838p1l><:0::?8d083;?86s|a6594?4|5h:86?;7;<;ba?7??2wxn<h50;0x9<14282370l85;370>{tj;21<7<t=850>4>>34h<:7?;4:\7fpe22=838p149<:37;?8?2<3;346s|ag`94?4|50o96<67;<`45?73<2wxn=:50;0x9<c5282270l82;370>{ti><1<7<t=8g1>73?343ji7?78:\7fpf5c=838p14k<:0:;?8d0;3;?96s|b0594?4|50o86<66;<`40?73=2wxm:750;0x9<c42;?3707:6;3;3>{tioi1<7<t=8g7>4>?34h<=7?;5:\7fpf53=838p14k;:0::?8d0:3;?96s|a6c94?4|50o?6?;7;<;a4?7?02wxn?>50;0x9<13282370l85;371>{tj;31<7<t=857>4>>34h<:7?;5:\7fpe2>=838p149;:37;?8?2>3;346s|ad`94?4|5h:>6<67;<`5b?73>2wxmk:50;0x9d62282270l80;372>{ti1;1<7<t=`26>73?343i>7?77:\7fpe`g=838p1l>;:0:;?8d1n3;?96s|ag194?4|5h:?6<66;<`44?73=2wxm:l50;0x9d632;?3707m0;3;3>{tj;;1<7<t=856>4>?34h<97?;6:\7fpf7g=838p149::0::?8d0>3;?:6s|a6g94?4|50=>6?;7;<;6<?7?02wxn<>50;0x9<c0282370l83;37b>{tj831<7<t=8g4>4>>34h<87?;f:\7fpe=5=838p14k8:37;?8?213;3;6s|b1d94?4|50o>6<67;<`47?73>2wxn<650;0x9<c2282270l84;372>{ti>l1<7<t=8g6>73?343>47?77:\7fpecb=838p14k9:0:;?8d093;?:6s|b1494?4|50o=6<66;<`46?73>2wxm5>50;0x9<c12;?3707m2;3;<>{tili1<7<t=`25>4>?34h=j7?;f:\7fpec3=838p1l>9:0::?8d083;?j6s|a0794?4|5h:=6?;7;<;a7?7??2wxn?<50;0x9<11282370l85;37b>{tj;h1<7<t=855>4>>34h<:7?;f:\7fpe=4=838p1499:37;?8?213;346s|agg94?4|50o36<67;<`45?73n2wxn=950;0x9<c?282270l82;37b>{ti8>1<7<t=8g;>73?343i?7?78:\7fpf06=838p14kn:0:;?8d0m3;946s|b4;94?4|50oj6<66;<`57?7502wxm<o50;0x9<cf2;?3707:c;3;3>{tj:o1<7<t=8ga>4>?34h<o7?=8:\7fpf11=838p14km:0::?8d0l3;946s|a0`94?4|50oi6?;7;<;a2?7?02wxm5o50;0x9<10282370l94;31<>{ti091<7<t=854>4>>34h=97?=8:\7fpe4>=838p1498:37;?8?2k3;346s|b3f94?4|5h:36<67;<`4e?74;2wxn>850;0x9d6?282270l8b;307>{ti;:1<7<t=`2;>73?343i;7?77:\7fpe=d=838p1497:0:;?8d1<3;8?6s|a8694?4|50=36<66;<`51?74;2wxm<j50;0x9<1?2;?3707:d;3;<>{tj;i1<7<t=`24>4>?34h<m7?=8:\7fpf63=838p1l>8:0::?8d0j3;946s|a0a94?4|5h:<6?;7;<;a2?7??2wxn8<50;0x9<cb282370l8e;30a>{tj<h1<7<t=8gf>4>>34h=?7?<e:\7fpe74=838p14kj:37;?8?2m3;3;6s|b4394?4|50oh6<67;<`4a?74;2wxn8o50;0x9<cd282270l93;307>{ti8o1<7<t=8g`>73?343>h7?77:\7fpf6`=838p14kk:0:;?8d0k3;8?6s|b5:94?4|50oo6<66;<`4`?74;2wxm<h50;0x9<cc2;?3707m7;3;<>{tj;o1<7<t=`2:>4>?34h<m7?<e:\7fpf61=838p1l>6:0::?8d0j3;8i6s|a3794?4|5h:26?;7;<;a<?7??2wxm5m50;0x9<1>282370l94;30a>{ti0?1<7<t=85:>4>>34h=97?<e:\7fpe77=838p1496:37;?8?2m3;346s|b5294?4|50om6<67;<`4g?74m2wxn9750;0x9<ca282270l8d;30a>{ti;>1<7<t=8ge>73?343i47?78:\7fpf05=838p14h>:0:;?8d0m3;?>6s|b4a94?4|50l:6<66;<`57?73:2wxm?950;0x9<`62;?3707:f;3;3>{tj=;1<7<t=8d1>4>?34h<o7?;2:\7fpf1g=838p14h=:0::?8d0l3;?>6s|a3:94?4|50l96?;7;<;a=?7?02wxm5j50;0x9<1e282370l94;376>{ti0<1<7<t=85a>4>>34h=97?;2:\7fpe70=838p149m:37;?8?2n3;346s|b2294?4|5h:h6<67;<`4e?73;2wxn>750;0x9d6d282270l8b;377>{ti;n1<7<t=`2`>73?343in7?77:\7fpe=c=838p149l:0:;?8d1<3;??6s|a8594?4|50=h6<66;<`51?73;2wxm?o50;0x9<1d2;?370791;3;<>{tj;l1<7<t=`2a>4>?34h<m7?;2:\7fpf6>=838p1l>m:0::?8d0j3;?>6s|a3;94?4|5h:i6?;7;<;a=?7??2wxn8:50;0x9<`4282370l8e;377>{tj<n1<7<t=8d0>4>>34h=?7?;3:\7fpe7d=838p14h<:37;?8?193;3;6s|b5094?4|50l?6<67;<`4g?73;2wxn9l50;0x9<`3282270l8d;377>{ti;i1<7<t=8d7>73?343in7?78:\7fp==2=838p14o<:37;?8?7i3;3;6s|93c94?4|50>26?;7;<;3e?7?02wx55h50;0x9<g32;?3707?b;3;3>{t1:?1<7<t=86b>73?343;n7?78:\7fp=<g=838p14oi:37;?8?6>3;3;6s|95294?4|50?>6?;7;<;22?7?02wx54j50;0x9<df2;?3707=1;3;3>{t1=91<7<t=843>73?3439=7?78:\7fp=<c=838p14ll:37;?8?5;3;3;6s|95694?4|50<96?;7;<;17?7?02wx54h50;0x9<dc2;?3707=4;3;3>{t1=?1<7<t=840>73?343987?78:\7fp=d6=838p14lj:37;?8?5=3;3;6s|95494?4|50<?6?;7;<;11?7?02wx5l?50;0x9<da2;?3707=6;3;3>{t1==1<7<t=846>73?3439:7?78:\7fp=d4=838p14m?:37;?8?5?3;3;6s|95:94?4|50<=6?;7;<;13?7?02wx55;50;0x9<e62;?3707=8;3;3>{t1;h1<7<t=844>73?343947?78:\7fp==1=838p14o9:37;?8?7l3;3;6s|93f94?4|50>h6?;7;<;3`?7?02wx55650;0x9<g02;?3707?e;3;3>{t1;o1<7<t=86g>73?343;i7?78:\7fp==?=838p14o7:37;?8?7n3;3;6s|93d94?4|50>n6?;7;<;3b?7?02wx55o50;0x9<g>2;?3707>0;3;3>{t1::1<7<t=86e>73?343:<7?78:\7fp==d=838p14on:37;?8?693;3;6s|92394?4|50?;6?;7;<;25?7?02wx55m50;0x9<ge2;?3707>2;3;3>{t1:81<7<t=872>73?343:>7?78:\7fp==b=838p14ol:37;?8?6;3;3;6s|92194?4|50?96?;7;<;27?7?02wx55k50;0x9<gc2;?3707>4;3;3>{t1:>1<7<t=870>73?343:87?78:\7fp=<6=838p14oj:37;?8?6=3;3;6s|92494?4|50??6?;7;<;21?7?02wx54?50;0x9<d72;?3707>7;3;3>{t1:=1<7<t=875>73?343:;7?78:\7fp=<5=838p14l=:37;?8?613;3;6s|92;94?4|50?36?;7;<;2=?7?02wx54:50;0x9<d42;?3707>a;3;3>{t1:k1<7<t=87:>73?343:m7?78:\7fp=<1=838p14l9:37;?8?6l3;3;6s|92f94?4|50?h6?;7;<;2`?7?02wx54650;0x9<d02;?3707>e;3;3>{t1:o1<7<t=87g>73?343:i7?78:\7fp=<?=838p14l7:37;?8?6n3;3;6s|92d94?4|50?n6?;7;<;2b?7?02wx54l50;0x9<d>2;?3707=0;3;3>{t1=;1<7<t=87e>73?3439<7?78:\7fp=<e=838p14lm:37;?8?5:3;3;6s|95094?4|50<:6?;7;<;16?7?02wvn>>m:182>5<7s-8oi7<j4:J04<=O:l80c?;m:188yve283:1>vPl519>6a`=:m:0q~==6;2954}Y;;20Rn;<;_12`>X49j1U?<l4^23b?[55=2T8>95Q3318Z6453W99=6P<219]74`<V:;n7S=>9:\05==:;9k1=5:4}r127?6=:rT8=>522ed920=z{j?96=4={_a66>;5lo09h85rsb74>5<5sWi>;63=dg81b0=z{=;>6=4={_621>;5lo0:;;5rsb55>5<5sWi<:63=dg8043=z{j?>6=4={_a61>;5lo09i=5rs231>5<5sW9:>63=dg850>{tk:81<7<t^b11?84cn38h<6s|b9394?4|Vk2:70<kf;06e>{tk<<1<7<t^b75?84cn38n?6s|40c94?4|V=;j70<kf;3;6>{tj181<7<t^c:1?84cn38=<6s|c4:94?4|Vj?370<kf;0e2>{tjmk1<7<t^cfb?84cn383i6s|c7a94?4|Vj?n70<kf;0eg>{tk?k1<7<t^b7g?84cn38mm6s|c7;94?4|Vj?h70<kf;0e=>{tk?21<7<t^b7a?84cn38m46s|c6794?4|Vj<=70<kf;131>{tk>>1<7<t^b46?84cn39;86s|c6194?4|Vj<?70<kf;137>{tk>81<7<t^b40?84cn39;>6s|c6394?4|Vj<970<kf;135>{tk>:1<7<t^b42?84cn39;<6s|c7d94?4|Vj<;70<kf;0eb>{tk?o1<7<t^b7e?84cn38mi6s|c7f94?4|Vj?j70<kf;0e`>{tk?=1<7<t^b7:?84cn38m;6s|41a94?4|V:oh70<kf;35`>{t<9k1<7<t^2ga?84cn3;=n6s|41:94?4|V:o270<kf;35=>{t<9=1<7<t^2g;?84cn3;=46s|41494?4|V:o<70<kf;353>{t<9?1<7<t^2g5?84cn3;=:6s|41694?4|V:o>70<kf;351>{t<991<7<t^2g7?84cn3;=86s|41094?4|V:o870<kf;357>{t<9;1<7<t^2g1?84cn3;=>6s|41294?4|V:o:70<kf;355>{t;ol1<7<t^2g3?84cn3;=<6s|3gf94?4|V:nn70<kf;36a>{t;oi1<7<t^2fg?84cn3;>h6s|3g`94?4|V:nh70<kf;36g>{t;ok1<7<t^2fa?84cn3;>n6s|3g;94?4|V:nj70<kf;36e>{t;o21<7<t^2f:?84cn3;>56s|3g594?4|V:n370<kf;36<>{t;o<1<7<t^2f4?84cn3;>;6s|3g794?4|V:n=70<kf;362>{t;o>1<7<t^2f6?84cn3;>96s|40194?4|V:l970<kf;340>{t<881<7<t^2d2?84cn3;<?6s|40394?4|V:l;70<kf;346>{t<8:1<7<t^2ge?84cn3;<=6s|41d94?4|V:on70<kf;344>{t<9o1<7<t^2gg?84cn3;=j6s|41f94?4|V:oj70<kf;35a>{t<931<7<t^2fe?84cn3;=m6s|3gg94?4|V:n?70<kf;36b>{t;o91<7<t^2f0?84cn3;>86s|c5494?4|Vj9370<kf;0`2>{tk=>1<7<t^b14?84cn38h86s|c5194?4|Vj9=70<kf;0`7>{tk=81<7<t^b16?84cn38h>6s|c5d94?4|Vj>;70<kf;0`b>{tk=o1<7<t^b1e?84cn38hi6s|c5f94?4|Vj9n70<kf;0``>{tk=i1<7<t^b1g?84cn38ho6s|c5`94?4|Vj9h70<kf;0`f>{tk=k1<7<t^b1a?84cn38hm6s|c5;94?4|Vj9j70<kf;0`=>{tk=21<7<t^b1:?84cn38h46s|c5594?4|Vj9?70<kf;0`3>{tk=;1<7<t^b10?84cn38h=6s|bc194?4|Vkk>70<kf;041>{tjk;1<7<t^cc7?84cn38<?6s|bc294?4|Vkk870<kf;046>{tjhl1<7<t^cc1?84cn38<=6s|bca94?4|Vkko70<kf;04a>{tjkh1<7<t^cc`?84cn38<h6s|bcc94?4|Vkki70<kf;04g>{tjk31<7<t^ccb?84cn38<n6s|bc:94?4|Vkk270<kf;04e>{tjk=1<7<t^cc;?84cn38<56s|bc494?4|Vkk<70<kf;04<>{tjk?1<7<t^cc5?84cn38<;6s|bc694?4|Vkk:70<kf;042>{tjho1<7<t^cc3?84cn38<<6s|3b`94?4|V:ki70<kf;d7?xu4k00;6?uQ3`c897ba2o80q~=l7;296~X4i116>ih5f19~w6e12909wS=n7:?1`c<bn2wx?n;50;0xZ6g1348oj7kj;|q0g1<72;qU?l;4=3fe>`b<uz9h?7>52z\0e1=::ml1in5rs2a1>5<5sW9j?63=dg8ff>{t;j;1<7<t^2c1?84cn3oj7p}<c183>7}Y;h;01?ji:d;8yv5en3:1>vP<a19>6a`=m11v\7f>lj:181\7f[5>n279hk4j7:\7fp7ge=838pR>7k;<0gb?c23ty8no4?:3y]7<e<5;nm6h:4}r1ae?6=:rT85o522ed9a6=z{:h26=4={_1:e>;5lo0n>6s|3c:94?4|V:3270<kf;g2?xu4j>0;6?uQ38:897ba2l:0q~=m6;296~X41>16>ih5dg9~w6d22909wS=66:?1`c<cm2wx?o:50;0xZ6?2348oj7jk;|q0f6<72;qU?4:4=3fe>ae<uz9o>7>52z\0f4=::ml1jo5rs2f2>5<5sW9i<63=dg8ee>{t;m:1<7<t^2ce?84cn3l27p}<cg83>7}Y;ho01?ji:g:8yv5dm3:1>vP<ae9>6a`=n>1v\7f>mk:181\7f[5fk279hk4i6:\7fp7fe=838pR>o6;<0gb?`23ty8o54?:3y]7<c<5;nm6k?4}r1a`?6=:rT85>522ed9a3=z{:h96=4={_1:6>;5lo0on6s|c3:94?4|Vj;j70<kf;0a6>{tk;<1<7<t^b3:?84cn38i<6s|c3794?4|Vj;370<kf;0bb>{tk;>1<7<t^b34?84cn38ji6s|c2394?4|Vj8970<kf;0af>{tk::1<7<t^b02?84cn38im6s|c3d94?4|Vj8;70<kf;0a=>{tk;o1<7<t^b3e?84cn38i46s|c3f94?4|Vj;n70<kf;0a3>{tk;i1<7<t^b3g?84cn38i:6s|c3`94?4|Vj;h70<kf;0a1>{tk;k1<7<t^b3a?84cn38i86s|c3;94?4|Vj;=70<kf;0a7>{tk;91<7<t^b36?84cn38jh6s|c1`94?4|Vklo70<kf;0b7>{tk931<7<t^cd`?84cn38j=6s|c1:94?4|Vkli70<kf;0b4>{tk9=1<7<t^cdb?84cn382j6s|c0694?4|Vj:>70<kf;0bg>{tk891<7<t^b27?84cn38jn6s|c0094?4|Vj:870<kf;0be>{tk8;1<7<t^b21?84cn38j56s|c0294?4|Vj::70<kf;0b<>{tk9l1<7<t^b23?84cn38j;6s|c1g94?4|Vklm70<kf;0b2>{tk9n1<7<t^cdf?84cn38j96s|c1a94?4|Vkl270<kf;0b0>{tk9<1<7<t^cd;?84cn382i6s|bdg94?4|Vko;70<kf;0:0>{tjli1<7<t^cfe?84cn382>6s|bd`94?4|Vknn70<kf;0:5>{tjlk1<7<t^cfg?84cn382<6s|bg594?4|Vko370<kf;0:`>{tjo<1<7<t^cg4?84cn382o6s|bg794?4|Vko=70<kf;0:f>{tjo>1<7<t^cg6?84cn382m6s|bg194?4|Vko?70<kf;0:=>{tjo81<7<t^cg0?84cn38246s|bg394?4|Vko970<kf;0:3>{tjo:1<7<t^cg2?84cn382:6s|bdd94?4|Vknh70<kf;0:1>{tjl31<7<t^cfa?84cn383j6s|be294?4|Vki970<kf;0;0>{tjjo1<7<t^ca2?84cn383>6s|bbf94?4|Vki;70<kf;0;5>{tjji1<7<t^c`e?84cn383<6s|be;94?4|Vkij70<kf;0;`>{tjm21<7<t^ca:?84cn383o6s|be594?4|Vki370<kf;0;f>{tjm<1<7<t^ca4?84cn383m6s|be794?4|Vki=70<kf;0;=>{tjm>1<7<t^ca6?84cn38346s|be194?4|Vki?70<kf;0;3>{tjm81<7<t^ca0?84cn383:6s|be394?4|Vkhn70<kf;0;1>{tjjh1<7<t^c`g?84cn38<j6s|b8494?4|Vk2370<kf;052>{tj0>1<7<t^c:4?84cn38=86s|b8194?4|Vk2=70<kf;057>{tj081<7<t^c:6?84cn38=>6s|b8d94?4|Vk3;70<kf;05b>{tj0o1<7<t^c:e?84cn38=i6s|b8f94?4|Vk2n70<kf;05`>{tj0i1<7<t^c:g?84cn38=o6s|b8`94?4|Vk2h70<kf;05f>{tj0k1<7<t^c:a?84cn38=m6s|b8;94?4|Vk2j70<kf;05=>{tj021<7<t^c::?84cn38=46s|b8594?4|Vk2?70<kf;053>{tj0;1<7<t^c:0?84cn38==6s|23g94?7|5::i6?;m;|\7fp7fd=838pR>om;<44>6ge3-8n=7<;f:\7fp7f?=838pR>on;<44>6gf3-8n=7<:0:\7fp7f1=838pR>o7;<44>6g?3-8n=7<:1:\7fp7f0=838pR>o8;<44>6g03-8n=7<:2:\7fp7f3=838pR>o9;<44>6g13-8n=7<:3:\7fp7f2=838pR>o:;<44>6g23-8n=7?ja:\7fp7f5=838pR>o;;<44>6g33-8n=7?jb:\7fp7f4=838pR>o<;<44>6g43-8n=7?jc:\7fp7f7=838pR>o=;<44>6g53-8n=7?i3:\7fp7f6=838pR>o>;<44>6g63-8n=7?i7:\7fp7g`=838pR>o?;<44>6g73-8n=7?id:\7fp7gc=838pR>7i;<44>6?a3-8n=7<?8:\7fp7ge=838pR>7k;<44>6?c3-8n=7<>3:\7fp7gd=838pR>7l;<44>6?d3-8n=7<>d:\7fp7gg=838pR>7m;<44>6?e3-8n=7<=5:\7fp7g?=838pR>7n;<44>6?f3-8n=7<=f:\7fp7g>=838pR>76;<44>6?>3-8n=7<<a:\7fp7g1=838pR>77;<44>6??3-8n=7<<e:\7fp7g0=838pR>78;<44>6?03-8n=7<<f:\7fp7g3=838pR>79;<44>6?13-8n=7<;0:\7fp7g2=838pR>7:;<44>6?23-8n=7<;1:\7fp7g5=838pR>7;;<44>6?33-8n=7<;2:\7fp7a4=838pR>l>;<44>6d63-8n=7<;3:\7fp7a7=838pR>l?;<44>6d73-8n=7<;4:\7fp7a6=838pR>oi;<44>6ga3-8n=7<;5:\7fp7f`=838pR>oj;<44>6gb3-8n=7<;6:\7fp7fc=838pR>ok;<44>6gc3-8n=7<;7:\7fp7fb=838pR>ol;<44>6gd3-8n=7<;8:\7fp7fe=838pR>o6;<44>6g>3-8n=7<;9:\7fp7f>=838pR>7j;<44>6?b3-8n=7<;a:\7fp7gb=838pR>7<;<44>6?43-8n=7<;b:\7fp7g4=838pR>7=;<44>6?53-8n=7<;c:\7fpg64=838pRn==;<44>f553-8n=7?jd:\7fpg06=838pRn;?;<44>f373-8n=7?je:\7fpg04=838pRn;=;<44>f353-8n=7?jf:\7fpg0>=838pRn;7;<44>f3?3-8n=7?i0:\7fpg20=838pRn99;<44>f113-8n=7?i1:\7fp744=838pR>?=;<44>6753-8n=7?i2:\7fp745=838pR>?<;<44>6743-8n=7?i4:\7fp05e=838pR>kl;<44>6cd3-8n=7?i8:\7fp05g=838pR>km;<44>6ce3-8n=7?i9:\7fp05>=838pR>k6;<44>6c>3-8n=7?ia:\7fp051=838pR>k7;<44>6c?3-8n=7?ib:\7fp050=838pR>k8;<44>6c03-8n=7?ic:\7fp053=838pR>k9;<44>6c13-8n=7?ie:\7fp052=838pR>k:;<44>6c23-8n=7?if:\7fp055=838pR>k;;<44>6c33-8n=7<?0:\7fp054=838pR>k<;<44>6c43-8n=7<?1:\7fp057=838pR>k=;<44>6c53-8n=7<?2:\7fp056=838pR>k>;<44>6c63-8n=7<?3:\7fp7c`=838pR>k?;<44>6c73-8n=7<?4:\7fp7cb=838pR>jj;<44>6bb3-8n=7<?5:\7fp7ce=838pR>jk;<44>6bc3-8n=7<?6:\7fp7cd=838pR>jl;<44>6bd3-8n=7<?7:\7fp7cg=838pR>jm;<44>6be3-8n=7<?9:\7fp7c?=838pR>jn;<44>6bf3-8n=7<?a:\7fp7c>=838pR>j6;<44>6b>3-8n=7<?b:\7fp7c1=838pR>j7;<44>6b?3-8n=7<?c:\7fp7c0=838pR>j8;<44>6b03-8n=7<?d:\7fp7c3=838pR>j9;<44>6b13-8n=7<?e:\7fp7c2=838pR>j:;<44>6b23-8n=7<?f:\7fp045=838pR>h=;<44>6`53-8n=7<>0:\7fp044=838pR>h>;<44>6`63-8n=7<>1:\7fp047=838pR>h?;<44>6`73-8n=7<>2:\7fp046=838pR>ki;<44>6ca3-8n=7<>4:\7fp05`=838pR>kj;<44>6cb3-8n=7<>5:\7fp05c=838pR>kk;<44>6cc3-8n=7<>6:\7fp05b=838pR>kn;<44>6cf3-8n=7<>7:\7fp05?=838pR>ji;<44>6ba3-8n=7<>8:\7fp7cc=838pR>j;;<44>6b33-8n=7<>9:\7fp7c5=838pR>j<;<44>6b43-8n=7<>a:\7fp043=838pR9?:;<44>1723-8n=7<>b:\7fp04g=838pR9?n;<44>17f3-8n=7<>c:\7fpg10=838pRn=7;<44>f5?3-8n=7<>e:\7fpg12=838pRn=8;<44>f503-8n=7<>f:\7fpg15=838pRn=9;<44>f513-8n=7<=0:\7fpg14=838pRn=:;<44>f523-8n=7<=1:\7fpg1`=838pRn:?;<44>f273-8n=7<=2:\7fpg1c=838pRn=i;<44>f5a3-8n=7<=3:\7fpg1b=838pRn=j;<44>f5b3-8n=7<=4:\7fpg1e=838pRn=k;<44>f5c3-8n=7<=6:\7fpg1d=838pRn=l;<44>f5d3-8n=7<=7:\7fpg1g=838pRn=m;<44>f5e3-8n=7<=8:\7fpg1?=838pRn=n;<44>f5f3-8n=7<=9:\7fpg1>=838pRn=6;<44>f5>3-8n=7<=a:\7fpg11=838pRn=;;<44>f533-8n=7<=b:\7fpg17=838pRn=<;<44>f543-8n=7<=c:\7fpg3e=838pRn;j;<44>f3b3-8n=7<=d:\7fpg3g=838pRn;k;<44>f3c3-8n=7<<0:\7fpg3?=838pRn;l;<44>f3d3-8n=7<<1:\7fpg3>=838pRn;m;<44>f3e3-8n=7<<2:\7fpg23=838pRn89;<44>f013-8n=7<<3:\7fpg22=838pRn8:;<44>f023-8n=7<<4:\7fpg25=838pRn8;;<44>f033-8n=7<<5:\7fpg24=838pRn8<;<44>f043-8n=7<<6:\7fpg27=838pRn8=;<44>f053-8n=7<<7:\7fpg26=838pRn8>;<44>f063-8n=7<<8:\7fpg3`=838pRn8?;<44>f073-8n=7<<9:\7fpg3c=838pRn;i;<44>f3a3-8n=7<<b:\7fpg3b=838pRn;n;<44>f3f3-8n=7<<c:\7fpg31=838pRn;6;<44>f3>3-8n=7<<d:\7f~j670=3:1?vF=e39~j670>3:1?vF=e39~j670?3:1?vF=e39~j67003:1?vF=e39~j67013:1?vF=e39~j670i3:1?vF=e39~j670j3:1?vF=e39~j670k3:1?vF=e39~j670l3:1?vF=e39~j670m3:1?vF=e39~j670n3:1?vF=e39~j67?83:1?vF=e39~j67?93:1?vF=e39~j67?:3:1?vF=e39~j67?;3:1?vF=e39~j67?<3:1?vF=e39~j67?=3:1?vF=e39~j67?>3:1?vF=e39~j67??3:1?vF=e39~j67?03:1?vF=e39~j67?13:1?vF=e39~j67?i3:1?vF=e39~j67?j3:1?vF=e39~j67?k3:1?vF=e39~j67?l3:1?vF=e39~j67?m3:1?vF=e39~j67?n3:1?vF=e39~j67>83:1?vF=e39~j67>93:1?vF=e39~j67>:3:1?vF=e39~j67>;3:1?vF=e39~j67><3:1?vF=e39~j67>=3:1?vF=e39~j67>>3:1?vF=e39~j67>?3:1?vF=e39~j67>03:1?vF=e39~j67>13:1?vF=e39~j67>i3:1?vF=e39~j67>j3:1?vF=e39~j67>k3:1?vF=e39~j67>l3:1?vF=e39~j67>m3:1?vF=e39~j67>n3:1?vF=e39~j67f83:1?vF=e39~j67f93:1?vF=e39~j67f:3:1?vF=e39~j67f;3:1?vF=e39~j67f<3:1?vF=e39~j67f=3:1?vF=e39~j67f>3:1?vF=e39~j67f?3:1?vF=e39~j67f03:1?vF=e39~j67f13:1?vF=e39~j67fi3:1?vF=e39~j67fj3:1?vF=e39~j67fk3:1?vF=e39~j67fl3:1?vF=e39~j67fm3:1?vF=e39~j67fn3:1?vF=e39~j67e83:1?vF=e39~j67e93:1?vF=e39~j67e:3:1?vF=e39~j67e;3:1?vF=e39~j67e<3:1?vF=e39~j67e=3:1?vF=e39~j67e>3:1?vF=e39~j67e?3:1?vF=e39~j67e03:1?vF=e39~j67e13:1?vF=e39~j67ei3:1?vF=e39~j67ej3:1?vF=e39~j67ek3:1?vF=e39~j67el3:1?vF=e39~j67em3:1?vF=e39~j67en3:1?vF=e39~j67d83:1?vF=e39~j67d93:1?vF=e39~j67d:3:1?vF=e39~j67d;3:1?vF=e39~j67d<3:1?vF=e39~j67d=3:1?vF=e39~j67d>3:1?vF=e39~j67d?3:1?vF=e39~j67d03:1?vF=e39~j67d13:1?vF=e39~j67di3:1?vF=e39~j67dj3:1?vF=e39~j67dk3:1?vF=e39~j67dl3:1?vF=e39~j67dm3:1?vF=e39~j67dn3:1?vF=e39~j67c83:1?vF=e39~j67c93:1?vF=e39~j67c:3:1?vF=e39~j67c;3:1?vF=e39~j67c<3:1?vF=e39~j67c=3:1?vF=e39~j67c>3:1?vF=e39~j67c?3:1?vF=e39~j67c03:1?vF=e39~j67c13:1?vF=e39~j66e=3:1=vF=e39~j66em3:1;vF=e39~j67783:1=vF=e39~j67793:1=vF=e39~j677:3:1=vF=e39~j677;3:1=vF=e39~j677<3:1=vF=e39~j677=3:1=vF=e39~j677>3:1=vF=e39~j677?3:1=vF=e39~j67703:1=vF=e39~j67713:1=vF=e39~j677i3:1=vF=e39~j677j3:1=vF=e39~j677k3:1=vF=e39~j677l3:1=vF=e39~j675m3:1=vF=e39~j675n3:1=vF=e39~j67483:1=vF=e39~j67493:1=vF=e39~j674:3:1=vF=e39~j674;3:1=vF=e39~j674<3:1=vF=e39~j674=3:1=vF=e39~j674>3:1=vF=e39~j674?3:1=vF=e39~j67403:1=vF=e39~j67413:1=vF=e39~j674i3:1=vF=e39~j674j3:1=vF=e39~j674k3:1=vF=e39~j674l3:1=vF=e39~j674m3:1=vF=e39~j674n3:1=vF=e39~j67383:1=vF=e39~j67393:1=vF=e39~j673:3:1=vF=e39~j673;3:1=vF=e39~j673<3:1=vF=e39~j673=3:1=vF=e39~j673>3:1=vF=e39~j673?3:1=vF=e39~j67303:1=vF=e39~j67313:1=vF=e39~j673i3:1=vF=e39~j673j3:1=vF=e39~j673k3:1=vF=e39~j673l3:1=vF=e39~j673m3:1=vF=e39~j673n3:1=vF=e39~j67283:1=vF=e39~j67293:1=vF=e39~j672:3:1=vF=e39~j672;3:1=vF=e39~j672<3:1=vF=e39~j672=3:1=vF=e39~j672>3:1=vF=e39~j672?3:1=vF=e39~j67203:1=vF=e39~j67213:1=vF=e39~j672i3:1=vF=e39~j672j3:1=vF=e39~j672k3:1=vF=e39~j672l3:1=vF=e39~j672m3:1=vF=e39~j672n3:1=vF=e39~j67183:1=vF=e39~j67193:1=vF=e39~j671:3:1=vF=e39~j671;3:1=vF=e39~j671<3:1=vF=e39~j671=3:1=vF=e39~j671>3:1=vF=e39~j671?3:1=vF=e39~j67103:1=vF=e39~j67113:1=vF=e39~j671i3:1=vF=e39~j671j3:1=vF=e39~j671k3:1=vF=e39~j671l3:1=vF=e39~j671m3:1=vF=e39~j671n3:1=vF=e39~j67083:1=vF=e39~j67093:1=vF=e39~j670:3:1=vF=e39~j670;3:1=vF=e39~j7de?3:1=vF=e39~j7del3:1=vF=e39~j7dd;3:1=vF=e39~j7dd<3:1=vF=e39~j7dd=3:1=vF=e39~j7dd>3:1=vF=e39~j7dd?3:1=vF=e39~j7dd03:1=vF=e39~j7dd13:1=vF=e39~j7ddi3:1=vF=e39~j7ddj3:1=vF=e39~j7ddk3:1=vF=e39~j7ddl3:1=vF=e39~j7ddm3:1=vF=e39~j7ddn3:1=vF=e39~j7dc83:1=vF=e39~j7dc93:1=vF=e39~j7dc:3:1=vF=e39~j7dc;3:1=vF=e39~j7dc<3:1=vF=e39~j7dc=3:1=vF=e39~j7dc>3:1=vF=e39~j7dc?3:1=vF=e39~j7dc03:1=vF=e39~j7dc13:1=vF=e39~j7dci3:1=vF=e39~j7dcj3:1=vF=e39~j7dck3:1=vF=e39~j7dcl3:1=vF=e39~j7dcm3:1=vF=e39~j7dcn3:1=vF=e39~j7db83:1=vF=e39~j7db93:1=vF=e39~j7db:3:1=vF=e39~j7db;3:1=vF=e39~j7db<3:1=vF=e39~j7db=3:1=vF=e39~j7db>3:1=vF=e39~j7db?3:1=vF=e39~j7db03:1=vF=e39~j7db13:1=vF=e39~j7dbi3:1=vF=e39~j7dbj3:1=vF=e39~j7dbk3:1=vF=e39~j7dbl3:1=vF=e39~j7dbm3:1=vF=e39~j7dbn3:1=vF=e39~j7da83:1=vF=e39~j7da93:1=vF=e39~j7da:3:1=vF=e39~j7da;3:1=vF=e39~j7da<3:1=vF=e39~j7da=3:1=vF=e39~j7da>3:1=vF=e39~j7da?3:1=vF=e39~j7da03:1=vF=e39~j7da13:1=vF=e39~j7dai3:1=vF=e39~j7daj3:1=vF=e39~j7dak3:1=vF=e39~j7dal3:1=vF=e39~j7dam3:1=vF=e39~j7dan3:1=vF=e39~j7e783:1=vF=e39~j7e793:1=vF=e39~j7e7:3:1=vF=e39~j7e7;3:1=vF=e39~j7e7<3:1=vF=e39~j7e7=3:1=vF=e39~j7e7>3:1=vF=e39~j7e7?3:1=vF=e39~j7e703:1=vF=e39~j7e6?3:1=vF=e39~j7e603:1=vF=e39~j7e613:1=vF=e39~j7e6i3:1=vF=e39~j7e6j3:1=vF=e39~j7e6k3:1=vF=e39~j7e6l3:1=vF=e39~j7e6m3:1=vF=e39~j7e6n3:1=vF=e39~j7e583:1=vF=e39~j7e593:1=vF=e39~j7e5:3:1=vF=e39~j7e5;3:1=vF=e39~j7e5<3:1=vF=e39~j7e5=3:1=vF=e39~j7e5>3:1=vF=e39~j7e5?3:1=vF=e39~j7e503:1=vF=e39~j7e513:1=vF=e39~j7e5i3:1=vF=e39~j7e5j3:1=vF=e39~j7e5k3:1=vF=e39~j7e5l3:1=vF=e39~j7e5m3:1=vF=e39~j7e5n3:1=vF=e39~j7e483:1=vF=e39~j7e493:1=vF=e39~j7e4:3:1=vF=e39~j7e?93:1=vF=e39~j7e?:3:1=vF=e39~j7e?;3:1=vF=e39~j7e?<3:1=vF=e39~j7e?=3:1=vF=e39~j7e?>3:1=vF=e39~j7e??3:1=vF=e39~j7e?03:1=vF=e39~j7e?13:1=vF=e39~j7e?i3:1=vF=e39~j7e?j3:1=vF=e39~j7e?k3:1=vF=e39~j7e?l3:1=vF=e39~j7e?m3:1=vF=e39~j7e?n3:1=vF=e39~j7e>83:1=vF=e39~j7e>93:1=vF=e39~j7e>:3:1=vF=e39~j7e>;3:1=vF=e39~j7e><3:1=vF=e39~j7e>=3:1=vF=e39~j7e>>3:1=vF=e39~j7e>?3:1=vF=e39~j7e>03:1=vF=e39~j7e>13:1=vF=e39~j7e>i3:1=vF=e39~j7e>j3:1=vF=e39~j7e>k3:1=vF=e39~j7e>l3:1=vF=e39~j7e>m3:1=vF=e39~j7e>n3:1=vF=e39~j7ef83:1=vF=e39~j7ef93:1=vF=e39~j7ef:3:1=vF=e39~j7ef;3:1=vF=e39~j7ef<3:1=vF=e39~j7ef=3:1=vF=e39~j7ef>3:1=vF=e39~j7ef?3:1=vF=e39~j7ef03:1=vF=e39~j7ef13:1=vF=e39~j7efi3:1=vF=e39~j7efj3:1=vF=e39~j7efk3:1=vF=e39~j7efl3:1=vF=e39~j7efm3:1=vF=e39~j7efn3:1=vF=e39~j7ee83:1=vF=e39~j7ee93:1=vF=e39~j7ee:3:1=vF=e39~j7ee;3:1=vF=e39~j7ee<3:1=vF=e39~j7ee=3:1=vF=e39~j7ee>3:1=vF=e39~j7ee?3:1=vF=e39~j7ee03:1=vF=e39~j7ee13:1=vF=e39~j7eei3:1=vF=e39~j7eej3:1=vF=e39~j7eek3:1=vF=e39~j7eel3:1=vF=e39~j7eem3:1=vF=e39~j7een3:1=vF=e39~j7ed83:1=vF=e39~j7ed93:1=vF=e39~j7ed:3:1=vF=e39~j7ed;3:1=vF=e39~j7ed<3:1=vF=e39~j7ed=3:1=vF=e39~j7ed>3:1=vF=e39~j7ed?3:1=vF=e39~j7ed03:1=vF=e39~j7ed13:1=vF=e39~j7edi3:1=vF=e39~j7edj3:1=vF=e39~j7edk3:1=vF=e39~j7edl3:1=vF=e39~j7edm3:1=vF=e39~j7edn3:1=vF=e39~j7ec83:1=vF=e39~j7ec93:1=vF=e39~j7ec:3:1=vF=e39~j7ec;3:1=vF=e39~j7ec<3:1=vF=e39~j7ec=3:1=vF=e39~j7ec>3:1=vF=e39~j7ec?3:1=vF=e39~j7ec03:1=vF=e39~j7ec13:1=vF=e39~j7eci3:1=vF=e39~j7ecj3:1=vF=e39~j7eck3:1=vF=e39~j7ecl3:1=vF=e39~j7ecm3:1=vF=e39~j7ecn3:1=vF=e39~j7eb83:1=vF=e39~j7eb93:1=vF=e39~j7eb:3:1=vF=e39~j7eb;3:1=vF=e39~j7eb<3:1=vF=e39~j7eb=3:1=vF=e39~j7eb>3:1=vF=e39~j7eb?3:1=vF=e39~j7eb03:1=vF=e39~j7eb13:1=vF=e39~j7ebi3:1=vF=e39~j7ebj3:1=vF=e39~j7ebk3:1=vF=e39~j7ebl3:1=vF=e39~j7ebm3:1=vF=e39~j7ebn3:1=vF=e39~j7ea83:1=vF=e39~j7ea93:1=vF=e39~j7ea:3:1=vF=e39~j7ea;3:1=vF=e39~j7ea<3:1=vF=e39~j7ea=3:1=vF=e39~j7ea>3:1=vF=e39~j7ea?3:1=vF=e39~j7ea03:1=vF=e39~j7ea13:1=vF=e39~j7eai3:1=vF=e39~j7eaj3:1=vF=e39~j7eak3:1=vF=e39~j7eal3:1=vF=e39~j7eam3:1=vF=e39~j7ean3:1=vF=e39~j7b783:1=vF=e39~j7b793:1=vF=e39~j7b7:3:1=vF=e39~j7b7;3:1=vF=e39~j7b7<3:1=vF=e39~j7b7=3:1=vF=e39~j7b7>3:1=vF=e39~j7b7?3:1=vF=e39~j7b703:1=vF=e39~j7b713:1=vF=e39~j7b7i3:1=vF=e39~j7b7j3:1=vF=e39~j7b7k3:1=vF=e39~j7b7l3:1=vF=e39~j7b7m3:1=vF=e39~j7b7n3:1=vF=e39~j7b683:1=vF=e39~j7b693:1=vF=e39~j7b6:3:1=vF=e39~j7b6;3:1=vF=e39~j7b6<3:1=vF=e39~j7b6=3:1=vF=e39~j7b6>3:1=vF=e39~j7b6?3:1=vF=e39~j7b603:1=vF=e39~j7b613:1=vF=e39~j7b6i3:1=vF=e39~j7b6j3:1=vF=e39~j7b6k3:1=vF=e39~j7b6l3:1=vF=e39~j7b6m3:1=vF=e39~j7b6n3:1=vF=e39~j7b583:1=vF=e39~j7b593:1=vF=e39~j7b5:3:1=vF=e39~j7b5;3:1=vF=e39~j7b5<3:1=vF=e39~j7b5=3:1=vF=e39~j7b5>3:1=vF=e39~j7b5?3:1=vF=e39~j7b503:1=vF=e39~j7b513:1=vF=e39~j7b5i3:1=vF=e39~j7b5j3:1=vF=e39~j7b5k3:1=vF=e39~j7b5l3:1=vF=e39~j7b5m3:1=vF=e39~j7b5n3:1=vF=e39~j7b483:1=vF=e39~j7b493:1=vF=e39~j7b4:3:1=vF=e39~j7b4;3:1=vF=e39~j7b4<3:1=vF=e39~j7b4=3:1=vF=e39~j7b4>3:1=vF=e39~j7b4?3:1=vF=e39~j7b403:1=vF=e39~j7b413:1=vF=e39~j7b4i3:1=vF=e39~j7b4j3:1=vF=e39~j7b4k3:1=vF=e39~j7b4l3:1=vF=e39~j7b4m3:1=vF=e39~j7b4n3:1=vF=e39~j7b383:1=vF=e39~j7b393:1=vF=e39~j7b3:3:1=vF=e39~j7b3;3:1=vF=e39~j7b3<3:1=vF=e39~j7b3=3:1=vF=e39~j7b3>3:1=vF=e39~j7b3?3:1=vF=e39~j7b303:1=vF=e39~j7b313:1=vF=e39~j7b3i3:1=vF=e39~j7b3j3:1=vF=e39~j7b3k3:1=vF=e39~j7b3l3:1=vF=e39~j7b3m3:1=vF=e39~j7b3n3:1=vF=e39~j7b283:1=vF=e39~j7b293:1=vF=e39~j7b2:3:1=vF=e39~j7b2;3:1=vF=e39~j7b2<3:1=vF=e39~j7b2=3:1=vF=e39~j7b2>3:1=vF=e39~j7b2?3:1=vF=e39~j7b203:1=vF=e39~j7b213:1=vF=e39~j7b2i3:1=vF=e39~j7b2j3:1=vF=e39~j7b2k3:1=vF=e39~j7b2l3:1=vF=e39~j7b2m3:1=vF=e39~j7b2n3:1=vF=e39~j7b183:1=vF=e39~j7b193:1=vF=e39~j7b1:3:1=vF=e39~j7b1;3:1=vF=e39~j7b1<3:1=vF=e39~j7b1=3:1=vF=e39~j7b1>3:1=vF=e39~j7b1?3:1=vF=e39~j7b103:1=vF=e39~j7b113:1=vF=e39~j7b1i3:1=vF=e39~j7b1j3:1=vF=e39~j7b1k3:1=vF=e39~j7b1l3:1=vF=e39~j7b1m3:1=vF=e39~j7b1n3:1=vF=e39~j7b083:1=vF=e39~j7b093:1=vF=e39~j7b0:3:1=vF=e39~j7b0;3:1=vF=e39~j7b0<3:1=vF=e39~j7b0=3:1=vF=e39~j7b0>3:1=vF=e39~j7b0?3:1=vF=e39~j7b003:1=vF=e39~j7b013:1=vF=e39~j7b0i3:1=vF=e39~j7b0j3:1=vF=e39~j7b0k3:1=vF=e39~j7b0l3:1=vF=e39~j7b0m3:1=vF=e39~j7b0n3:1=vF=e39~j7b?83:1=vF=e39~j7b?93:1=vF=e39~j7b?:3:1=vF=e39~j7b?;3:1=vF=e39~j7b?<3:1=vF=e39~j7b?=3:1=vF=e39~j7b?>3:1=vF=e39~j7b??3:1=vF=e39~j7b?03:1=vF=e39~j7b?13:1=vF=e39~j7b?i3:1=vF=e39~j7b?j3:1=vF=e39~j7b?k3:1=vF=e39~j7b?l3:1=vF=e39~j7b?m3:1=vF=e39~j7b?n3:1=vF=e39~j7b>83:1=vF=e39~j7b>93:1=vF=e39~j7b>:3:1=vF=e39~j7b>;3:1=vF=e39~j7b><3:1=vF=e39~j7b>=3:1=vF=e39~j7b>>3:1=vF=e39~j7b>?3:1=vF=e39~j7b>03:1=vF=e39~j7b>13:1=vF=e39~j7b>i3:1=vF=e39~j7b>j3:1=vF=e39~j7b>k3:1=vF=e39~j7b>l3:1=vF=e39~j7b>m3:1=vF=e39~j7b>n3:1=vF=e39~j7bf83:1=vF=e39~j7bf93:1=vF=e39~j7bf:3:1=vF=e39~j7bf;3:1=vF=e39~j7bf<3:1=vF=e39~j7bf=3:1=vF=e39~j7bf>3:1=vF=e39~j7bf?3:1=vF=e39~j7bf03:1=vF=e39~j7bf13:1=vF=e39~j7bfi3:1=vF=e39~j7bfj3:1=vF=e39~j7bfk3:1=vF=e39~j7bfl3:1=vF=e39~j7bfm3:1=vF=e39~j7bfn3:1=vF=e39~j7be83:1=vF=e39~j7be93:1=vF=e39~j7be:3:1=vF=e39~j7be;3:1=vF=e39~j7be<3:1=vF=e39~j7be=3:1=vF=e39~j7be>3:1=vF=e39~j7be?3:1=vF=e39~j7be03:1=vF=e39~j7be13:1=vF=e39~j7bei3:1=vF=e39~j7bej3:1=vF=e39~j7bek3:1=vF=e39~j7bel3:1=vF=e39~j7bem3:1=vF=e39~j7ben3:1=vF=e39~j7bd83:1=vF=e39~j7bd93:1=vF=e39~j7bd:3:1=vF=e39~j7bd;3:1=vF=e39~j7bd<3:1=vF=e39~j7bd=3:1=vF=e39~j7bd>3:1=vF=e39~j7bd?3:1=vF=e39~j7bd03:1=vF=e39~j7bd13:1=vF=e39~j7bdi3:1=vF=e39~j7bdj3:1=vF=e39~j7bdk3:1=vF=e39~j7bdl3:1=vF=e39~j7bdm3:1=vF=e39~j7bdn3:1=vF=e39~j7bc83:1=vF=e39~j7bc93:1=vF=e39~j7bc:3:1=vF=e39~j7bc;3:1=vF=e39~j7bc<3:1=vF=e39~j7bc=3:1=vF=e39~j7bc>3:1=vF=e39~j7bc?3:1=vF=e39~j7bc03:1=vF=e39~j7bc13:1=vF=e39~j7bci3:1=vF=e39~j7bcj3:1=vF=e39~j7bck3:1=vF=e39~j7bcl3:1=vF=e39~j7bcm3:1=vF=e39~j7bcn3:1=vF=e39~j7bb83:1=vF=e39~j7bb93:1=vF=e39~j7bb:3:1=vF=e39~j7bb;3:1=vF=e39~j7bb<3:1=vF=e39~j7bb=3:1=vF=e39~j7bb>3:1=vF=e39~j7bb?3:1=vF=e39~j7bb03:1=vF=e39~j7bb13:1=vF=e39~j7bbi3:1=vF=e39~j7bbj3:1=vF=e39~j7bbk3:1=vF=e39~j7bbl3:1=vF=e39~j7bbm3:1=vF=e39~j7bbn3:1=vF=e39~j7ba83:1=vF=e39~j7ba93:1=vF=e39~j7ba:3:1=vF=e39~j7ba;3:1=vF=e39~j7ba<3:1=vF=e39~j7ba=3:1=vF=e39~j7ba>3:1=vF=e39~j7ba?3:1=vF=e39~j7ba03:1=vF=e39~j7ba13:1=vF=e39~j7bai3:1=vF=e39~j7baj3:1=vF=e39~j7bak3:1=vF=e39~j7bal3:1=vF=e39~j7bam3:1=vF=e39~j7ban3:1=vF=e39~j7c783:1=vF=e39~j7c793:1=vF=e39~j7c7:3:1=vF=e39~j7c7;3:1=vF=e39~j7c7<3:1=vF=e39~j7c7=3:1=vF=e39~j7c7>3:1=vF=e39~j7c7?3:1=vF=e39~j7c703:1=vF=e39~j7c713:1=vF=e39~j7c7i3:1=vF=e39~j7c7j3:1=vF=e39~j7c7k3:1=vF=e39~j7c7l3:1=vF=e39~j7c7m3:1=vF=e39~j7c7n3:1=vF=e39~j7c683:1=vF=e39~j7c693:1=vF=e39~j7c6:3:1=vF=e39~j7c6;3:1=vF=e39~j7c6<3:1=vF=e39~j7c6=3:1=vF=e39~j7c6>3:1=vF=e39~j7c6?3:1=vF=e39~j7c603:1=vF=e39~j7c613:1=vF=e39~j7c6i3:1=vF=e39~j7c6j3:1=vF=e39~j7c6k3:1=vF=e39~j7c6l3:1=vF=e39~j7c6m3:1=vF=e39~j7c6n3:1=vF=e39~j7c583:1=vF=e39~j7c593:1=vF=e39~j7c5:3:1=vF=e39~j7c5;3:1=vF=e39~j7c5<3:1=vF=e39~j7c5=3:1=vF=e39~j7c5>3:1=vF=e39~j7c5?3:1=vF=e39~j7c503:1=vF=e39~j7c513:1=vF=e39~j7c5i3:1=vF=e39~j7c5j3:1=vF=e39~j7c5k3:1=vF=e39~j7c5l3:1=vF=e39~j7c5m3:1=vF=e39~j7c5n3:1=vF=e39~j7c483:1=vF=e39~j7c493:1=vF=e39~j7c4:3:1=vF=e39~j7c4;3:1=vF=e39~j7c4<3:1=vF=e39~j7c4=3:1=vF=e39~j7c4>3:1=vF=e39~j7c4?3:1=vF=e39~j7c403:1=vF=e39~j7c413:1=vF=e39~j7c4i3:1=vF=e39~j7c4j3:1=vF=e39~j7c4k3:1=vF=e39~j7c4l3:1=vF=e39~j7c4m3:1=vF=e39~j7c4n3:1=vF=e39~j7c383:1=vF=e39~j7c393:1=vF=e39~j7c3:3:1=vF=e39~j7c3;3:1=vF=e39~j7c3<3:1=vF=e39~j7c3=3:1=vF=e39~j7c3>3:1=vF=e39~j7c3?3:1=vF=e39~j7c303:1=vF=e39~j7c313:1=vF=e39~j7c3i3:1=vF=e39~j7c3j3:1=vF=e39~j7c3k3:1=vF=e39~j7c3l3:1=vF=e39~j7c3m3:1=vF=e39~j7c3n3:1=vF=e39~j7c283:1=vF=e39~j7c293:1=vF=e39~j7c2:3:1=vF=e39~j7c2;3:1=vF=e39~j7c2<3:1=vF=e39~j7c2=3:1=vF=e39~j7c2>3:1=vF=e39~j7c2?3:1=vF=e39~j7c203:1=vF=e39~j7c213:1=vF=e39~j7c2i3:1=vF=e39~j7c2j3:1=vF=e39~j7c2k3:1=vF=e39~j7c2l3:1=vF=e39~j7c2m3:1=vF=e39~j7c2n3:1=vF=e39~j7c183:1=vF=e39~j7c193:1=vF=e39~j7c1:3:1=vF=e39~j7c1;3:1=vF=e39~j7c1<3:1=vF=e39~j7c1=3:1=vF=e39~j7c1>3:1=vF=e39~j7c1?3:1=vF=e39~j7c103:1=vF=e39~j7c113:1=vF=e39~j7c1i3:1=vF=e39~j7c1j3:1=vF=e39~j7c1k3:1=vF=e39~j7c1l3:1=vF=e39~j7c1m3:1=vF=e39~j7c1n3:1=vF=e39~j7c083:1=vF=e39~j7c093:1=vF=e39~j7c0:3:1=vF=e39~j7c0;3:1=vF=e39~j7c0<3:1=vF=e39~j7c0=3:1=vF=e39~j7c0>3:1=vF=e39~j7c0?3:1=vF=e39~j7c003:1=vF=e39~j7c013:1=vF=e39~j7c0i3:1=vF=e39~j7c0j3:1=vF=e39~j7c0k3:1=vF=e39~j7c0l3:1=vF=e39~j7c0m3:1=vF=e39~j7c0n3:1=vF=e39~j7c?83:1=vF=e39~j7c?93:1=vF=e39~j7c?:3:1=vF=e39~j7c?;3:1=vF=e39~j7c?<3:1=vF=e39~j7c?=3:1=vF=e39~j7c?>3:1=vF=e39~j7c??3:1=vF=e39~j7c?03:1=vF=e39~j7c?13:1=vF=e39~j7c?i3:1=vF=e39~j7c?j3:1=vF=e39~j7c?k3:1=vF=e39~j7c?l3:1=vF=e39~j7c?m3:1=vF=e39~j7c?n3:1=vF=e39~j7c>83:1=vF=e39~j7c>93:1=vF=e39~j7c>:3:1=vF=e39~j7c>;3:1=vF=e39~j7c><3:1=vF=e39~j7c>=3:1=vF=e39~j7c>>3:1=vF=e39~j7c>?3:1=vF=e39~j7c>03:1=vF=e39~j7c>13:1=vF=e39~j7c>i3:1=vF=e39~j7c>j3:1=vF=e39~j7c>k3:1=vF=e39~j7c>l3:1=vF=e39~j7c>m3:1=vF=e39~j7c>n3:1=vF=e39~j7cf83:1=vF=e39~j7cf93:1=vF=e39~j7cf:3:1=vF=e39~j7cf;3:1=vF=e39~j7cf<3:1=vF=e39~j7cf=3:1=vF=e39~j7cf>3:1=vF=e39~j7cf?3:1=vF=e39~j7cf03:1=vF=e39~j7cf13:1=vF=e39~j7cfi3:1=vF=e39~j7cfj3:1=vF=e39~j7cfk3:1=vF=e39~j7cfl3:1=vF=e39~j7cfm3:1=vF=e39~j7cfn3:1=vF=e39~j7ce83:1=vF=e39~j7ce93:1=vF=e39~j7ce:3:1=vF=e39~j7ce;3:1=vF=e39~j7ce<3:1=vF=e39~j7ce=3:1=vF=e39~j7ce>3:1=vF=e39~j7ce?3:1=vF=e39~j7ce03:1=vF=e39~j7ce13:1=vF=e39~j7cei3:1=vF=e39~j7cej3:1=vF=e39~j7cek3:1=vF=e39~j7cel3:1=vF=e39~j7cem3:1=vF=e39~j7cen3:1=vF=e39~j7cd83:1=vF=e39~j7cd93:1=vF=e39~j7cd:3:1=vF=e39~j7cd;3:1=vF=e39~j7cd<3:1=vF=e39~j7cd=3:1=vF=e39~j7cd>3:1=vF=e39~j7cd?3:1=vF=e39~j7cd03:1=vF=e39~j7cd13:1=vF=e39~j7cdi3:1=vF=e39~j7cdj3:1=vF=e39~j7cdk3:1=vF=e39~j7cdl3:1=vF=e39~j7cdm3:1=vF=e39~j7cdn3:1=vF=e39~j7cc83:1=vF=e39~j7cc93:1=vF=e39~j7cc:3:1=vF=e39~j7cc;3:1=vF=e39~j7cc<3:1=vF=e39~j7cc=3:1=vF=e39~j7cc>3:1=vF=e39~j7cc?3:1=vF=e39~j7cc03:1=vF=e39~j7cc13:1=vF=e39~j7cci3:1=vF=e39~j7ccj3:1=vF=e39~j7cck3:1=vF=e39~j7ccl3:1=vF=e39~j7ccm3:1=vF=e39~j7ccn3:1=vF=e39~j7cb83:1=vF=e39~j7cb93:1=vF=e39~j7cb:3:1=vF=e39~j7cb;3:1=vF=e39~j7cb<3:1=vF=e39~j7cb=3:1=vF=e39~j7cb>3:1=vF=e39~j7cb?3:1=vF=e39~j7cb03:1=vF=e39~j7cb13:1=vF=e39~j7cbi3:1=vF=e39~j7cbj3:1=vF=e39~j7cbk3:1=vF=e39~j7cbl3:1=vF=e39~j7cbm3:1=vF=e39~j7cbn3:1=vF=e39~j7ca83:1=vF=e39~j7ca93:1=vF=e39~j7ca:3:1=vF=e39~j7ca;3:1=vF=e39~j7ca<3:1=vF=e39~j7ca=3:1=vF=e39~j7ca>3:1=vF=e39~j7ca?3:1=vF=e39~j7ca03:1=vF=e39~j7ca13:1=vF=e39~j7cai3:1=vF=e39~j7caj3:1=vF=e39~j7cak3:1=vF=e39~j7cal3:1=vF=e39~j7cam3:1=vF=e39~j7can3:1=vF=e39~j7`783:1=vF=e39~j7`793:1=vF=e39~j7`7:3:1=vF=e39~j7`7;3:1=vF=e39~j7`7<3:1=vF=e39~j7`7=3:1=vF=e39~j7`7>3:1=vF=e39~j7`7?3:1=vF=e39~j7`703:1=vF=e39~j7`713:1=vF=e39~j7`7i3:1=vF=e39~j7`7j3:1=vF=e39~j7`7k3:1=vF=e39~j7`7l3:1=vF=e39~j7`7m3:1=vF=e39~j7`7n3:1=vF=e39~j7`683:1=vF=e39~j7`693:1=vF=e39~j7`6:3:1=vF=e39~j7`6;3:1=vF=e39~j7`6<3:1=vF=e39~j7`6=3:1=vF=e39~j7`6>3:1=vF=e39~j7`6?3:1=vF=e39~j7`603:1=vF=e39~j7`613:1=vF=e39~j7`6i3:1=vF=e39~j7`6j3:1=vF=e39~j7`6k3:1=vF=e39~j7`6l3:1=vF=e39~j7`6m3:1=vF=e39~j7`6n3:1=vF=e39~j7`583:1=vF=e39~j7`593:1=vF=e39~j7`5:3:1=vF=e39~j7`5;3:1=vF=e39~j7`5<3:1=vF=e39~j7`5=3:1=vF=e39~j7`5>3:1=vF=e39~j7`5?3:1=vF=e39~j7`503:1=vF=e39~j7`513:1=vF=e39~j7`5i3:1=vF=e39~j7`5j3:1=vF=e39~j7`5k3:1=vF=e39~j7`5l3:1=vF=e39~j7`5m3:1=vF=e39~j7`5n3:1=vF=e39~j7`483:1=vF=e39~j7`493:1=vF=e39~j7`4:3:1=vF=e39~j7`4;3:1=vF=e39~j7`4<3:1=vF=e39~j7`4=3:1=vF=579K6`4<ug8m?;4?:0yK6`4<ug8m?:4?:0yK6`4<ug8m?54?:0yK6`4<ug8m?44?:0yK6`4<ug8m?l4?:0yK6`4<ug8m?o4?:0yK6`4<ug8m?n4?:0yK6`4<ug8m?i4?:0yK6`4<ug8m?h4?:0yK6`4<ug8m?k4?:0yK6`4<ug8m8=4?:0yK6`4<ug8m8<4?:0yK6`4<ug8m8?4?:0yK6`4<ug8m8>4?:0yK6`4<ug8m894?:0yK6`4<ug8m884?:0yK6`4<ug8m8;4?:0yK6`4<ug8m8:4?:0yK6`4<ug8m854?:0yK6`4<ug8m844?:0yK6`4<ug8m8l4?:0yK6`4<ug8m8o4?:0yK6`4<ug8m8n4?:0yK6`4<ug8m8i4?:0yK6`4<ug8m8h4?:0yK6`4<ug8m8k4?:0yK6`4<ug8m9=4?:0yK6`4<ug8m9<4?:0yK6`4<ug8m9?4?:0yK6`4<ug8m9>4?:0yK6`4<ug8m994?:0yK6`4<ug8m984?:0yK6`4<ug8m9;4?:0yK6`4<ug8m9:4?:0yK6`4<ug8m954?:0yK6`4<ug8m944?:0yK6`4<ug8m9l4?:0yK6`4<ug8m9o4?:0yK6`4<ug8m9n4?:0yK6`4<ug8m9i4?:0yK6`4<ug8m9h4?:0yK6`4<ug8m9k4?:0yK6`4<ug8m:=4?:0yK6`4<ug8m:<4?:0yK6`4<ug8m:?4?:0yK6`4<ug8m:>4?:0yK6`4<ug8m:94?:0yK6`4<ug8m:84?:0yK6`4<ug8m:;4?:0yK6`4<ug8m::4?:0yK6`4<ug8m:54?:0yK6`4<ug8m:44?:0yK6`4<ug8m:l4?:0yK6`4<ug8m:o4?:0yK6`4<ug8m:n4?:0yK6`4<ug8m:i4?:0yK6`4<ug8m:h4?:0yK6`4<ug8m:k4?:0yK6`4<ug8m;=4?:0yK6`4<ug8m;<4?:0yK6`4<ug8m;?4?:0yK6`4<ug8m;>4?:0yK6`4<ug8m;94?:0yK6`4<ug8m;84?:0yK6`4<ug8m;;4?:0yK6`4<ug8m;:4?:0yK6`4<ug8m;54?:0yK6`4<ug8m;44?:0yK6`4<ug8m;l4?:0yK6`4<ug8m;o4?:0yK6`4<ug8m;n4?:0yK6`4<ug8m;i4?:0yK6`4<ug8m;h4?:0yK6`4<ug8m;k4?:0yK6`4<ug8m4=4?:0yK6`4<ug8m4<4?:0yK6`4<ug8m4?4?:0yK6`4<ug8m4>4?:0yK6`4<ug8m494?:0yK6`4<ug8m484?:0yK6`4<ug8m4;4?:0yK6`4<ug8m4:4?:0yK6`4<ug8m454?:0yK6`4<ug8m444?:0yK6`4<ug8m4l4?:0yK6`4<ug8m4o4?:0yK6`4<ug8m4n4?:0yK6`4<ug8m4i4?:0yK6`4<ug8m4h4?:0yK6`4<ug8m4k4?:0yK6`4<ug8m5=4?:0yK6`4<ug8m5<4?:0yK6`4<ug8m5?4?:0yK6`4<ug8m5>4?:0yK6`4<ug8m594?:0yK6`4<ug8m584?:0yK6`4<ug8m5;4?:0yK6`4<ug8m5:4?:0yK6`4<ug8m554?:0yK6`4<ug8m544?:0yK6`4<ug8m5l4?:0yK6`4<ug8m5o4?:0yK6`4<ug8m5n4?:0yK6`4<ug8m5i4?:0yK6`4<ug8m5h4?:0yK6`4<ug8m5k4?:0yK6`4<ug8mm=4?:0yK6`4<ug8mm<4?:0yK6`4<ug8mm?4?:0yK6`4<ug8mm>4?:0yK6`4<ug8mm94?:0yK6`4<ug8mm84?:0yK6`4<ug8mm;4?:0yK6`4<ug8mm:4?:0yK6`4<ug8mm54?:0yK6`4<ug8mm44?:0yK6`4<ug8mml4?:0yK6`4<ug8mmo4?:0yK6`4<ug8mmn4?:0yK6`4<ug8mmi4?:0yK6`4<ug8mmh4?:0yK6`4<ug8mmk4?:0yK6`4<ug8mn=4?:0yK6`4<ug8mn<4?:0yK6`4<ug8mn?4?:0yK6`4<ug8mn>4?:0yK6`4<ug8mn94?:0yK6`4<ug8mn84?:0yK6`4<ug8mn;4?:0yK6`4<ug8mn:4?:0yK6`4<ug8mn54?:0yK6`4<ug8mn44?:0yK6`4<ug8mnl4?:0yK6`4<ug8mno4?:0yK6`4<ug8mnn4?:0yK6`4<ug8mni4?:0yK6`4<ug8mnh4?:0yK6`4<ug8mnk4?:0yK6`4<ug8mo=4?:0yK6`4<ug8mo<4?:0yK6`4<ug8mo?4?:0yK6`4<ug8mo>4?:0yK6`4<ug8mo94?:0yK6`4<ug8mo84?:0yK6`4<ug8mo;4?:0yK6`4<ug8mo:4?:0yK6`4<ug8mo54?:0yK6`4<ug8mo44?:0yK6`4<ug8mol4?:0yK6`4<ug8moo4?:0yK6`4<ug8mon4?:0yK6`4<ug8moi4?:0yK6`4<ug8moh4?:0yK6`4<ug8mok4?:0yK6`4<ug8mh=4?:0yK6`4<ug8mh<4?:0yK6`4<ug8mh?4?:0yK6`4<ug8mh>4?:0yK6`4<ug8mh94?:0yK6`4<ug8mh84?:0yK6`4<ug8mh;4?:0yK6`4<ug8mh:4?:0yK6`4<ug8mh54?:0yK6`4<ug8mh44?:0yK6`4<ug8mhl4?:0yK6`4<ug8mho4?:0yK6`4<ug8mhn4?:0yK6`4<ug8mhi4?:0yK6`4<ug8mhh4?:0yK6`4<ug8mhk4?:0yK6`4<ug8mi=4?:0yK6`4<ug8mi<4?:0yK6`4<ug8mi?4?:0yK6`4<ug8mi>4?:0yK6`4<ug8mi94?:0yK6`4<ug8mi84?:0yK6`4<ug8mi;4?:0yK6`4<ug8mi:4?:0yK6`4<ug8mi54?:0yK6`4<ug8mi44?:0yK6`4<ug8mil4?:0yK6`4<ug8mio4?:0yK6`4<ug8min4?:0yK6`4<ug8mii4?:0yK6`4<ug8mih4?:0yK6`4<ug8mik4?:0yK6`4<ug8mj=4?:0yK6`4<ug8mj<4?:0yK6`4<ug8mj?4?:0yK6`4<ug8mj>4?:0yK6`4<ug8mj94?:0yK6`4<ug8mj84?:0yK6`4<ug8mj;4?:0yK6`4<ug8mj:4?:0yK6`4<ug8mj54?:0yK6`4<ug8mj44?:0yK6`4<ug8mjl4?:0yK6`4<ug8mjo4?:0yK6`4<ug8mjn4?:0yK6`4<ug8mji4?:0yK6`4<ug8mjh4?:0yK6`4<ug8mjk4?:0yK6`4<ug9;<=4?:0yK6`4<ug9;<<4?:0yK6`4<ug9;<?4?:0yK6`4<ug9;<>4?:0yK6`4<ug9;<94?:0yK6`4<ug9;<84?:0yK6`4<ug9;<;4?:0yK6`4<ug9;<:4?:0yK6`4<ug9;<54?:0yK6`4<ug9;<44?:0yK6`4<ug9;<l4?:0yK6`4<ug9;<o4?:0yK6`4<ug9;<n4?:0yK6`4<ug9;<i4?:0yK6`4<ug9;<h4?:0yK6`4<ug9;<k4?:0yK6`4<ug9;==4?:0yK6`4<ug9;=<4?:0yK6`4<ug9;=?4?:0yK6`4<ug9;=>4?:0yK6`4<ug9;=94?:0yK6`4<ug9;=84?:0yK6`4<ug9;=;4?:0yK6`4<ug9;=:4?:0yK6`4<ug9;=54?:0yK6`4<ug9;=44?:0yK6`4<ug9;=l4?:0yK6`4<ug9;=o4?:0yK6`4<ug9;=n4?:0yK6`4<ug9;=i4?:0yK6`4<ug9;=h4?:0yK6`4<ug9;=k4?:0yK6`4<ug9;>=4?:0yK6`4<ug9;><4?:0yK6`4<ug9;>?4?:0yK6`4<ug9;>>4?:0yK6`4<ug9;>94?:0yK6`4<ug9;>84?:0yK6`4<ug9;>;4?:0yK6`4<ug9;>:4?:0yK6`4<ug9;>54?:0yK6`4<ug9;>44?:0yK6`4<ug9;>l4?:0yK6`4<ug9;>o4?:0yK6`4<ug9;>n4?:0yK6`4<ug9;>i4?:0yK6`4<ug9;>h4?:0yK6`4<ug9;>k4?:0yK6`4<ug9;?=4?:0yK6`4<ug9;?<4?:0yK6`4<ug9;??4?:0yK6`4<ug9;?>4?:0yK6`4<ug9;?94?:0yK6`4<ug9;?84?:0yK6`4<ug9;?;4?:0yK6`4<ug9;?:4?:0yK6`4<ug9;?54?:0yK6`4<ug9;?44?:0yK6`4<ug9;?l4?:0yK6`4<ug9;?o4?:0yK6`4<ug9;?n4?:0yK6`4<ug9;?i4?:0yK6`4<ug9;?h4?:0yK6`4<ug9;?k4?:0yK6`4<ug9;8=4?:0yK6`4<ug9;8<4?:0yK6`4<ug9;8?4?:0yK6`4<ug9;8>4?:0yK6`4<ug9;894?:0yK6`4<ug9;884?:0yK6`4<ug9;8;4?:0yK6`4<ug9;8:4?:0yK6`4<ug9;854?:0yK6`4<ug9;844?:0yK6`4<ug9;8l4?:0yK6`4<ug9;8o4?:0yK6`4<ug9;8n4?:0yK6`4<ug9;8i4?:0yK6`4<ug9;8h4?:0yK6`4<ug9;8k4?:0yK6`4<ug9;9=4?:0yK6`4<ug9;9<4?:0yK6`4<ug9;9?4?:0yK6`4<ug9;9>4?:0yK6`4<ug9;994?:0yK6`4<ug9;984?:0yK6`4<ug9;9;4?:0yK6`4<ug9;9:4?:0yK6`4<ug9;954?:0yK6`4<ug9;944?:0yK6`4<ug9;9l4?:0yK6`4<ug9;9o4?:0yK6`4<ug9;9n4?:0yK6`4<ug9;9i4?:0yK6`4<ug9;9h4?:0yK6`4<ug9;9k4?:0yK6`4<ug9;:=4?:0yK6`4<ug9;:<4?:0yK6`4<ug9;:?4?:0yK6`4<ug9;:>4?:0yK6`4<ug9;:94?:0yK6`4<ug9;:84?:0yK6`4<ug9;:;4?:0yK6`4<ug9;::4?:0yK6`4<ug9;:54?:0yK6`4<ug9;:44?:0yK6`4<ug9;:l4?:0yK6`4<ug9;:o4?:0yK6`4<ug9;:n4?:0yK6`4<ug9;:i4?:0yK6`4<ug9;:h4?:0yK6`4<ug9;:k4?:0yK6`4<ug9;;=4?:0yK6`4<ug9;;<4?:0yK6`4<ug9;;?4?:0yK6`4<ug9;;>4?:0yK6`4<ug9;;94?:0yK6`4<ug9;;84?:0yK6`4<ug9;;;4?:0yK6`4<ug9;;:4?:0yK6`4<ug9;;54?:0yK6`4<ug9;;44?:0yK6`4<ug9;;l4?:0yK6`4<ug9;;o4?:0yK6`4<ug9;;n4?:0yK6`4<ug9;;i4?:0yK6`4<ug9;;h4?:0yK6`4<ug9;;k4?:0yK6`4<ug9;4=4?:0yK6`4<ug9;4<4?:0yK6`4<ug9;4?4?:0yK6`4<ug9;4>4?:0yK6`4<ug9;494?:0yK6`4<ug9;484?:0yK6`4<ug9;4;4?:0yK6`4<ug9;4:4?:0yK6`4<ug9;454?:0yK6`4<ug9;444?:0yK6`4<ug9;4l4?:0yK6`4<ug9;4o4?:0yK6`4<ug9;4n4?:0yK6`4<ug9;4i4?:0yK6`4<ug9;4h4?:0yK6`4<ug9;4k4?:0yK6`4<ug9;5=4?:0yK6`4<ug9;5<4?:0yK6`4<ug9;5?4?:0yK6`4<ug9;5>4?:0yK6`4<ug9;594?:0yK6`4<ug9;584?:0yK6`4<ug9;5;4?:0yK6`4<ug9;5:4?:0yK6`4<ug9;554?:0yK6`4<ug9;544?:0yK6`4<ug9;5l4?:0yK6`4<ug9;5o4?:0yK6`4<ug9;5n4?:0yK6`4<ug9;5i4?:0yK6`4<ug9;5h4?:0yK6`4<ug9;5k4?:0yK6`4<ug9;m=4?:0yK6`4<ug9;m<4?:0yK6`4<ug9;m?4?:0yK6`4<ug9;m>4?:0yK6`4<ug9;m94?:0yK6`4<ug9;m84?:0yK6`4<ug9;m;4?:0yK6`4<ug9;m:4?:0yK6`4<ug9;m54?:0yK6`4<ug9;m44?:0yK6`4<ug9;ml4?:0yK6`4<ug9;mo4?:0yK6`4<ug9;mn4?:0yK6`4<ug9;mi4?:0yK6`4<ug9;mh4?:0yK6`4<ug9;mk4?:0yK6`4<ug9;n=4?:0yK6`4<ug9;n<4?:0yK6`4<ug9:;94?:0yK6`4<utwvLMMt360a>1daj;i;;pNOBz2~DEV|uIJ
\ No newline at end of file
index d2264e811f7bcf89cec9b2d97ff13999d93a8f6e..2d3825e28ca9d43ab54fd055be93ac78eda18407 100644 (file)
@@ -26,8 +26,8 @@
 --     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
 --     All rights reserved.                                                   --
 --------------------------------------------------------------------------------
--- You must compile the wrapper file testttttt.vhd when simulating
--- the core, testttttt. When compiling the wrapper file, be sure to
+-- You must compile the wrapper file fifo16kW.vhd when simulating
+-- the core, fifo16kW. When compiling the wrapper file, be sure to
 -- reference the XilinxCoreLib VHDL simulation library. For detailed
 -- instructions, please refer to the "CORE Generator Help".
 
@@ -40,7 +40,7 @@ USE ieee.std_logic_1164.ALL;
 -- synthesis translate_off
 Library XilinxCoreLib;
 -- synthesis translate_on
-ENTITY testttttt IS
+ENTITY fifo16kW IS
        port (
        din: IN std_logic_VECTOR(31 downto 0);
        rd_clk: IN std_logic;
@@ -55,11 +55,11 @@ ENTITY testttttt IS
        full: OUT std_logic;
        rd_data_count: OUT std_logic_VECTOR(13 downto 0);
        wr_data_count: OUT std_logic_VECTOR(13 downto 0));
-END testttttt;
+END fifo16kW;
 
-ARCHITECTURE testttttt_a OF testttttt IS
+ARCHITECTURE fifo16kW_a OF fifo16kW IS
 -- synthesis translate_off
-component wrapped_testttttt
+component wrapped_fifo16kW
        port (
        din: IN std_logic_VECTOR(31 downto 0);
        rd_clk: IN std_logic;
@@ -77,7 +77,7 @@ component wrapped_testttttt
 end component;
 
 -- Configuration specification 
-       for all : wrapped_testttttt use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+       for all : wrapped_fifo16kW use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
                generic map(
                        c_rd_freq => 100,
                        c_wr_response_latency => 1,
@@ -135,7 +135,7 @@ end component;
 -- synthesis translate_on
 BEGIN
 -- synthesis translate_off
-U0 : wrapped_testttttt
+U0 : wrapped_fifo16kW
                port map (
                        din => din,
                        rd_clk => rd_clk,
@@ -152,5 +152,5 @@ U0 : wrapped_testttttt
                        wr_data_count => wr_data_count);
 -- synthesis translate_on
 
-END testttttt_a;
+END fifo16kW_a;
 
index 8fdc1b5ffbd021cb57b1c0b664076a55950cb723..42a6fc9ee1126f0fcfda00f8222cb7e8ed6e3090 100644 (file)
@@ -2,6 +2,10 @@ library IEEE;
 use IEEE.STD_LOGIC_UNSIGNED.ALL;
 library UNISIM;
 use UNISIM.VCOMPONENTS.all;
+
+use ieee.std_logic_arith.all;
+
 library ieee;
 library work;
 use ieee.std_logic_1164.all;
@@ -29,237 +33,369 @@ architecture system of system is
       SFP_INP_P          : in    std_logic_vector(15 downto 0);
       SFP_OUT_N          : out   std_logic_vector(15 downto 0);
       SFP_OUT_P          : out   std_logic_vector(15 downto 0);
-      OPT_DATA_IN        : in    std_logic_vector(63 downto 0);
-      OPT_DATA_OUT       : out   std_logic_vector(63 downto 0);
-      OPT_DATA_VALID_IN  : in    std_logic_vector(2 downto 0);
-      OPT_DATA_VALID_OUT : out   std_logic_vector(2 downto 0));
+      FS_PE_11           : inout std_logic;
+      FS_PE              : inout std_logic_vector(9 downto 8);
+      OPT_DATA_IN        : in    std_logic_vector(255 downto 0);
+      OPT_DATA_OUT       : out   std_logic_vector(255 downto 0);
+      OPT_DATA_VALID_IN  : in    std_logic_vector(15 downto 0);
+      OPT_DATA_VALID_OUT : out   std_logic_vector(15 downto 0));
   end component;
   component trb_v2b_fpga
+    generic (
+      RW_SYSTEM            : integer range 0 to 5:=1;
+      TRBV2_TYPE           : integer range 0 to 5:=0;
+      TRBNET_ENABLE        : integer range 0 to 1:=0;
+      DTU_ENABLE           : integer range 0 to 2:=2;
+      CTU_ENABLE           : integer range 0 to 1:=0;
+      HADES_OLD_BUS_ENABLE : integer range 0 to 1:=0;
+      DSP_INT_ENABLE       : integer range 0 to 1:=0;
+      SDRAM_INT_ENABLE     : integer range 0 to 1:=0;
+      SCALERS_ENABLE       : integer range 0 to 1:=0);
     port (
-      VIRT_CLK        : in    std_logic;
-      VIRT_CLKB       : in    std_logic;
-      RESET_VIRT      : in    std_logic;
-      DBAD            : out   std_logic;
-      DGOOD           : out   std_logic;
-      DINT            : out   std_logic;
-      DWAIT           : out   std_logic;
-      A_RESERVED      : in    std_logic;
-      A_TEMP          : in    std_logic;
-      B_RESERVED      : in    std_logic;
-      B_TEMP          : in    std_logic;
-      C_RESERVED      : in    std_logic;
-      C_TEMP          : in    std_logic;
-      D_RESERVED      : in    std_logic;
-      D_TEMP          : in    std_logic;
-      VIR_TRIG        : in    std_logic;
-      VIR_TRIGB       : in    std_logic;
-      A_TDC_ERROR     : in    std_logic;
-      B_TDC_ERROR     : in    std_logic;
-      C_TDC_ERROR     : in    std_logic;
-      D_TDC_ERROR     : in    std_logic;
-      A_TDC_POWERUP   : out   std_logic;
-      B_TDC_POWERUP   : out   std_logic;
-      C_TDC_POWERUP   : out   std_logic;
-      D_TDC_POWERUP   : out   std_logic;
-      TOKEN_IN        : in    std_logic;
-      TOKEN_OUT       : out   std_logic;
-      C_TOKEN_OUT_TTL : in    std_logic;
-      GET_DATA        : out   std_logic;
-      A_DATA_READY    : in    std_logic;
-      B_DATA_READY    : in    std_logic;
-      C_DATA_READY    : in    std_logic;
-      D_DATA_READY    : in    std_logic;
-      REF_TDC_CLK     : in    std_logic;
-      REF_TDC_CLKB    : in    std_logic;
-      A_TDC_BU_RESET  : out   std_logic;
-      A_TDC_BU_RESETB : out   std_logic;
-      A_TDC_EV_RESET  : out   std_logic;
-      A_TDC_EV_RESETB : out   std_logic;
-      B_TDC_BU_RESET  : out   std_logic;
-      B_TDC_BU_RESETB : out   std_logic;
-      B_TDC_EV_RESET  : out   std_logic;
-      B_TDC_EV_RESETB : out   std_logic;
-      C_TDC_BU_RESET  : out   std_logic;
-      C_TDC_BU_RESETB : out   std_logic;
-      C_TDC_EV_RESET  : out   std_logic;
-      C_TDC_EV_RESETB : out   std_logic;
-      D_TDC_BU_RESET  : out   std_logic;
-      D_TDC_BU_RESETB : out   std_logic;
-      D_TDC_EV_RESET  : out   std_logic;
-      D_TDC_EV_RESETB : out   std_logic;
-      TDC_OUT         : in    std_logic_vector (31 downto 0);
-      TDC_RESET       : out   std_logic;
-      A_TRIGGER       : out   std_logic;
-      A_TRIGGERB      : out   std_logic;
-      B_TRIGGER       : out   std_logic;
-      B_TRIGGERB      : out   std_logic;
-      C_TRIGGER       : out   std_logic;
-      C_TRIGGERB      : out   std_logic;
-      D_TRIGGER       : out   std_logic;
-      D_TRIGGERB      : out   std_logic;
-      FS_PB           : inout   std_logic_vector (17 downto 0);
-      FS_PC           : inout std_logic_vector (17 downto 0);
-      ETRAX_IRQ       : out   std_logic;
-      A_SCK           : out   std_logic;
-      A_SCKB          : out   std_logic;
-      A_SDI           : in    std_logic;
-      A_SDIB          : in    std_logic;
-      A_SDO           : out   std_logic;
-      A_SDOB          : out   std_logic;
-      A_CSB           : out   std_logic;
-      A_CS            : out   std_logic;
-      B_SCK           : out   std_logic;
-      B_SCKB          : out   std_logic;
-      B_SDI           : in    std_logic;
-      B_SDIB          : in    std_logic;
-      B_SDO           : out   std_logic;
-      B_SDOB          : out   std_logic;
-      B_CSB           : out   std_logic;
-      B_CS            : out   std_logic;
-      C_SCK           : out   std_logic;
-      C_SCKB          : out   std_logic;
-      C_SDI           : in    std_logic;
-      C_SDIB          : in    std_logic;
-      C_SDO           : out   std_logic;
-      C_SDOB          : out   std_logic;
-      C_CSB           : out   std_logic;
-      C_CS            : out   std_logic;
-      D_SCK           : out   std_logic;
-      D_SCKB          : out   std_logic;
-      D_SDI           : in    std_logic;
-      D_SDIB          : in    std_logic;
-      D_SDO           : out   std_logic;
-      D_SDOB          : out   std_logic;
-      D_CSB           : out   std_logic;
-      D_CS            : out   std_logic;
-      A_TEST1         : out   std_logic;
-      A_TEST1B        : out   std_logic;
-      A_TEST2         : out   std_logic;
-      A_TEST2B        : out   std_logic;
-      B_TEST1         : out   std_logic;
-      B_TEST1B        : out   std_logic;
-      B_TEST2         : out   std_logic;
-      B_TEST2B        : out   std_logic;
-      C_TEST1         : out   std_logic;
-      C_TEST1B        : out   std_logic;
-      C_TEST2         : out   std_logic;
-      C_TEST2B        : out   std_logic;
-      D_TEST1         : out   std_logic;
-      D_TEST1B        : out   std_logic;
-      D_TEST2         : out   std_logic;
-      D_TEST2B        : out   std_logic;
---       DSPADDR         : out   std_logic_vector (31 downto 0);
---       DSPDAT          : inout std_logic_vector (31 downto 0);
---       DSP_ACK         : in    std_logic;
---       DSP_BM          : inout std_logic;
---       DSP_BMS         : out   std_logic;
---       DSP_BOFF        : out   std_logic;
---       DSP_BRST        : inout std_logic;
---       DSP_HBG         : in    std_logic;
---       DSP_HBR         : out   std_logic;
---       DSP_IRQ         : out   std_logic_vector (3 downto 0);
---       DSP_RD          : out   std_logic;
---       DSP_RESET       : out   std_logic;
---       DSP_RESET_OUT   : in    std_logic;
---       DSP_WRH         : out   std_logic;
---       DSP_WRL         : out   std_logic;
---       VSD_A           : out   std_logic_vector (12 downto 0);
---       VSD_BA          : out   std_logic_vector (1 downto 0);
---       VSD_CAS         : out   std_logic;
---       VSD_CKE         : out   std_logic;
---       VSD_CLOCK       : out   std_logic;
---       VSD_CSEH        : out   std_logic;
---       VSD_CSEL        : out   std_logic;
---       VSD_D           : inout std_logic_vector (31 downto 0);
---       VSD_DQML        : out   std_logic_vector (3 downto 0);
---       VSD_RAS         : out   std_logic;
---       VSD_WE          : out   std_logic;
-      TLK_CLK         : in    std_logic;
-      TLK_ENABLE      : out   std_logic;
-      TLK_LCKREFN     : out   std_logic;
-      TLK_LOOPEN      : out   std_logic;
-      TLK_PRBSEN      : out   std_logic;
-      TLK_RXD         : in    std_logic_vector (15 downto 0);
-      TLK_RX_CLK      : in    std_logic;
-      TLK_RX_DV       : in    std_logic;
-      TLK_RX_ER       : in    std_logic;
-      TLK_TXD         : out   std_logic_vector (15 downto 0);
-      TLK_TX_EN       : out   std_logic;
-      TLK_TX_ER       : out   std_logic;
-      SFP_LOS         : in    std_logic;
-      SFP_TX_DIS      : out   std_logic;
-      SFP_TX_FAULT    : in    std_logic;
-      ADDON_TO_TRB_CLKINN       : in std_logic;
-      ADDON_TO_TRB_CLKINP       : in std_logic;
-      ADO_LV          : in    std_logic_vector(51 downto 0);
-      ADO_TTL         : inout std_logic_vector(46 downto 0);
-      VIRT_TCK        : out   std_logic;
-      VIRT_TDI        : out   std_logic;
-      VIRT_TDO        : in    std_logic;
-      VIRT_TMS        : out   std_logic;
-      VIRT_TRST       : out   std_logic);
+      VIRT_CLK            : in    std_logic;
+      VIRT_CLKB           : in    std_logic;
+      RESET_VIRT          : in    std_logic;
+      DBAD                : out   std_logic;
+      DGOOD               : out   std_logic;
+      DINT                : out   std_logic;
+      DWAIT               : out   std_logic;
+      A_RESERVED          : in    std_logic;
+      A_TEMP              : in    std_logic;
+      B_RESERVED          : in    std_logic;
+      B_TEMP              : in    std_logic;
+      C_RESERVED          : in    std_logic;
+      C_TEMP              : in    std_logic;
+      D_RESERVED          : in    std_logic;
+      D_TEMP              : in    std_logic;
+      VIR_TRIG            : in    std_logic;
+      VIR_TRIGB           : in    std_logic;
+      A_TDC_ERROR         : in    std_logic;
+      B_TDC_ERROR         : in    std_logic;
+      C_TDC_ERROR         : in    std_logic;
+      D_TDC_ERROR         : in    std_logic;
+      A_TDC_POWERUP       : out   std_logic;
+      B_TDC_POWERUP       : out   std_logic;
+      C_TDC_POWERUP       : out   std_logic;
+      D_TDC_POWERUP       : out   std_logic;
+      TOKEN_IN            : in    std_logic;
+      TOKEN_OUT           : out   std_logic;
+      C_TOKEN_OUT_TTL     : in    std_logic;
+      GET_DATA            : out   std_logic;
+      A_DATA_READY        : in    std_logic;
+      B_DATA_READY        : in    std_logic;
+      C_DATA_READY        : in    std_logic;
+      D_DATA_READY        : in    std_logic;
+      REF_TDC_CLK         : in    std_logic;
+      REF_TDC_CLKB        : in    std_logic;
+      A_TDC_BU_RESET      : out   std_logic;
+      A_TDC_BU_RESETB     : out   std_logic;
+      A_TDC_EV_RESET      : out   std_logic;
+      A_TDC_EV_RESETB     : out   std_logic;
+      B_TDC_BU_RESET      : out   std_logic;
+      B_TDC_BU_RESETB     : out   std_logic;
+      B_TDC_EV_RESET      : out   std_logic;
+      B_TDC_EV_RESETB     : out   std_logic;
+      C_TDC_BU_RESET      : out   std_logic;
+      C_TDC_BU_RESETB     : out   std_logic;
+      C_TDC_EV_RESET      : out   std_logic;
+      C_TDC_EV_RESETB     : out   std_logic;
+      D_TDC_BU_RESET      : out   std_logic;
+      D_TDC_BU_RESETB     : out   std_logic;
+      D_TDC_EV_RESET      : out   std_logic;
+      D_TDC_EV_RESETB     : out   std_logic;
+      TDC_OUT             : in    std_logic_vector (31 downto 0);
+      TDC_RESET           : out   std_logic;
+      A_TRIGGER           : out   std_logic;
+      A_TRIGGERB          : out   std_logic;
+      B_TRIGGER           : out   std_logic;
+      B_TRIGGERB          : out   std_logic;
+      C_TRIGGER           : out   std_logic;
+      C_TRIGGERB          : out   std_logic;
+      D_TRIGGER           : out   std_logic;
+      D_TRIGGERB          : out   std_logic;
+      FS_PB               : inout std_logic_vector (16 downto 0);
+      FS_PB_17            : in    std_logic;
+      FS_PC               : inout std_logic_vector (17 downto 0);
+      ETRAX_IRQ           : out   std_logic;
+      A_SCK               : out   std_logic;
+      A_SCKB              : out   std_logic;
+      A_SDI               : in    std_logic;
+      A_SDIB              : in    std_logic;
+      A_SDO               : out   std_logic;
+      A_SDOB              : out   std_logic;
+      A_CSB               : out   std_logic;
+      A_CS                : out   std_logic;
+      B_SCK               : out   std_logic;
+      B_SCKB              : out   std_logic;
+      B_SDI               : in    std_logic;
+      B_SDIB              : in    std_logic;
+      B_SDO               : out   std_logic;
+      B_SDOB              : out   std_logic;
+      B_CSB               : out   std_logic;
+      B_CS                : out   std_logic;
+      C_SCK               : out   std_logic;
+      C_SCKB              : out   std_logic;
+      C_SDI               : in    std_logic;
+      C_SDIB              : in    std_logic;
+      C_SDO               : out   std_logic;
+      C_SDOB              : out   std_logic;
+      C_CSB               : out   std_logic;
+      C_CS                : out   std_logic;
+      D_SCK               : out   std_logic;
+      D_SCKB              : out   std_logic;
+      D_SDI               : in    std_logic;
+      D_SDIB              : in    std_logic;
+      D_SDO               : out   std_logic;
+      D_SDOB              : out   std_logic;
+      D_CSB               : out   std_logic;
+      D_CS                : out   std_logic;
+      A_TEST1             : out   std_logic;
+      A_TEST1B            : out   std_logic;
+      A_TEST2             : out   std_logic;
+      A_TEST2B            : out   std_logic;
+      B_TEST1             : out   std_logic;
+      B_TEST1B            : out   std_logic;
+      B_TEST2             : out   std_logic;
+      B_TEST2B            : out   std_logic;
+      C_TEST1             : out   std_logic;
+      C_TEST1B            : out   std_logic;
+      C_TEST2             : out   std_logic;
+      C_TEST2B            : out   std_logic;
+      D_TEST1             : out   std_logic;
+      D_TEST1B            : out   std_logic;
+      D_TEST2             : out   std_logic;
+      D_TEST2B            : out   std_logic;
+      DSPADDR             : out   std_logic_vector (31 downto 0);
+      DSPDAT              : inout std_logic_vector (31 downto 0);
+      DSP_ACK             : in    std_logic;
+      DSP_BM              : inout std_logic;
+      DSP_BMS             : out   std_logic;
+      DSP_BOFF            : out   std_logic;
+      DSP_BRST            : inout std_logic;
+      DSP_HBG             : in    std_logic;
+      DSP_HBR             : out   std_logic;
+      DSP_IRQ             : out   std_logic_vector (3 downto 0);
+      DSP_RD              : out   std_logic;
+      DSP_RESET           : out   std_logic;
+      DSP_RESET_OUT       : in    std_logic;
+      DSP_WRH             : out   std_logic;
+      DSP_WRL             : out   std_logic;
+      VSD_A               : out   std_logic_vector (12 downto 0);
+      VSD_BA              : out   std_logic_vector (1 downto 0);
+      VSD_CAS             : out   std_logic;
+      VSD_CKE             : out   std_logic;
+      VSD_CLOCK           : out   std_logic;
+      VSD_CSEH            : out   std_logic;
+      VSD_CSEL            : out   std_logic;
+      VSD_D               : inout std_logic_vector (31 downto 0);
+      VSD_DQML            : out   std_logic_vector (3 downto 0);
+      VSD_RAS             : out   std_logic;
+      VSD_WE              : out   std_logic;
+      TLK_CLK             : in    std_logic;
+      TLK_ENABLE          : out   std_logic;
+      TLK_LCKREFN         : out   std_logic;
+      TLK_LOOPEN          : out   std_logic;
+      TLK_PRBSEN          : out   std_logic;
+      TLK_RXD             : in    std_logic_vector (15 downto 0);
+      TLK_RX_CLK          : in    std_logic;
+      TLK_RX_DV           : in    std_logic;
+      TLK_RX_ER           : in    std_logic;
+      TLK_TXD             : out   std_logic_vector (15 downto 0);
+      TLK_TX_EN           : out   std_logic;
+      TLK_TX_ER           : out   std_logic;
+      SFP_LOS             : in    std_logic;
+      SFP_TX_DIS          : out   std_logic;
+      SFP_TX_FAULT        : in    std_logic;
+      ADDON_TO_TRB_CLKINN : in    std_logic;
+      ADDON_TO_TRB_CLKINP : in    std_logic;
+      ADO_LV              : in    std_logic_vector(51 downto 0);
+      ADO_TTL             : inout std_logic_vector(46 downto 0);
+      VIRT_TCK            : out   std_logic;
+      VIRT_TDI            : out   std_logic;
+      VIRT_TDO            : in    std_logic;
+      VIRT_TMS            : out   std_logic;
+      VIRT_TRST           : out   std_logic);
   end component;
-component cts
-  port (
-    VIRT_CLK      : in    std_logic;
-    VIRT_CLKB     : in    std_logic;
-    RESET_VIRT    : in    std_logic;
-    DBAD          : out   std_logic;
-    DGOOD         : out   std_logic;
-    DINT          : out   std_logic;
-    DWAIT         : out   std_logic;
-    FS_PB         : inout   std_logic_vector (17 downto 0);
-    FS_PC         : inout std_logic_vector (17 downto 0);
-    ETRAX_IRQ     : out   std_logic;
-    DSPADDR       : out   std_logic_vector (31 downto 0);
-    DSPDAT        : inout std_logic_vector (31 downto 0);
-    DSP_ACK       : in    std_logic;
-    DSP_BM        : inout std_logic;
-    DSP_BMS       : out   std_logic;
-    DSP_BOFF      : out   std_logic;
-    DSP_BRST      : inout std_logic;
-    DSP_HBG       : in    std_logic;
-    DSP_HBR       : out   std_logic;
-    DSP_IRQ       : out   std_logic_vector (3 downto 0);
-    DSP_RD        : out   std_logic;
-    DSP_RESET     : out   std_logic;
-    DSP_RESET_OUT : in    std_logic;
-    DSP_WRH       : out   std_logic;
-    DSP_WRL       : out   std_logic;
-    VSD_A         : out   std_logic_vector (12 downto 0);
-    VSD_BA        : out   std_logic_vector (1 downto 0);
-    VSD_CAS       : out   std_logic;
-    VSD_CKE       : out   std_logic;
-    VSD_CLOCK     : out   std_logic;
-    VSD_CSEH      : out   std_logic;
-    VSD_CSEL      : out   std_logic;
-    VSD_D         : inout std_logic_vector (31 downto 0);
-    VSD_DQML      : out   std_logic_vector (3 downto 0);
-    VSD_RAS       : out   std_logic;
-    VSD_WE        : out   std_logic;
-    TLK_CLK       : in    std_logic;
-    TLK_ENABLE    : out   std_logic;
-    TLK_LCKREFN   : out   std_logic;
-    TLK_LOOPEN    : out   std_logic;
-    TLK_PRBSEN    : out   std_logic;
-    TLK_RXD       : in    std_logic_vector (15 downto 0);
-    TLK_RX_CLK    : in    std_logic;
-    TLK_RX_DV     : in    std_logic;
-    TLK_RX_ER     : in    std_logic;
-    TLK_TXD       : out   std_logic_vector (15 downto 0);
-    TLK_TX_EN     : out   std_logic;
-    TLK_TX_ER     : out   std_logic;
-    SFP_LOS       : in    std_logic;
-    SFP_TX_DIS    : out   std_logic;
-    SFP_TX_FAULT  : in    std_logic;
---    ADO_CLK1      : in    std_logic;
-    ADO_CLK      : in    std_logic;
-    ADO_LV        : in    std_logic_vector(51 downto 0);
-    ADO_TTL       : inout std_logic_vector(45 downto 0));
+  component trb_v2b_fpga_cts
+    generic (
+      RW_SYSTEM            : integer range 0 to 5:=1;
+      TRBV2_TYPE           : integer range 0 to 5:=5;
+      TRBNET_ENABLE        : integer range 0 to 1:=0;
+      DTU_ENABLE           : integer range 0 to 2:=2;
+      CTU_ENABLE           : integer range 0 to 1:=0;
+      HADES_OLD_BUS_ENABLE : integer range 0 to 1:=0;
+      DSP_INT_ENABLE       : integer range 0 to 1:=0;
+      SDRAM_INT_ENABLE     : integer range 0 to 1:=0;
+      SCALERS_ENABLE       : integer range 0 to 1:=0);
+    port (
+      VIRT_CLK            : in    std_logic;
+      VIRT_CLKB           : in    std_logic;
+      RESET_VIRT          : in    std_logic;
+      DBAD                : out   std_logic;
+      DGOOD               : out   std_logic;
+      DINT                : out   std_logic;
+      DWAIT               : out   std_logic;
+      A_RESERVED          : in    std_logic;
+      A_TEMP              : in    std_logic;
+      B_RESERVED          : in    std_logic;
+      B_TEMP              : in    std_logic;
+      C_RESERVED          : in    std_logic;
+      C_TEMP              : in    std_logic;
+      D_RESERVED          : in    std_logic;
+      D_TEMP              : in    std_logic;
+      VIR_TRIG            : in    std_logic;
+      VIR_TRIGB           : in    std_logic;
+      A_TDC_ERROR         : in    std_logic;
+      B_TDC_ERROR         : in    std_logic;
+      C_TDC_ERROR         : in    std_logic;
+      D_TDC_ERROR         : in    std_logic;
+      A_TDC_POWERUP       : out   std_logic;
+      B_TDC_POWERUP       : out   std_logic;
+      C_TDC_POWERUP       : out   std_logic;
+      D_TDC_POWERUP       : out   std_logic;
+      TOKEN_IN            : in    std_logic;
+      TOKEN_OUT           : out   std_logic;
+      C_TOKEN_OUT_TTL     : in    std_logic;
+      GET_DATA            : out   std_logic;
+      A_DATA_READY        : in    std_logic;
+      B_DATA_READY        : in    std_logic;
+      C_DATA_READY        : in    std_logic;
+      D_DATA_READY        : in    std_logic;
+      REF_TDC_CLK         : in    std_logic;
+      REF_TDC_CLKB        : in    std_logic;
+      A_TDC_BU_RESET      : out   std_logic;
+      A_TDC_BU_RESETB     : out   std_logic;
+      A_TDC_EV_RESET      : out   std_logic;
+      A_TDC_EV_RESETB     : out   std_logic;
+      B_TDC_BU_RESET      : out   std_logic;
+      B_TDC_BU_RESETB     : out   std_logic;
+      B_TDC_EV_RESET      : out   std_logic;
+      B_TDC_EV_RESETB     : out   std_logic;
+      C_TDC_BU_RESET      : out   std_logic;
+      C_TDC_BU_RESETB     : out   std_logic;
+      C_TDC_EV_RESET      : out   std_logic;
+      C_TDC_EV_RESETB     : out   std_logic;
+      D_TDC_BU_RESET      : out   std_logic;
+      D_TDC_BU_RESETB     : out   std_logic;
+      D_TDC_EV_RESET      : out   std_logic;
+      D_TDC_EV_RESETB     : out   std_logic;
+      TDC_OUT             : in    std_logic_vector (31 downto 0);
+      TDC_RESET           : out   std_logic;
+      A_TRIGGER           : out   std_logic;
+      A_TRIGGERB          : out   std_logic;
+      B_TRIGGER           : out   std_logic;
+      B_TRIGGERB          : out   std_logic;
+      C_TRIGGER           : out   std_logic;
+      C_TRIGGERB          : out   std_logic;
+      D_TRIGGER           : out   std_logic;
+      D_TRIGGERB          : out   std_logic;
+      FS_PB               : inout std_logic_vector (16 downto 0);
+      FS_PB_17            : in    std_logic;
+      FS_PC               : inout std_logic_vector (17 downto 0);
+      ETRAX_IRQ           : out   std_logic;
+      A_SCK               : out   std_logic;
+      A_SCKB              : out   std_logic;
+      A_SDI               : in    std_logic;
+      A_SDIB              : in    std_logic;
+      A_SDO               : out   std_logic;
+      A_SDOB              : out   std_logic;
+      A_CSB               : out   std_logic;
+      A_CS                : out   std_logic;
+      B_SCK               : out   std_logic;
+      B_SCKB              : out   std_logic;
+      B_SDI               : in    std_logic;
+      B_SDIB              : in    std_logic;
+      B_SDO               : out   std_logic;
+      B_SDOB              : out   std_logic;
+      B_CSB               : out   std_logic;
+      B_CS                : out   std_logic;
+      C_SCK               : out   std_logic;
+      C_SCKB              : out   std_logic;
+      C_SDI               : in    std_logic;
+      C_SDIB              : in    std_logic;
+      C_SDO               : out   std_logic;
+      C_SDOB              : out   std_logic;
+      C_CSB               : out   std_logic;
+      C_CS                : out   std_logic;
+      D_SCK               : out   std_logic;
+      D_SCKB              : out   std_logic;
+      D_SDI               : in    std_logic;
+      D_SDIB              : in    std_logic;
+      D_SDO               : out   std_logic;
+      D_SDOB              : out   std_logic;
+      D_CSB               : out   std_logic;
+      D_CS                : out   std_logic;
+      A_TEST1             : out   std_logic;
+      A_TEST1B            : out   std_logic;
+      A_TEST2             : out   std_logic;
+      A_TEST2B            : out   std_logic;
+      B_TEST1             : out   std_logic;
+      B_TEST1B            : out   std_logic;
+      B_TEST2             : out   std_logic;
+      B_TEST2B            : out   std_logic;
+      C_TEST1             : out   std_logic;
+      C_TEST1B            : out   std_logic;
+      C_TEST2             : out   std_logic;
+      C_TEST2B            : out   std_logic;
+      D_TEST1             : out   std_logic;
+      D_TEST1B            : out   std_logic;
+      D_TEST2             : out   std_logic;
+      D_TEST2B            : out   std_logic;
+      DSPADDR             : out   std_logic_vector (31 downto 0);
+      DSPDAT              : inout std_logic_vector (31 downto 0);
+      DSP_ACK             : in    std_logic;
+      DSP_BM              : inout std_logic;
+      DSP_BMS             : out   std_logic;
+      DSP_BOFF            : out   std_logic;
+      DSP_BRST            : inout std_logic;
+      DSP_HBG             : in    std_logic;
+      DSP_HBR             : out   std_logic;
+      DSP_IRQ             : out   std_logic_vector (3 downto 0);
+      DSP_RD              : out   std_logic;
+      DSP_RESET           : out   std_logic;
+      DSP_RESET_OUT       : in    std_logic;
+      DSP_WRH             : out   std_logic;
+      DSP_WRL             : out   std_logic;
+      VSD_A               : out   std_logic_vector (12 downto 0);
+      VSD_BA              : out   std_logic_vector (1 downto 0);
+      VSD_CAS             : out   std_logic;
+      VSD_CKE             : out   std_logic;
+      VSD_CLOCK           : out   std_logic;
+      VSD_CSEH            : out   std_logic;
+      VSD_CSEL            : out   std_logic;
+      VSD_D               : inout std_logic_vector (31 downto 0);
+      VSD_DQML            : out   std_logic_vector (3 downto 0);
+      VSD_RAS             : out   std_logic;
+      VSD_WE              : out   std_logic;
+      TLK_CLK             : in    std_logic;
+      TLK_ENABLE          : out   std_logic;
+      TLK_LCKREFN         : out   std_logic;
+      TLK_LOOPEN          : out   std_logic;
+      TLK_PRBSEN          : out   std_logic;
+      TLK_RXD             : in    std_logic_vector (15 downto 0);
+      TLK_RX_CLK          : in    std_logic;
+      TLK_RX_DV           : in    std_logic;
+      TLK_RX_ER           : in    std_logic;
+      TLK_TXD             : out   std_logic_vector (15 downto 0);
+      TLK_TX_EN           : out   std_logic;
+      TLK_TX_ER           : out   std_logic;
+      SFP_LOS             : in    std_logic;
+      SFP_TX_DIS          : out   std_logic;
+      SFP_TX_FAULT        : in    std_logic;
+      ADDON_TO_TRB_CLKINN : in    std_logic;
+      ADDON_TO_TRB_CLKINP : in    std_logic;
+      ADO_LV              : in    std_logic_vector(51 downto 0);
+      ADO_TTL             : inout std_logic_vector(46 downto 0);
+      VIRT_TCK            : out   std_logic;
+      VIRT_TDI            : out   std_logic;
+      VIRT_TDO            : in    std_logic;
+      VIRT_TMS            : out   std_logic;
+      VIRT_TRST           : out   std_logic);
   end component;
+  constant HOW_MANY_ACTIVE_TRBS : integer := 15;
   --hub
   signal LVDS_CLK_200P_i      : std_logic;
-  signal ADO_TTL_i            : std_logic_vector(45 downto 0);
+  signal HUB_ADO_TTL_i            : std_logic_vector(46 downto 0);
   signal DBAD_i               : std_logic;
   signal DGOOD_i              : std_logic;
   signal DINT_i               : std_logic;
@@ -273,781 +409,689 @@ component cts
   signal SFP_INP_P_i          : std_logic_vector(15 downto 0);
   signal SFP_OUT_N_i          : std_logic_vector(15 downto 0);
   signal SFP_OUT_P_i          : std_logic_vector(15 downto 0);
-  signal OPT_DATA_IN_i        : std_logic_vector(63 downto 0);
-  signal OPT_DATA_OUT_i       : std_logic_vector(63 downto 0);
-  signal OPT_DATA_VALID_IN_i  : std_logic_vector(2 downto 0);
-  signal OPT_DATA_VALID_OUT_i : std_logic_vector(2 downto 0);
-  --trb_0
-  signal VIRT_CLK_i        : std_logic;
-  signal VIRT_CLKB_i       : std_logic;
-  signal RESET_VIRT_0      : std_logic;
-  signal A_RESERVED_0      : std_logic;
-  signal A_TEMP_0          : std_logic;
-  signal B_RESERVED_0      : std_logic;
-  signal B_TEMP_0          : std_logic;
-  signal C_RESERVED_0      : std_logic;
-  signal C_TEMP_0          : std_logic;
-  signal D_RESERVED_0      : std_logic;
-  signal D_TEMP_0          : std_logic;
-  signal VIR_TRIG_0        : std_logic;
-  signal VIR_TRIGB_0       : std_logic;
-  signal A_TDC_ERROR_0     : std_logic;
-  signal B_TDC_ERROR_0     : std_logic;
-  signal C_TDC_ERROR_0     : std_logic;
-  signal D_TDC_ERROR_0     : std_logic;
-  signal A_TDC_POWERUP_0   : std_logic;
-  signal B_TDC_POWERUP_0   : std_logic;
-  signal C_TDC_POWERUP_0   : std_logic;
-  signal D_TDC_POWERUP_0   : std_logic;
-  signal TOKEN_IN_0        : std_logic;
-  signal TOKEN_OUT_0       : std_logic;
-  signal C_TOKEN_OUT_TTL_0 : std_logic;
-  signal GET_DATA_0        : std_logic;
-  signal A_DATA_READY_0    : std_logic;
-  signal B_DATA_READY_0    : std_logic;
-  signal C_DATA_READY_0    : std_logic;
-  signal D_DATA_READY_0    : std_logic;
-  signal REF_TDC_CLK_i     : std_logic;
-  signal REF_TDC_CLKB_i    : std_logic;
-  signal A_TDC_BU_RESET_0  : std_logic;
-  signal A_TDC_BU_RESETB_0 : std_logic;
-  signal A_TDC_EV_RESET_0  : std_logic;
-  signal A_TDC_EV_RESETB_0 : std_logic;
-  signal B_TDC_BU_RESET_0  : std_logic;
-  signal B_TDC_BU_RESETB_0 : std_logic;
-  signal B_TDC_EV_RESET_0  : std_logic;
-  signal B_TDC_EV_RESETB_0 : std_logic;
-  signal C_TDC_BU_RESET_0  : std_logic;
-  signal C_TDC_BU_RESETB_0 : std_logic;
-  signal C_TDC_EV_RESET_0  : std_logic;
-  signal C_TDC_EV_RESETB_0 : std_logic;
-  signal D_TDC_BU_RESET_0  : std_logic;
-  signal D_TDC_BU_RESETB_0 : std_logic;
-  signal D_TDC_EV_RESET_0  : std_logic;
-  signal D_TDC_EV_RESETB_0 : std_logic;
-  signal TDC_OUT_0         : std_logic_vector (31 downto 0);
-  signal TDC_RESET_0       : std_logic;
-  signal A_TRIGGER_0       : std_logic;
-  signal A_TRIGGERB_0      : std_logic;
-  signal B_TRIGGER_0       : std_logic;
-  signal B_TRIGGERB_0      : std_logic;
-  signal C_TRIGGER_0       : std_logic;
-  signal C_TRIGGERB_0      : std_logic;
-  signal D_TRIGGER_0       : std_logic;
-  signal D_TRIGGERB_0      : std_logic;
-  signal FS_PB_0           : std_logic_vector (17 downto 0);
-  signal FS_PC_0           : std_logic_vector (17 downto 0);
-  signal ETRAX_IRQ_0       : std_logic;
-  signal A_SCK_0           : std_logic;
-  signal A_SCKB_0          : std_logic;
-  signal A_SDI_0           : std_logic;
-  signal A_SDIB_0          : std_logic;
-  signal A_SDO_0           : std_logic;
-  signal A_SDOB_0          : std_logic;
-  signal A_CSB_0           : std_logic;
-  signal A_CS_0            : std_logic;
-  signal B_SCK_0           : std_logic;
-  signal B_SCKB_0          : std_logic;
-  signal B_SDI_0           : std_logic;
-  signal B_SDIB_0          : std_logic;
-  signal B_SDO_0           : std_logic;
-  signal B_SDOB_0          : std_logic;
-  signal B_CSB_0           : std_logic;
-  signal B_CS_0            : std_logic;
-  signal C_SCK_0           : std_logic;
-  signal C_SCKB_0          : std_logic;
-  signal C_SDI_0           : std_logic;
-  signal C_SDIB_0          : std_logic;
-  signal C_SDO_0           : std_logic;
-  signal C_SDOB_0          : std_logic;
-  signal C_CSB_0           : std_logic;
-  signal C_CS_0            : std_logic;
-  signal D_SCK_0           : std_logic;
-  signal D_SCKB_0          : std_logic;
-  signal D_SDI_0           : std_logic;
-  signal D_SDIB_0          : std_logic;
-  signal D_SDO_0           : std_logic;
-  signal D_SDOB_0          : std_logic;
-  signal D_CSB_0           : std_logic;
-  signal D_CS_0            : std_logic;
-  signal A_TEST1_0         : std_logic;
-  signal A_TEST1B_0        : std_logic;
-  signal A_TEST2_0         : std_logic;
-  signal A_TEST2B_0        : std_logic;
-  signal B_TEST1_0         : std_logic;
-  signal B_TEST1B_0        : std_logic;
-  signal B_TEST2_0         : std_logic;
-  signal B_TEST2B_0        : std_logic;
-  signal C_TEST1_0         : std_logic;
-  signal C_TEST1B_0        : std_logic;
-  signal C_TEST2_0         : std_logic;
-  signal C_TEST2B_0        : std_logic;
-  signal D_TEST1_0         : std_logic;
-  signal D_TEST1B_0        : std_logic;
-  signal D_TEST2_0         : std_logic;
-  signal D_TEST2B_0        : std_logic;
---   signal DSPADDR_0         : std_logic_vector (31 downto 0);
---   signal DSPDAT_0          : std_logic_vector (31 downto 0);
---   signal DSP_ACK_0         : std_logic;
---   signal DSP_BM_0          : std_logic;
---   signal DSP_BMS_0         : std_logic;
---   signal DSP_BOFF_0        : std_logic;
---   signal DSP_BRST_0        : std_logic;
---   signal DSP_HBG_0         : std_logic;
---   signal DSP_HBR_0         : std_logic;
---   signal DSP_IRQ_0         : std_logic_vector (3 downto 0);
---   signal DSP_RD_0          : std_logic;
---   signal DSP_RESET_0       : std_logic;
---   signal DSP_RESET_OUT_0   : std_logic;
---   signal DSP_WRH_0         : std_logic;
---   signal DSP_WRL_0         : std_logic;
---   signal VSD_A_0           : std_logic_vector (12 downto 0);
---   signal VSD_BA_0          : std_logic_vector (1 downto 0);
---   signal VSD_CAS_0         : std_logic;
---   signal VSD_CKE_0         : std_logic;
---   signal VSD_CLOCK_0       : std_logic;
---   signal VSD_CSEH_0        : std_logic;
---   signal VSD_CSEL_0        : std_logic;
---   signal VSD_D_0           : std_logic_vector (31 downto 0);
---   signal VSD_DQML_0        : std_logic_vector (3 downto 0);
---   signal VSD_RAS_0         : std_logic;
---   signal VSD_WE_0          : std_logic;
-  signal TLK_CLK_i         : std_logic;
-  signal TLK_ENABLE_0      : std_logic;
-  signal TLK_LCKREFN_0     : std_logic;
-  signal TLK_LOOPEN_0      : std_logic;
-  signal TLK_PRBSEN_0      : std_logic;
-  signal TLK_RXD_0         : std_logic_vector (15 downto 0);
-  signal TLK_RX_CLK_i      : std_logic;
-  signal TLK_RX_DV_0       : std_logic;
-  signal TLK_RX_ER_0       : std_logic;
-  signal TLK_TXD_0         : std_logic_vector (15 downto 0);
-  signal TLK_TX_EN_0       : std_logic;
-  signal TLK_TX_ER_0       : std_logic;
-  signal SFP_LOS_0         : std_logic;
-  signal SFP_TX_DIS_0      : std_logic;
-  signal SFP_TX_FAULT_0    : std_logic;
-  signal ADO_LV_0          : std_logic_vector(51 downto 0);
-  signal ADDON_TO_TRB_CLKINP_i : std_logic;
+  signal OPT_DATA_IN_i        : std_logic_vector(255 downto 0);
+  signal OPT_DATA_OUT_i       : std_logic_vector(255 downto 0);
+  signal OPT_DATA_VALID_IN_i  : std_logic_vector(15 downto 0);
+  signal OPT_DATA_VALID_OUT_i : std_logic_vector(15 downto 0);
+  
+  --trb
+  signal VIRT_CLK_i            : std_logic;
+  signal VIRT_CLKB_i           : std_logic;
+  signal RESET_VIRT_i          : std_logic;
+--   signal DBAD_i                : std_logic;
+--   signal DGOOD_i               : std_logic;
+--   signal DINT_i                : std_logic;
+--   signal DWAIT_i               : std_logic;
+  signal A_RESERVED_i          : std_logic;
+  signal A_TEMP_i              : std_logic;
+  signal B_RESERVED_i          : std_logic;
+  signal B_TEMP_i              : std_logic;
+  signal C_RESERVED_i          : std_logic;
+  signal C_TEMP_i              : std_logic;
+  signal D_RESERVED_i          : std_logic;
+  signal D_TEMP_i              : std_logic;
+  signal VIR_TRIG_i            : std_logic;
+  signal VIR_TRIGB_i           : std_logic;
+  signal A_TDC_ERROR_i         : std_logic;
+  signal B_TDC_ERROR_i         : std_logic;
+  signal C_TDC_ERROR_i         : std_logic;
+  signal D_TDC_ERROR_i         : std_logic;
+  signal A_TDC_POWERUP_i       : std_logic;
+  signal B_TDC_POWERUP_i       : std_logic;
+  signal C_TDC_POWERUP_i       : std_logic;
+  signal D_TDC_POWERUP_i       : std_logic;
+  signal TOKEN_IN_i            : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal TOKEN_OUT_i           : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal C_TOKEN_OUT_TTL_i     : std_logic;
+  signal GET_DATA_i            : std_logic;
+  signal A_DATA_READY_i        : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal B_DATA_READY_i        : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal C_DATA_READY_i        : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal D_DATA_READY_i        : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal REF_TDC_CLK_i         : std_logic;
+  signal REF_TDC_CLKB_i        : std_logic;
+  signal A_TDC_BU_RESET_i      : std_logic;
+  signal A_TDC_BU_RESETB_i     : std_logic;
+  signal A_TDC_EV_RESET_i      : std_logic;
+  signal A_TDC_EV_RESETB_i     : std_logic;
+  signal B_TDC_BU_RESET_i      : std_logic;
+  signal B_TDC_BU_RESETB_i     : std_logic;
+  signal B_TDC_EV_RESET_i      : std_logic;
+  signal B_TDC_EV_RESETB_i     : std_logic;
+  signal C_TDC_BU_RESET_i      : std_logic;
+  signal C_TDC_BU_RESETB_i     : std_logic;
+  signal C_TDC_EV_RESET_i      : std_logic;
+  signal C_TDC_EV_RESETB_i     : std_logic;
+  signal D_TDC_BU_RESET_i      : std_logic;
+  signal D_TDC_BU_RESETB_i     : std_logic;
+  signal D_TDC_EV_RESET_i      : std_logic;
+  signal D_TDC_EV_RESETB_i     : std_logic;
+  signal TDC_OUT_i             : std_logic_vector (HOW_MANY_ACTIVE_TRBS*32-1 downto 0);
+  signal TDC_RESET_i           : std_logic;
+  signal A_TRIGGER_i           : std_logic;
+  signal A_TRIGGERB_i          : std_logic;
+  signal B_TRIGGER_i           : std_logic;
+  signal B_TRIGGERB_i          : std_logic;
+  signal C_TRIGGER_i           : std_logic;
+  signal C_TRIGGERB_i          : std_logic;
+  signal D_TRIGGER_i           : std_logic;
+  signal D_TRIGGERB_i          : std_logic;
+  signal FS_PB_i               : std_logic_vector (17*HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal FS_PB_17_i            : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal FS_PC_i               : std_logic_vector (18*HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal ETRAX_IRQ_i           : std_logic;
+  signal A_SCK_i               : std_logic;
+  signal A_SCKB_i              : std_logic;
+  signal A_SDI_i               : std_logic;
+  signal A_SDIB_i              : std_logic;
+  signal A_SDO_i               : std_logic;
+  signal A_SDOB_i              : std_logic;
+  signal A_CSB_i               : std_logic;
+  signal A_CS_i                : std_logic;
+  signal B_SCK_i               : std_logic;
+  signal B_SCKB_i              : std_logic;
+  signal B_SDI_i               : std_logic;
+  signal B_SDIB_i              : std_logic;
+  signal B_SDO_i               : std_logic;
+  signal B_SDOB_i              : std_logic;
+  signal B_CSB_i               : std_logic;
+  signal B_CS_i                : std_logic;
+  signal C_SCK_i               : std_logic;
+  signal C_SCKB_i              : std_logic;
+  signal C_SDI_i               : std_logic;
+  signal C_SDIB_i              : std_logic;
+  signal C_SDO_i               : std_logic;
+  signal C_SDOB_i              : std_logic;
+  signal C_CSB_i               : std_logic;
+  signal C_CS_i                : std_logic;
+  signal D_SCK_i               : std_logic;
+  signal D_SCKB_i              : std_logic;
+  signal D_SDI_i               : std_logic;
+  signal D_SDIB_i              : std_logic;
+  signal D_SDO_i               : std_logic;
+  signal D_SDOB_i              : std_logic;
+  signal D_CSB_i               : std_logic;
+  signal D_CS_i                : std_logic;
+  signal A_TEST1_i             : std_logic;
+  signal A_TEST1B_i            : std_logic;
+  signal A_TEST2_i             : std_logic;
+  signal A_TEST2B_i            : std_logic;
+  signal B_TEST1_i             : std_logic;
+  signal B_TEST1B_i            : std_logic;
+  signal B_TEST2_i             : std_logic;
+  signal B_TEST2B_i            : std_logic;
+  signal C_TEST1_i             : std_logic;
+  signal C_TEST1B_i            : std_logic;
+  signal C_TEST2_i             : std_logic;
+  signal C_TEST2B_i            : std_logic;
+  signal D_TEST1_i             : std_logic;
+  signal D_TEST1B_i            : std_logic;
+  signal D_TEST2_i             : std_logic;
+  signal D_TEST2B_i            : std_logic;
+  signal DSPADDR_i             : std_logic_vector (31 downto 0);
+  signal DSPDAT_i              : std_logic_vector (31 downto 0);
+  signal DSP_ACK_i             : std_logic;
+  signal DSP_BM_i              : std_logic;
+  signal DSP_BMS_i             : std_logic;
+  signal DSP_BOFF_i            : std_logic;
+  signal DSP_BRST_i            : std_logic;
+  signal DSP_HBG_i             : std_logic;
+  signal DSP_HBR_i             : std_logic;
+  signal DSP_IRQ_i             : std_logic_vector (3 downto 0);
+  signal DSP_RD_i              : std_logic;
+  signal DSP_RESET_i           : std_logic;
+  signal DSP_RESET_OUT_i       : std_logic;
+  signal DSP_WRH_i             : std_logic;
+  signal DSP_WRL_i             : std_logic;
+  signal VSD_A_i               : std_logic_vector (12 downto 0);
+  signal VSD_BA_i              : std_logic_vector (1 downto 0);
+  signal VSD_CAS_i             : std_logic;
+  signal VSD_CKE_i             : std_logic;
+  signal VSD_CLOCK_i           : std_logic;
+  signal VSD_CSEH_i            : std_logic;
+  signal VSD_CSEL_i            : std_logic;
+  signal VSD_D_i               : std_logic_vector (31 downto 0);
+  signal VSD_DQML_i            : std_logic_vector (3 downto 0);
+  signal VSD_RAS_i             : std_logic;
+  signal VSD_WE_i              : std_logic;
+  signal TLK_CLK_i             : std_logic;
+  signal TLK_ENABLE_i          : std_logic;
+  signal TLK_LCKREFN_i         : std_logic;
+  signal TLK_LOOPEN_i          : std_logic;
+  signal TLK_PRBSEN_i          : std_logic;
+  signal TLK_RXD_i             : std_logic_vector (15 downto 0);
+  signal TLK_RX_CLK_i          : std_logic;
+  signal TLK_RX_DV_i           : std_logic;
+  signal TLK_RX_ER_i           : std_logic;
+  signal TLK_TXD_i             : std_logic_vector (15 downto 0);
+  signal TLK_TX_EN_i           : std_logic;
+  signal TLK_TX_ER_i           : std_logic;
+  signal SFP_LOS_i             : std_logic;
+  signal SFP_TX_DIS_i          : std_logic;
+  signal SFP_TX_FAULT_i        : std_logic;
   signal ADDON_TO_TRB_CLKINN_i : std_logic;
-  signal VIRT_TCK_0        : std_logic;
-  signal VIRT_TDI_0        : std_logic;
-  signal VIRT_TDO_0        : std_logic;
-  signal VIRT_TMS_0        : std_logic;
-  signal VIRT_TRST_0       : std_logic;
-  --trb_1
-  signal RESET_VIRT_1      : std_logic;
-  signal A_RESERVED_1      : std_logic;
-  signal A_TEMP_1          : std_logic;
-  signal B_RESERVED_1      : std_logic;
-  signal B_TEMP_1          : std_logic;
-  signal C_RESERVED_1      : std_logic;
-  signal C_TEMP_1          : std_logic;
-  signal D_RESERVED_1      : std_logic;
-  signal D_TEMP_1          : std_logic;
-  signal VIR_TRIG_1        : std_logic;
-  signal VIR_TRIGB_1       : std_logic;
-  signal A_TDC_ERROR_1     : std_logic;
-  signal B_TDC_ERROR_1     : std_logic;
-  signal C_TDC_ERROR_1     : std_logic;
-  signal D_TDC_ERROR_1     : std_logic;
-  signal A_TDC_POWERUP_1   : std_logic;
-  signal B_TDC_POWERUP_1   : std_logic;
-  signal C_TDC_POWERUP_1   : std_logic;
-  signal D_TDC_POWERUP_1   : std_logic;
-  signal TOKEN_IN_1        : std_logic;
-  signal TOKEN_OUT_1       : std_logic;
-  signal C_TOKEN_OUT_TTL_1 : std_logic;
-  signal GET_DATA_1        : std_logic;
-  signal A_DATA_READY_1    : std_logic;
-  signal B_DATA_READY_1    : std_logic;
-  signal C_DATA_READY_1    : std_logic;
-  signal D_DATA_READY_1    : std_logic;
---  signal REF_TDC_CLK_i     : std_logic;
---  signal REF_TDC_CLKB_i    : std_logic;
-  signal A_TDC_BU_RESET_1  : std_logic;
-  signal A_TDC_BU_RESETB_1 : std_logic;
-  signal A_TDC_EV_RESET_1  : std_logic;
-  signal A_TDC_EV_RESETB_1 : std_logic;
-  signal B_TDC_BU_RESET_1  : std_logic;
-  signal B_TDC_BU_RESETB_1 : std_logic;
-  signal B_TDC_EV_RESET_1  : std_logic;
-  signal B_TDC_EV_RESETB_1 : std_logic;
-  signal C_TDC_BU_RESET_1  : std_logic;
-  signal C_TDC_BU_RESETB_1 : std_logic;
-  signal C_TDC_EV_RESET_1  : std_logic;
-  signal C_TDC_EV_RESETB_1 : std_logic;
-  signal D_TDC_BU_RESET_1  : std_logic;
-  signal D_TDC_BU_RESETB_1 : std_logic;
-  signal D_TDC_EV_RESET_1  : std_logic;
-  signal D_TDC_EV_RESETB_1 : std_logic;
-  signal TDC_OUT_1         : std_logic_vector (31 downto 0);
-  signal TDC_RESET_1       : std_logic;
-  signal A_TRIGGER_1       : std_logic;
-  signal A_TRIGGERB_1      : std_logic;
-  signal B_TRIGGER_1       : std_logic;
-  signal B_TRIGGERB_1      : std_logic;
-  signal C_TRIGGER_1       : std_logic;
-  signal C_TRIGGERB_1      : std_logic;
-  signal D_TRIGGER_1       : std_logic;
-  signal D_TRIGGERB_1      : std_logic;
-  signal FS_PB_1           : std_logic_vector (17 downto 0);
-  signal FS_PC_1           : std_logic_vector (17 downto 0);
-  signal ETRAX_IRQ_1       : std_logic;
-  signal A_SCK_1           : std_logic;
-  signal A_SCKB_1          : std_logic;
-  signal A_SDI_1           : std_logic;
-  signal A_SDIB_1          : std_logic;
-  signal A_SDO_1           : std_logic;
-  signal A_SDOB_1          : std_logic;
-  signal A_CSB_1           : std_logic;
-  signal A_CS_1            : std_logic;
-  signal B_SCK_1           : std_logic;
-  signal B_SCKB_1          : std_logic;
-  signal B_SDI_1           : std_logic;
-  signal B_SDIB_1          : std_logic;
-  signal B_SDO_1           : std_logic;
-  signal B_SDOB_1          : std_logic;
-  signal B_CSB_1           : std_logic;
-  signal B_CS_1            : std_logic;
-  signal C_SCK_1           : std_logic;
-  signal C_SCKB_1          : std_logic;
-  signal C_SDI_1           : std_logic;
-  signal C_SDIB_1          : std_logic;
-  signal C_SDO_1           : std_logic;
-  signal C_SDOB_1          : std_logic;
-  signal C_CSB_1           : std_logic;
-  signal C_CS_1            : std_logic;
-  signal D_SCK_1           : std_logic;
-  signal D_SCKB_1          : std_logic;
-  signal D_SDI_1           : std_logic;
-  signal D_SDIB_1          : std_logic;
-  signal D_SDO_1           : std_logic;
-  signal D_SDOB_1          : std_logic;
-  signal D_CSB_1           : std_logic;
-  signal D_CS_1            : std_logic;
-  signal A_TEST1_1         : std_logic;
-  signal A_TEST1B_1        : std_logic;
-  signal A_TEST2_1         : std_logic;
-  signal A_TEST2B_1        : std_logic;
-  signal B_TEST1_1         : std_logic;
-  signal B_TEST1B_1        : std_logic;
-  signal B_TEST2_1         : std_logic;
-  signal B_TEST2B_1        : std_logic;
-  signal C_TEST1_1         : std_logic;
-  signal C_TEST1B_1        : std_logic;
-  signal C_TEST2_1         : std_logic;
-  signal C_TEST2B_1        : std_logic;
-  signal D_TEST1_1         : std_logic;
-  signal D_TEST1B_1        : std_logic;
-  signal D_TEST2_1         : std_logic;
-  signal D_TEST2B_1        : std_logic;
---   signal DSPADDR_1         : std_logic_vector (31 downto 0);
---   signal DSPDAT_1          : std_logic_vector (31 downto 0);
---   signal DSP_ACK_1         : std_logic;
---   signal DSP_BM_1          : std_logic;
---   signal DSP_BMS_1         : std_logic;
---   signal DSP_BOFF_1        : std_logic;
---   signal DSP_BRST_1        : std_logic;
---   signal DSP_HBG_1         : std_logic;
---   signal DSP_HBR_1         : std_logic;
---   signal DSP_IRQ_1         : std_logic_vector (3 downto 0);
---   signal DSP_RD_1          : std_logic;
---   signal DSP_RESET_1       : std_logic;
---   signal DSP_RESET_OUT_1   : std_logic;
---   signal DSP_WRH_1         : std_logic;
---   signal DSP_WRL_1         : std_logic;
---   signal VSD_A_1           : std_logic_vector (12 downto 0);
---   signal VSD_BA_1          : std_logic_vector (1 downto 0);
---   signal VSD_CAS_1         : std_logic;
---   signal VSD_CKE_1         : std_logic;
---   signal VSD_CLOCK_1       : std_logic;
---   signal VSD_CSEH_1        : std_logic;
---   signal VSD_CSEL_1        : std_logic;
---   signal VSD_D_1           : std_logic_vector (31 downto 0);
---   signal VSD_DQML_1        : std_logic_vector (3 downto 0);
---   signal VSD_RAS_1         : std_logic;
---   signal VSD_WE_1          : std_logic;
---  signal TLK_CLK_1         : std_logic;
-  signal TLK_ENABLE_1      : std_logic;
-  signal TLK_LCKREFN_1     : std_logic;
-  signal TLK_LOOPEN_1      : std_logic;
-  signal TLK_PRBSEN_1      : std_logic;
-  signal TLK_RXD_1         : std_logic_vector (15 downto 0);
---  signal TLK_RX_CLK_1      : std_logic;
-  signal TLK_RX_DV_1       : std_logic;
-  signal TLK_RX_ER_1       : std_logic;
-  signal TLK_TXD_1         : std_logic_vector (15 downto 0);
-  signal TLK_TX_EN_1       : std_logic;
-  signal TLK_TX_ER_1       : std_logic;
-  signal SFP_LOS_1         : std_logic;
-  signal SFP_TX_DIS_1      : std_logic;
-  signal SFP_TX_FAULT_1    : std_logic;
-  signal ADO_LV_1          : std_logic_vector(51 downto 0);
-  signal ADDON_TO_TRB_CLKINP_1 : std_logic;
-  signal ADDON_TO_TRB_CLKINN_1 : std_logic;
-  signal VIRT_TCK_1        : std_logic;
-  signal VIRT_TDI_1        : std_logic;
-  signal VIRT_TDO_1        : std_logic;
-  signal VIRT_TMS_1        : std_logic;
-  signal VIRT_TRST_1       : std_logic;
+  signal ADDON_TO_TRB_CLKINP_i : std_logic;
+  signal ADO_LV_i              : std_logic_vector(51 downto 0);
+  signal ADO_TTL_i             : std_logic_vector(46 downto 0);
+  signal VIRT_TCK_i            : std_logic;
+  signal VIRT_TDI_i            : std_logic;
+  signal VIRT_TDO_i            : std_logic;
+  signal VIRT_TMS_i            : std_logic;
+  signal VIRT_TRST_i           : std_logic;
 
   --cts
-  signal FS_PB_CTS_i           : std_logic_vector (17 downto 0);
-  signal FS_PC_CTS_i           : std_logic_vector (17 downto 0);
-  signal TLK_CLK_CTS_i       : std_logic;
-  signal TLK_ENABLE_CTS_i    : std_logic;
-  signal TLK_LCKREFN_CTS_i   : std_logic;
-  signal TLK_LOOPEN_CTS_i    : std_logic;
-  signal TLK_PRBSEN_CTS_i    : std_logic;
-  signal TLK_RXD_CTS_i       : std_logic_vector (15 downto 0);
-  signal TLK_RX_CLK_CTS_i    : std_logic;
-  signal TLK_RX_DV_CTS_i     : std_logic;
-  signal TLK_RX_ER_CTS_i     : std_logic;
-  signal TLK_TXD_CTS_i       : std_logic_vector (15 downto 0);
-  signal TLK_TX_EN_CTS_i     : std_logic;
-  signal TLK_TX_ER_CTS_i     : std_logic;
-  signal SFP_LOS_CTS_i       : std_logic;
-  signal SFP_TX_DIS_CTS_i    : std_logic;
-  signal SFP_TX_FAULT_CTS_i  : std_logic;
-  signal ADO_CLK1_i      : std_logic;
-  signal ADO_CLK2_i      : std_logic;
-  signal ADO_LV_CTS_i        : std_logic_vector(51 downto 0);
-  signal ADO_TTL_CTS_i       : std_logic_vector(45 downto 0);
-  --local
+  signal CTS_VIRT_CLK_i            : std_logic;
+  signal CTS_VIRT_CLKB_i           : std_logic;
+  signal CTS_RESET_VIRT_i          : std_logic;
+  signal CTS_DBAD_i                : std_logic;
+  signal CTS_DGOOD_i               : std_logic;
+  signal CTS_DINT_i                : std_logic;
+  signal CTS_DWAIT_i               : std_logic;
+  signal CTS_A_RESERVED_i          : std_logic;
+  signal CTS_A_TEMP_i              : std_logic;
+  signal CTS_B_RESERVED_i          : std_logic;
+  signal CTS_B_TEMP_i              : std_logic;
+  signal CTS_C_RESERVED_i          : std_logic;
+  signal CTS_C_TEMP_i              : std_logic;
+  signal CTS_D_RESERVED_i          : std_logic;
+  signal CTS_D_TEMP_i              : std_logic;
+  signal CTS_VIR_TRIG_i            : std_logic;
+  signal CTS_VIR_TRIGB_i           : std_logic;
+  signal CTS_A_TDC_ERROR_i         : std_logic;
+  signal CTS_B_TDC_ERROR_i         : std_logic;
+  signal CTS_C_TDC_ERROR_i         : std_logic;
+  signal CTS_D_TDC_ERROR_i         : std_logic;
+  signal CTS_A_TDC_POWERUP_i       : std_logic;
+  signal CTS_B_TDC_POWERUP_i       : std_logic;
+  signal CTS_C_TDC_POWERUP_i       : std_logic;
+  signal CTS_D_TDC_POWERUP_i       : std_logic;
+  signal CTS_TOKEN_IN_i            : std_logic;
+  signal CTS_TOKEN_OUT_i           : std_logic;
+  signal CTS_C_TOKEN_OUT_TTL_i     : std_logic;
+  signal CTS_GET_DATA_i            : std_logic;
+  signal CTS_A_DATA_READY_i        : std_logic;
+  signal CTS_B_DATA_READY_i        : std_logic;
+  signal CTS_C_DATA_READY_i        : std_logic;
+  signal CTS_D_DATA_READY_i        : std_logic;
+  signal CTS_REF_TDC_CLK_i         : std_logic;
+  signal CTS_REF_TDC_CLKB_i        : std_logic;
+  signal CTS_A_TDC_BU_RESET_i      : std_logic;
+  signal CTS_A_TDC_BU_RESETB_i     : std_logic;
+  signal CTS_A_TDC_EV_RESET_i      : std_logic;
+  signal CTS_A_TDC_EV_RESETB_i     : std_logic;
+  signal CTS_B_TDC_BU_RESET_i      : std_logic;
+  signal CTS_B_TDC_BU_RESETB_i     : std_logic;
+  signal CTS_B_TDC_EV_RESET_i      : std_logic;
+  signal CTS_B_TDC_EV_RESETB_i     : std_logic;
+  signal CTS_C_TDC_BU_RESET_i      : std_logic;
+  signal CTS_C_TDC_BU_RESETB_i     : std_logic;
+  signal CTS_C_TDC_EV_RESET_i      : std_logic;
+  signal CTS_C_TDC_EV_RESETB_i     : std_logic;
+  signal CTS_D_TDC_BU_RESET_i      : std_logic;
+  signal CTS_D_TDC_BU_RESETB_i     : std_logic;
+  signal CTS_D_TDC_EV_RESET_i      : std_logic;
+  signal CTS_D_TDC_EV_RESETB_i     : std_logic;
+  signal CTS_TDC_OUT_i             : std_logic_vector (31 downto 0);
+  signal CTS_TDC_RESET_i           : std_logic;
+  signal CTS_A_TRIGGER_i           : std_logic;
+  signal CTS_A_TRIGGERB_i          : std_logic;
+  signal CTS_B_TRIGGER_i           : std_logic;
+  signal CTS_B_TRIGGERB_i          : std_logic;
+  signal CTS_C_TRIGGER_i           : std_logic;
+  signal CTS_C_TRIGGERB_i          : std_logic;
+  signal CTS_D_TRIGGER_i           : std_logic;
+  signal CTS_D_TRIGGERB_i          : std_logic;
+  signal CTS_FS_PB_i               : std_logic_vector (16 downto 0);
+  signal CTS_FS_PB_17_i            : std_logic;
+  signal CTS_FS_PC_i               : std_logic_vector (17 downto 0);
+  signal CTS_ETRAX_IRQ_i           : std_logic;
+  signal CTS_A_SCK_i               : std_logic;
+  signal CTS_A_SCKB_i              : std_logic;
+  signal CTS_A_SDI_i               : std_logic;
+  signal CTS_A_SDIB_i              : std_logic;
+  signal CTS_A_SDO_i               : std_logic;
+  signal CTS_A_SDOB_i              : std_logic;
+  signal CTS_A_CSB_i               : std_logic;
+  signal CTS_A_CS_i                : std_logic;
+  signal CTS_B_SCK_i               : std_logic;
+  signal CTS_B_SCKB_i              : std_logic;
+  signal CTS_B_SDI_i               : std_logic;
+  signal CTS_B_SDIB_i              : std_logic;
+  signal CTS_B_SDO_i               : std_logic;
+  signal CTS_B_SDOB_i              : std_logic;
+  signal CTS_B_CSB_i               : std_logic;
+  signal CTS_B_CS_i                : std_logic;
+  signal CTS_C_SCK_i               : std_logic;
+  signal CTS_C_SCKB_i              : std_logic;
+  signal CTS_C_SDI_i               : std_logic;
+  signal CTS_C_SDIB_i              : std_logic;
+  signal CTS_C_SDO_i               : std_logic;
+  signal CTS_C_SDOB_i              : std_logic;
+  signal CTS_C_CSB_i               : std_logic;
+  signal CTS_C_CS_i                : std_logic;
+  signal CTS_D_SCK_i               : std_logic;
+  signal CTS_D_SCKB_i              : std_logic;
+  signal CTS_D_SDI_i               : std_logic;
+  signal CTS_D_SDIB_i              : std_logic;
+  signal CTS_D_SDO_i               : std_logic;
+  signal CTS_D_SDOB_i              : std_logic;
+  signal CTS_D_CSB_i               : std_logic;
+  signal CTS_D_CS_i                : std_logic;
+  signal CTS_A_TEST1_i             : std_logic;
+  signal CTS_A_TEST1B_i            : std_logic;
+  signal CTS_A_TEST2_i             : std_logic;
+  signal CTS_A_TEST2B_i            : std_logic;
+  signal CTS_B_TEST1_i             : std_logic;
+  signal CTS_B_TEST1B_i            : std_logic;
+  signal CTS_B_TEST2_i             : std_logic;
+  signal CTS_B_TEST2B_i            : std_logic;
+  signal CTS_C_TEST1_i             : std_logic;
+  signal CTS_C_TEST1B_i            : std_logic;
+  signal CTS_C_TEST2_i             : std_logic;
+  signal CTS_C_TEST2B_i            : std_logic;
+  signal CTS_D_TEST1_i             : std_logic;
+  signal CTS_D_TEST1B_i            : std_logic;
+  signal CTS_D_TEST2_i             : std_logic;
+  signal CTS_D_TEST2B_i            : std_logic;
+  signal CTS_DSPADDR_i             : std_logic_vector (31 downto 0);
+  signal CTS_DSPDAT_i              : std_logic_vector (31 downto 0);
+  signal CTS_DSP_ACK_i             : std_logic;
+  signal CTS_DSP_BM_i              : std_logic;
+  signal CTS_DSP_BMS_i             : std_logic;
+  signal CTS_DSP_BOFF_i            : std_logic;
+  signal CTS_DSP_BRST_i            : std_logic;
+  signal CTS_DSP_HBG_i             : std_logic;
+  signal CTS_DSP_HBR_i             : std_logic;
+  signal CTS_DSP_IRQ_i             : std_logic_vector (3 downto 0);
+  signal CTS_DSP_RD_i              : std_logic;
+  signal CTS_DSP_RESET_i           : std_logic;
+  signal CTS_DSP_RESET_OUT_i       : std_logic;
+  signal CTS_DSP_WRH_i             : std_logic;
+  signal CTS_DSP_WRL_i             : std_logic;
+  signal CTS_VSD_A_i               : std_logic_vector (12 downto 0);
+  signal CTS_VSD_BA_i              : std_logic_vector (1 downto 0);
+  signal CTS_VSD_CAS_i             : std_logic;
+  signal CTS_VSD_CKE_i             : std_logic;
+  signal CTS_VSD_CLOCK_i           : std_logic;
+  signal CTS_VSD_CSEH_i            : std_logic;
+  signal CTS_VSD_CSEL_i            : std_logic;
+  signal CTS_VSD_D_i               : std_logic_vector (31 downto 0);
+  signal CTS_VSD_DQML_i            : std_logic_vector (3 downto 0);
+  signal CTS_VSD_RAS_i             : std_logic;
+  signal CTS_VSD_WE_i              : std_logic;
+  signal CTS_TLK_CLK_i             : std_logic;
+  signal CTS_TLK_ENABLE_i          : std_logic;
+  signal CTS_TLK_LCKREFN_i         : std_logic;
+  signal CTS_TLK_LOOPEN_i          : std_logic;
+  signal CTS_TLK_PRBSEN_i          : std_logic;
+  signal CTS_TLK_RXD_i             : std_logic_vector (15 downto 0);
+  signal CTS_TLK_RX_CLK_i          : std_logic;
+  signal CTS_TLK_RX_DV_i           : std_logic;
+  signal CTS_TLK_RX_ER_i           : std_logic;
+  signal CTS_TLK_TXD_i             : std_logic_vector (15 downto 0);
+  signal CTS_TLK_TX_EN_i           : std_logic;
+  signal CTS_TLK_TX_ER_i           : std_logic;
+  signal CTS_SFP_LOS_i             : std_logic;
+  signal CTS_SFP_TX_DIS_i          : std_logic;
+  signal CTS_SFP_TX_FAULT_i        : std_logic;
+  signal CTS_ADDON_TO_TRB_CLKINN_i : std_logic;
+  signal CTS_ADDON_TO_TRB_CLKINP_i : std_logic;
+  signal CTS_ADO_LV_i              : std_logic_vector(51 downto 0);
+  signal CTS_ADO_TTL_i             : std_logic_vector(46 downto 0);
+  signal CTS_VIRT_TCK_i            : std_logic;
+  signal CTS_VIRT_TDI_i            : std_logic;
+  signal CTS_VIRT_TDO_i            : std_logic;
+  signal CTS_VIRT_TMS_i            : std_logic;
+  signal CTS_VIRT_TRST_i           : std_logic;
+
+  --
   signal vulom_lvl1_tag : std_logic_vector(15 downto 0);
-  signal test_synch_00 : std_logic;
-  signal dtu_clk : std_logic;
-  signal dtu_lvl2_tag : std_logic_vector(7 downto 0);
-  signal lvl2_trig : std_logic;
-  signal tdc_data_i : std_logic_vector(31 downto 0):=(others => '0');
+  signal cts_etrax_busy : std_logic;
+  signal cts_rw_mode : std_logic_vector(15 downto 0);
+  signal cts_address : std_logic_vector(31 downto 0);
+  signal cts_data : std_logic_vector(31 downto 0);
+
+  signal hub_rw_mode : std_logic_vector(15 downto 0);
+  signal hub_address : std_logic_vector(31 downto 0);
+  signal hub_data : std_logic_vector(31 downto 0);
+
   
+  signal etrax_busy : std_logic_vector(HOW_MANY_ACTIVE_TRBS-1 downto 0);
+  signal tdc_data_i : std_logic_vector(31 downto 0);
+
+  signal HUB_FS_PE_i : std_logic_vector(9 downto 8);
+  signal enable_channels : std_logic_vector(15 downto 0);
 begin
-  HUB_INST: hub
-    port map (
-        LVDS_CLK_200P      => LVDS_CLK_200P_i,
-        ADO_TTL            => open,
-        DBAD               => DBAD_i,
-        DGOOD              => DGOOD_i,
-        DINT               => DINT_i,
-        DWAIT              => DWAIT_i,
-        LOK                => LOK_i,
-        RT                 => RT_i,
-        TX_DIS             => TX_DIS_i,
-        IPLL               => IPLL_i,
-        OPLL               => OPLL_i,
-        SFP_INP_N          => SFP_INP_N_i,
-        SFP_INP_P          => SFP_INP_P_i,
-        SFP_OUT_N          => SFP_OUT_N_i,
-        SFP_OUT_P          => SFP_OUT_P_i,
-        OPT_DATA_IN        => OPT_DATA_IN_i,
-        OPT_DATA_OUT       => OPT_DATA_OUT_i,
-        OPT_DATA_VALID_IN  => OPT_DATA_VALID_IN_i,
-        OPT_DATA_VALID_OUT => OPT_DATA_VALID_OUT_i);
-  TRB_INST_0: trb_v2b_fpga
-    port map (
-        VIRT_CLK        => VIRT_CLK_i,
-        VIRT_CLKB       => VIRT_CLKB_i,
-        RESET_VIRT      => '0',
-        DBAD            => DBAD_i,
-        DGOOD           => DGOOD_i,
-        DINT            => DINT_i,
-        DWAIT           => DWAIT_i,
-        A_RESERVED      => A_RESERVED_0,
-        A_TEMP          => A_TEMP_0,
-        B_RESERVED      => B_RESERVED_0,
-        B_TEMP          => B_TEMP_0,
-        C_RESERVED      => C_RESERVED_0,
-        C_TEMP          => C_TEMP_0,
-        D_RESERVED      => D_RESERVED_0,
-        D_TEMP          => D_TEMP_0,
-        VIR_TRIG        => VIR_TRIG_0,
-        VIR_TRIGB       => VIR_TRIGB_0,
-        A_TDC_ERROR     => A_TDC_ERROR_0,
-        B_TDC_ERROR     => B_TDC_ERROR_0,
-        C_TDC_ERROR     => C_TDC_ERROR_0,
-        D_TDC_ERROR     => D_TDC_ERROR_0,
-        A_TDC_POWERUP   => A_TDC_POWERUP_0,
-        B_TDC_POWERUP   => B_TDC_POWERUP_0,
-        C_TDC_POWERUP   => C_TDC_POWERUP_0,
-        D_TDC_POWERUP   => D_TDC_POWERUP_0,
-        TOKEN_IN        => TOKEN_IN_0,
-        TOKEN_OUT       => TOKEN_OUT_0,
-        C_TOKEN_OUT_TTL => C_TOKEN_OUT_TTL_0,
-        GET_DATA        => GET_DATA_0,
-        A_DATA_READY    => A_DATA_READY_0,
-        B_DATA_READY    => B_DATA_READY_0,
-        C_DATA_READY    => C_DATA_READY_0,
-        D_DATA_READY    => D_DATA_READY_0,
-        REF_TDC_CLK     => REF_TDC_CLK_i,
-        REF_TDC_CLKB    => REF_TDC_CLKB_i,
-        A_TDC_BU_RESET  => A_TDC_BU_RESET_0,
-        A_TDC_BU_RESETB => A_TDC_BU_RESETB_0,
-        A_TDC_EV_RESET  => A_TDC_EV_RESET_0,
-        A_TDC_EV_RESETB => A_TDC_EV_RESETB_0,
-        B_TDC_BU_RESET  => B_TDC_BU_RESET_0,
-        B_TDC_BU_RESETB => B_TDC_BU_RESETB_0,
-        B_TDC_EV_RESET  => B_TDC_EV_RESET_0,
-        B_TDC_EV_RESETB => B_TDC_EV_RESETB_0,
-        C_TDC_BU_RESET  => C_TDC_BU_RESET_0,
-        C_TDC_BU_RESETB => C_TDC_BU_RESETB_0,
-        C_TDC_EV_RESET  => C_TDC_EV_RESET_0,
-        C_TDC_EV_RESETB => C_TDC_EV_RESETB_0,
-        D_TDC_BU_RESET  => D_TDC_BU_RESET_0,
-        D_TDC_BU_RESETB => D_TDC_BU_RESETB_0,
-        D_TDC_EV_RESET  => D_TDC_EV_RESET_0,
-        D_TDC_EV_RESETB => D_TDC_EV_RESETB_0,
-        TDC_OUT         => TDC_OUT_0,
-        TDC_RESET       => TDC_RESET_0,
-        A_TRIGGER       => A_TRIGGER_0,
-        A_TRIGGERB      => A_TRIGGERB_0,
-        B_TRIGGER       => B_TRIGGER_0,
-        B_TRIGGERB      => B_TRIGGERB_0,
-        C_TRIGGER       => C_TRIGGER_0,
-        C_TRIGGERB      => C_TRIGGERB_0,
-        D_TRIGGER       => D_TRIGGER_0,
-        D_TRIGGERB      => D_TRIGGERB_0,
-        FS_PB           => FS_PB_0,
-        FS_PC           => FS_PC_0,
-        ETRAX_IRQ       => ETRAX_IRQ_0,
-        A_SCK           => A_SCK_0,
-        A_SCKB          => A_SCKB_0,
-        A_SDI           => A_SDI_0,
-        A_SDIB          => A_SDIB_0,
-        A_SDO           => A_SDO_0,
-        A_SDOB          => A_SDOB_0,
-        A_CSB           => A_CSB_0,
-        A_CS            => A_CS_0,
-        B_SCK           => B_SCK_0,
-        B_SCKB          => B_SCKB_0,
-        B_SDI           => B_SDI_0,
-        B_SDIB          => B_SDIB_0,
-        B_SDO           => B_SDO_0,
-        B_SDOB          => B_SDOB_0,
-        B_CSB           => B_CSB_0,
-        B_CS            => B_CS_0,
-        C_SCK           => C_SCK_0,
-        C_SCKB          => C_SCKB_0,
-        C_SDI           => C_SDI_0,
-        C_SDIB          => C_SDIB_0,
-        C_SDO           => C_SDO_0,
-        C_SDOB          => C_SDOB_0,
-        C_CSB           => C_CSB_0,
-        C_CS            => C_CS_0,
-        D_SCK           => D_SCK_0,
-        D_SCKB          => D_SCKB_0,
-        D_SDI           => D_SDI_0,
-        D_SDIB          => D_SDIB_0,
-        D_SDO           => D_SDO_0,
-        D_SDOB          => D_SDOB_0,
-        D_CSB           => D_CSB_0,
-        D_CS            => D_CS_0,
-        A_TEST1         => A_TEST1_0,
-        A_TEST1B        => A_TEST1B_0,
-        A_TEST2         => A_TEST2_0,
-        A_TEST2B        => A_TEST2B_0,
-        B_TEST1         => B_TEST1_0,
-        B_TEST1B        => B_TEST1B_0,
-        B_TEST2         => B_TEST2_0,
-        B_TEST2B        => B_TEST2B_0,
-        C_TEST1         => C_TEST1_0,
-        C_TEST1B        => C_TEST1B_0,
-        C_TEST2         => C_TEST2_0,
-        C_TEST2B        => C_TEST2B_0,
-        D_TEST1         => D_TEST1_0,
-        D_TEST1B        => D_TEST1B_0,
-        D_TEST2         => D_TEST2_0,
-        D_TEST2B        => D_TEST2B_0,
---         DSPADDR         => DSPADDR_0,
---         DSPDAT          => DSPDAT_0,
---         DSP_ACK         => DSP_ACK_0,
---         DSP_BM          => DSP_BM_0,
---         DSP_BMS         => DSP_BMS_0,
---         DSP_BOFF        => DSP_BOFF_0,
---         DSP_BRST        => DSP_BRST_0,
---         DSP_HBG         => DSP_HBG_0,
---         DSP_HBR         => DSP_HBR_0,
---         DSP_IRQ         => DSP_IRQ_0,
---         DSP_RD          => DSP_RD_0,
---         DSP_RESET       => DSP_RESET_0,
---         DSP_RESET_OUT   => DSP_RESET_OUT_0,
---         DSP_WRH         => DSP_WRH_0,
---         DSP_WRL         => DSP_WRL_0,
---         VSD_A           => VSD_A_0,
---         VSD_BA          => VSD_BA_0,
---         VSD_CAS         => VSD_CAS_0,
---         VSD_CKE         => VSD_CKE_0,
---         VSD_CLOCK       => VSD_CLOCK_0,
---         VSD_CSEH        => VSD_CSEH_0,
---         VSD_CSEL        => VSD_CSEL_0,
---         VSD_D           => VSD_D_0,
---         VSD_DQML        => VSD_DQML_0,
---         VSD_RAS         => VSD_RAS_0,
---         VSD_WE          => VSD_WE_0,
-        TLK_CLK         => TLK_CLK_i,
-        TLK_ENABLE      => TLK_ENABLE_0,
-        TLK_LCKREFN     => TLK_LCKREFN_0,
-        TLK_LOOPEN      => TLK_LOOPEN_0,
-        TLK_PRBSEN      => TLK_PRBSEN_0,
-        TLK_RXD         => OPT_DATA_OUT_i(31 downto 16), --TLK_RXD_i,
-        TLK_RX_CLK      => TLK_RX_CLK_i,
-        TLK_RX_DV       => OPT_DATA_VALID_OUT_i(1),--TLK_RX_DV_i,
-        TLK_RX_ER       => '0',--TLK_RX_ER_i,
-        TLK_TXD         => OPT_DATA_IN_i(31 downto 16),-- TLK_TXD_i,
-        TLK_TX_EN       => OPT_DATA_VALID_IN_i(1),--TLK_TX_EN_i,
-        TLK_TX_ER       => TLK_TX_ER_0,
-        SFP_LOS         => SFP_LOS_0,
-        SFP_TX_DIS      => SFP_TX_DIS_0,
-        SFP_TX_FAULT    => SFP_TX_FAULT_0,
-        ADDON_TO_TRB_CLKINN => ADDON_TO_TRB_CLKINN_i,
-        ADDON_TO_TRB_CLKINP => ADDON_TO_TRB_CLKINP_i,
-        ADO_LV          => ADO_LV_0,
-        ADO_TTL         => open,--ADO_TTL_0,
-        VIRT_TCK        => VIRT_TCK_0,
-        VIRT_TDI        => VIRT_TDI_0,
-        VIRT_TDO        => VIRT_TDO_0,
-        VIRT_TMS        => VIRT_TMS_0,
-        VIRT_TRST       => VIRT_TRST_0);
-  TRB_INST_1: trb_v2b_fpga
-    port map (
-        VIRT_CLK        => VIRT_CLK_i,
-        VIRT_CLKB       => VIRT_CLKB_i,
-        RESET_VIRT      => '0',
-        DBAD            => DBAD_i,
-        DGOOD           => DGOOD_i,
-        DINT            => DINT_i,
-        DWAIT           => DWAIT_i,
-        A_RESERVED      => A_RESERVED_1,
-        A_TEMP          => A_TEMP_1,
-        B_RESERVED      => B_RESERVED_1,
-        B_TEMP          => B_TEMP_1,
-        C_RESERVED      => C_RESERVED_1,
-        C_TEMP          => C_TEMP_1,
-        D_RESERVED      => D_RESERVED_1,
-        D_TEMP          => D_TEMP_1,
-        VIR_TRIG        => VIR_TRIG_1,
-        VIR_TRIGB       => VIR_TRIGB_1,
-        A_TDC_ERROR     => A_TDC_ERROR_1,
-        B_TDC_ERROR     => B_TDC_ERROR_1,
-        C_TDC_ERROR     => C_TDC_ERROR_1,
-        D_TDC_ERROR     => D_TDC_ERROR_1,
-        A_TDC_POWERUP   => A_TDC_POWERUP_1,
-        B_TDC_POWERUP   => B_TDC_POWERUP_1,
-        C_TDC_POWERUP   => C_TDC_POWERUP_1,
-        D_TDC_POWERUP   => D_TDC_POWERUP_1,
-        TOKEN_IN        => TOKEN_IN_1,
-        TOKEN_OUT       => TOKEN_OUT_1,
-        C_TOKEN_OUT_TTL => C_TOKEN_OUT_TTL_1,
-        GET_DATA        => GET_DATA_1,
-        A_DATA_READY    => A_DATA_READY_1,
-        B_DATA_READY    => B_DATA_READY_1,
-        C_DATA_READY    => C_DATA_READY_1,
-        D_DATA_READY    => D_DATA_READY_1,
-        REF_TDC_CLK     => REF_TDC_CLK_i,
-        REF_TDC_CLKB    => REF_TDC_CLKB_i,
-        A_TDC_BU_RESET  => A_TDC_BU_RESET_1,
-        A_TDC_BU_RESETB => A_TDC_BU_RESETB_1,
-        A_TDC_EV_RESET  => A_TDC_EV_RESET_1,
-        A_TDC_EV_RESETB => A_TDC_EV_RESETB_1,
-        B_TDC_BU_RESET  => B_TDC_BU_RESET_1,
-        B_TDC_BU_RESETB => B_TDC_BU_RESETB_1,
-        B_TDC_EV_RESET  => B_TDC_EV_RESET_1,
-        B_TDC_EV_RESETB => B_TDC_EV_RESETB_1,
-        C_TDC_BU_RESET  => C_TDC_BU_RESET_1,
-        C_TDC_BU_RESETB => C_TDC_BU_RESETB_1,
-        C_TDC_EV_RESET  => C_TDC_EV_RESET_1,
-        C_TDC_EV_RESETB => C_TDC_EV_RESETB_1,
-        D_TDC_BU_RESET  => D_TDC_BU_RESET_1,
-        D_TDC_BU_RESETB => D_TDC_BU_RESETB_1,
-        D_TDC_EV_RESET  => D_TDC_EV_RESET_1,
-        D_TDC_EV_RESETB => D_TDC_EV_RESETB_1,
-        TDC_OUT         => TDC_OUT_1,
-        TDC_RESET       => TDC_RESET_1,
-        A_TRIGGER       => A_TRIGGER_1,
-        A_TRIGGERB      => A_TRIGGERB_1,
-        B_TRIGGER       => B_TRIGGER_1,
-        B_TRIGGERB      => B_TRIGGERB_1,
-        C_TRIGGER       => C_TRIGGER_1,
-        C_TRIGGERB      => C_TRIGGERB_1,
-        D_TRIGGER       => D_TRIGGER_1,
-        D_TRIGGERB      => D_TRIGGERB_1,
-        FS_PB           => FS_PB_1,
-        FS_PC           => FS_PC_1,
-        ETRAX_IRQ       => ETRAX_IRQ_1,
-        A_SCK           => A_SCK_1,
-        A_SCKB          => A_SCKB_1,
-        A_SDI           => A_SDI_1,
-        A_SDIB          => A_SDIB_1,
-        A_SDO           => A_SDO_1,
-        A_SDOB          => A_SDOB_1,
-        A_CSB           => A_CSB_1,
-        A_CS            => A_CS_1,
-        B_SCK           => B_SCK_1,
-        B_SCKB          => B_SCKB_1,
-        B_SDI           => B_SDI_1,
-        B_SDIB          => B_SDIB_1,
-        B_SDO           => B_SDO_1,
-        B_SDOB          => B_SDOB_1,
-        B_CSB           => B_CSB_1,
-        B_CS            => B_CS_1,
-        C_SCK           => C_SCK_1,
-        C_SCKB          => C_SCKB_1,
-        C_SDI           => C_SDI_1,
-        C_SDIB          => C_SDIB_1,
-        C_SDO           => C_SDO_1,
-        C_SDOB          => C_SDOB_1,
-        C_CSB           => C_CSB_1,
-        C_CS            => C_CS_1,
-        D_SCK           => D_SCK_1,
-        D_SCKB          => D_SCKB_1,
-        D_SDI           => D_SDI_1,
-        D_SDIB          => D_SDIB_1,
-        D_SDO           => D_SDO_1,
-        D_SDOB          => D_SDOB_1,
-        D_CSB           => D_CSB_1,
-        D_CS            => D_CS_1,
-        A_TEST1         => A_TEST1_1,
-        A_TEST1B        => A_TEST1B_1,
-        A_TEST2         => A_TEST2_1,
-        A_TEST2B        => A_TEST2B_1,
-        B_TEST1         => B_TEST1_1,
-        B_TEST1B        => B_TEST1B_1,
-        B_TEST2         => B_TEST2_1,
-        B_TEST2B        => B_TEST2B_1,
-        C_TEST1         => C_TEST1_1,
-        C_TEST1B        => C_TEST1B_1,
-        C_TEST2         => C_TEST2_1,
-        C_TEST2B        => C_TEST2B_1,
-        D_TEST1         => D_TEST1_1,
-        D_TEST1B        => D_TEST1B_1,
-        D_TEST2         => D_TEST2_1,
-        D_TEST2B        => D_TEST2B_1,
---         DSPADDR         => DSPADDR_1,
---         DSPDAT          => DSPDAT_1,
---         DSP_ACK         => DSP_ACK_1,
---         DSP_BM          => DSP_BM_1,
---         DSP_BMS         => DSP_BMS_1,
---         DSP_BOFF        => DSP_BOFF_1,
---         DSP_BRST        => DSP_BRST_1,
---         DSP_HBG         => DSP_HBG_1,
---         DSP_HBR         => DSP_HBR_1,
---         DSP_IRQ         => DSP_IRQ_1,
---         DSP_RD          => DSP_RD_1,
---         DSP_RESET       => DSP_RESET_1,
---         DSP_RESET_OUT   => DSP_RESET_OUT_1,
---         DSP_WRH         => DSP_WRH_1,
---         DSP_WRL         => DSP_WRL_1,
---         VSD_A           => VSD_A_1,
---         VSD_BA          => VSD_BA_1,
---         VSD_CAS         => VSD_CAS_1,
---         VSD_CKE         => VSD_CKE_1,
---         VSD_CLOCK       => VSD_CLOCK_1,
---         VSD_CSEH        => VSD_CSEH_1,
---         VSD_CSEL        => VSD_CSEL_1,
---         VSD_D           => VSD_D_1,
---         VSD_DQML        => VSD_DQML_1,
---         VSD_RAS         => VSD_RAS_1,
---         VSD_WE          => VSD_WE_1,
-        TLK_CLK         => TLK_CLK_i,
-        TLK_ENABLE      => TLK_ENABLE_1,
-        TLK_LCKREFN     => TLK_LCKREFN_1,
-        TLK_LOOPEN      => TLK_LOOPEN_1,
-        TLK_PRBSEN      => TLK_PRBSEN_1,
-        TLK_RXD         => OPT_DATA_OUT_i(47 downto 32), --TLK_RXD_i,
-        TLK_RX_CLK      => TLK_RX_CLK_i,
-        TLK_RX_DV       => OPT_DATA_VALID_OUT_i(2),--TLK_RX_DV_i,
-        TLK_RX_ER       => '0',--TLK_RX_ER_i,
-        TLK_TXD         => OPT_DATA_IN_i(47 downto 32),-- TLK_TXD_i,
-        TLK_TX_EN       => OPT_DATA_VALID_IN_i(2),--TLK_TX_EN_i,
-        TLK_TX_ER       => TLK_TX_ER_1,
-        SFP_LOS         => SFP_LOS_1,
-        SFP_TX_DIS      => SFP_TX_DIS_1,
-        SFP_TX_FAULT    => SFP_TX_FAULT_1,
-        ADDON_TO_TRB_CLKINN => ADDON_TO_TRB_CLKINN_i,
-        ADDON_TO_TRB_CLKINP => ADDON_TO_TRB_CLKINP_i,
-        ADO_LV          => ADO_LV_1,
-        ADO_TTL         => open,--ADO_TTL_1,
-        VIRT_TCK        => VIRT_TCK_1,
-        VIRT_TDI        => VIRT_TDI_1,
-        VIRT_TDO        => VIRT_TDO_1,
-        VIRT_TMS        => VIRT_TMS_1,
-        VIRT_TRST       => VIRT_TRST_1);
 
-  CTS_INST: cts
+  -----------------------------------------------------------------------------
+  -- --------------------------------------------------------------------------
+  -- --------------------------------------------------------------------------
+  -- hub
+  -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+  -----------------------------------------------------------------------------
+   HUB_INST: hub
+     port map (
+         LVDS_CLK_200P      => LVDS_CLK_200P_i,
+         ADO_TTL            => open,
+         DBAD               => DBAD_i,
+         DGOOD              => DGOOD_i,
+         DINT               => DINT_i,
+         DWAIT              => DWAIT_i,
+         LOK                => LOK_i,
+         RT                 => RT_i,
+         TX_DIS             => TX_DIS_i,
+         IPLL               => IPLL_i,
+         OPLL               => OPLL_i,
+         SFP_INP_N          => SFP_INP_N_i,
+         SFP_INP_P          => SFP_INP_P_i,
+         SFP_OUT_N          => SFP_OUT_N_i,
+         SFP_OUT_P          => SFP_OUT_P_i,
+         FS_PE_11           => open,
+         FS_PE              => HUB_FS_PE_i,
+         OPT_DATA_IN        => OPT_DATA_IN_i,
+         OPT_DATA_OUT       => OPT_DATA_OUT_i,
+         OPT_DATA_VALID_IN  => OPT_DATA_VALID_IN_i,
+         OPT_DATA_VALID_OUT => OPT_DATA_VALID_OUT_i);
+   ---------------------------------------------------------------------------
+    -- writing register
+   ---------------------------------------------------------------------------
+   CONVERT: process
+   begin  -- process CONVERT
+     case HOW_MANY_ACTIVE_TRBS is
+       when 0 =>
+         hub_data <= x"0000" & x"0000";
+       when 1 =>
+         hub_data <= x"0000" & x"0002";
+       when 2 =>
+         hub_data <= x"0000" & x"0006";
+       when 3 =>
+         hub_data <= x"0000" & x"000e";
+       when 4 =>
+         hub_data <= x"0000" & x"001e";
+       when 5 =>
+         hub_data <= x"0000" & x"003e";
+       when 6 =>
+         hub_data <= x"0000" & x"007e";
+       when 7 =>
+         hub_data <= x"0000" & x"00fe";
+       when 8 =>
+         hub_data <= x"0000" & x"01fe";
+       when 9  =>
+         hub_data <= x"0000" & x"03fe";
+       when 10 =>
+         hub_data <= x"0000" & x"07fe";
+       when 11 =>
+         hub_data <= x"0000" & x"0ffe";
+       when 12 =>
+         hub_data <= x"0000" & x"1ffe";
+       when 13 =>
+         hub_data <= x"0000" & x"3ffe";
+       when 14 =>
+         hub_data <= x"0000" & x"7ffe";
+       when 15 =>
+         hub_data <= x"0000" & x"fffe";                 
+       when others => 
+         hub_data <= x"0000" & x"fffe";                 
+     end case;
+     wait;
+   end process CONVERT;
+
+   hub_etrax_int_test: process
+   begin
+
+    hub_rw_mode <= x"0000";
+    hub_address <= x"00000006";
+--  hub_data <= conv_std_logic_vector(HOW_MANY_ACTIVE_TRBS, 32);--(to_std_logic_vector)HOW_MANY_ACTIVE_TRBS*2;--x"00000100";
+--    hub_data <= x"0000" & enable_channels;
+    HUB_FS_PE_i(9) <= '0';
+    HUB_FS_PE_i(8) <= '0';
+    wait for 200 ns;
+    for rw_mode_counter in 0 to 15 loop
+      wait for 30 ns;
+      HUB_FS_PE_i(9) <= '0';
+      HUB_FS_PE_i(8) <= hub_rw_mode(rw_mode_counter);
+      wait for 30 ns;
+      HUB_FS_PE_i(9) <= '1';
+      HUB_FS_PE_i(8) <= hub_rw_mode(rw_mode_counter);
+    end loop;
+    wait for 30 ns;
+    for address_counter in 0 to 31 loop
+      wait for 30 ns;
+      HUB_FS_PE_i(9) <= '0';
+      HUB_FS_PE_i(8) <= hub_address(address_counter);
+      wait for 30 ns;
+      HUB_FS_PE_i(9) <= '1';
+      HUB_FS_PE_i(8) <= hub_address(address_counter);
+    end loop;
+    wait for 30 ns;
+    for data_counter in 0 to 31  loop
+      wait for 30 ns;
+      HUB_FS_PE_i(9) <= '0';
+      HUB_FS_PE_i(8) <= hub_data(data_counter);
+      wait for 30 ns;
+      HUB_FS_PE_i(9) <= '1';
+      HUB_FS_PE_i(8) <= hub_data(data_counter);
+    end loop;
+    wait for 30 ns;
+    HUB_FS_PE_i(9) <= '0';
+    HUB_FS_PE_i(8) <= '0';
+    wait for 100 ns;
+    HUB_FS_PE_i(9) <= '1';
+    wait for 100 ns;
+    HUB_FS_PE_i(9) <= '0';
+    wait;
+  end process hub_etrax_int_test;
+
+-------------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- cts
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+    CTS_VIR_TRIG_i <= '0';
+    CTS_VIR_TRIGB_i <= '1';
+  CTS_INST: trb_v2b_fpga_cts
+--     generic map (
+--         RW_SYSTEM            => RW_SYSTEM,
+--         TRBV2_TYPE           => TRBV2_TYPE,
+--         TRBNET_ENABLE        => TRBNET_ENABLE,
+--         DTU_ENABLE           => DTU_ENABLE,
+--         CTU_ENABLE           => CTU_ENABLE,
+--         HADES_OLD_BUS_ENABLE => HADES_OLD_BUS_ENABLE,
+--         DSP_INT_ENABLE       => DSP_INT_ENABLE,
+--         SDRAM_INT_ENABLE     => SDRAM_INT_ENABLE,
+--         SCALERS_ENABLE       => SCALERS_ENABLE)
     port map (
-        VIRT_CLK      => VIRT_CLK_i,
-        VIRT_CLKB     => VIRT_CLKB_i,
-        RESET_VIRT    => '0',
-        DBAD          => open,--DBAD_i,
-        DGOOD         => open,--DGOOD_i,
-        DINT          => open,
-        DWAIT         => open,
-        FS_PB         => FS_PB_CTS_i,
-        FS_PC         => FS_PC_CTS_i,
-        ETRAX_IRQ     => open,
-        DSPADDR       => open,--DSPADDR_i,
-        DSPDAT        => open,--DSPDAT_i,
-        DSP_ACK       => '0',--DSP_ACK_i,
-        DSP_BM        => open,--DSP_BM_i,
-        DSP_BMS       => open,--DSP_BMS_i,
-        DSP_BOFF      => open,--DSP_BOFF_i,
-        DSP_BRST      => open,--DSP_BRST_i,
-        DSP_HBG       => '0',--DSP_HBG_i,
-        DSP_HBR       => open,--DSP_HBR_i,
-        DSP_IRQ       => open,--DSP_IRQ_i,
-        DSP_RD        => open,--DSP_RD_i,
-        DSP_RESET     => open,--DSP_RESET_i,
-        DSP_RESET_OUT => '0',--open,--DSP_RESET_OUT_i,
-        DSP_WRH       => open,--DSP_WRH_i,
-        DSP_WRL       => open,--DSP_WRL_i,
-        VSD_A         => open,--VSD_A_i,
-        VSD_BA        => open,--VSD_BA_i,
-        VSD_CAS       => open,--VSD_CAS_i,
-        VSD_CKE       => open,--VSD_CKE_i,
-        VSD_CLOCK     => open,--VSD_CLOCK_i,
-        VSD_CSEH      => open,--VSD_CSEH_i,
-        VSD_CSEL      => open,--VSD_CSEL_i,
-        VSD_D         => open,--VSD_D_i,
-        VSD_DQML      => open,--VSD_DQML_i,
-        VSD_RAS       => open,--VSD_RAS_i,
-        VSD_WE        => open,--VSD_WE_i,
-        TLK_CLK       => TLK_CLK_i,
-        TLK_ENABLE    => open,--TLK_ENABLE_i,
-        TLK_LCKREFN   => open,--TLK_LCKREFN_i,
-        TLK_LOOPEN    => open,--TLK_LOOPEN_i,
-        TLK_PRBSEN    => open,--TLK_PRBSEN_i,
-        TLK_RXD       => OPT_DATA_OUT_i(15 downto 0),--TLK_RXD_i,
-        TLK_RX_CLK    => TLK_RX_CLK_i,
-        TLK_RX_DV     => OPT_DATA_VALID_OUT_i(0),--TLK_RX_DV_i,
-        TLK_RX_ER     => TLK_RX_ER_CTS_i,
-        TLK_TXD       => OPT_DATA_IN_i(15 downto 0),--TLK_TXD_i,
-        TLK_TX_EN     => OPT_DATA_VALID_IN_i(0),--TLK_TX_EN_i,
-        TLK_TX_ER     => open,--TLK_TX_ER_i,
-        SFP_LOS       => SFP_LOS_CTS_i,
-        SFP_TX_DIS    => open,--SFP_TX_DIS_i,
-        SFP_TX_FAULT  => '0',--SFP_TX_FAULT_i,
---        ADO_CLK      => ADO_CLK1_i,
-        ADO_CLK      => ADO_CLK2_i,
-        ADO_LV        => (others => '0'),--ADO_LV_i,
-        ADO_TTL       => ADO_TTL_i);
+        VIRT_CLK            => VIRT_CLK_i,
+        VIRT_CLKB           => VIRT_CLKB_i,
+        RESET_VIRT          => CTS_RESET_VIRT_i,
+        DBAD                => CTS_DBAD_i,
+        DGOOD               => CTS_DGOOD_i,
+        DINT                => CTS_DINT_i,
+        DWAIT               => CTS_DWAIT_i,
+        A_RESERVED          => CTS_A_RESERVED_i,
+        A_TEMP              => CTS_A_TEMP_i,
+        B_RESERVED          => CTS_B_RESERVED_i,
+        B_TEMP              => CTS_B_TEMP_i,
+        C_RESERVED          => CTS_C_RESERVED_i,
+        C_TEMP              => CTS_C_TEMP_i,
+        D_RESERVED          => CTS_D_RESERVED_i,
+        D_TEMP              => CTS_D_TEMP_i,
+        VIR_TRIG            => CTS_VIR_TRIG_i,
+        VIR_TRIGB           => CTS_VIR_TRIGB_i,
+        A_TDC_ERROR         => CTS_A_TDC_ERROR_i,
+        B_TDC_ERROR         => CTS_B_TDC_ERROR_i,
+        C_TDC_ERROR         => CTS_C_TDC_ERROR_i,
+        D_TDC_ERROR         => CTS_D_TDC_ERROR_i,
+        A_TDC_POWERUP       => CTS_A_TDC_POWERUP_i,
+        B_TDC_POWERUP       => CTS_B_TDC_POWERUP_i,
+        C_TDC_POWERUP       => CTS_C_TDC_POWERUP_i,
+        D_TDC_POWERUP       => CTS_D_TDC_POWERUP_i,
+        TOKEN_IN            => CTS_TOKEN_IN_i,
+        TOKEN_OUT           => CTS_TOKEN_OUT_i,
+        C_TOKEN_OUT_TTL     => CTS_C_TOKEN_OUT_TTL_i,
+        GET_DATA            => CTS_GET_DATA_i,
+        A_DATA_READY        => CTS_A_DATA_READY_i,
+        B_DATA_READY        => CTS_B_DATA_READY_i,
+        C_DATA_READY        => CTS_C_DATA_READY_i,
+        D_DATA_READY        => CTS_D_DATA_READY_i,
+        REF_TDC_CLK         => CTS_REF_TDC_CLK_i,
+        REF_TDC_CLKB        => CTS_REF_TDC_CLKB_i,
+        A_TDC_BU_RESET      => CTS_A_TDC_BU_RESET_i,
+        A_TDC_BU_RESETB     => CTS_A_TDC_BU_RESETB_i,
+        A_TDC_EV_RESET      => CTS_A_TDC_EV_RESET_i,
+        A_TDC_EV_RESETB     => CTS_A_TDC_EV_RESETB_i,
+        B_TDC_BU_RESET      => CTS_B_TDC_BU_RESET_i,
+        B_TDC_BU_RESETB     => CTS_B_TDC_BU_RESETB_i,
+        B_TDC_EV_RESET      => CTS_B_TDC_EV_RESET_i,
+        B_TDC_EV_RESETB     => CTS_B_TDC_EV_RESETB_i,
+        C_TDC_BU_RESET      => CTS_C_TDC_BU_RESET_i,
+        C_TDC_BU_RESETB     => CTS_C_TDC_BU_RESETB_i,
+        C_TDC_EV_RESET      => CTS_C_TDC_EV_RESET_i,
+        C_TDC_EV_RESETB     => CTS_C_TDC_EV_RESETB_i,
+        D_TDC_BU_RESET      => CTS_D_TDC_BU_RESET_i,
+        D_TDC_BU_RESETB     => CTS_D_TDC_BU_RESETB_i,
+        D_TDC_EV_RESET      => CTS_D_TDC_EV_RESET_i,
+        D_TDC_EV_RESETB     => CTS_D_TDC_EV_RESETB_i,
+        TDC_OUT             => CTS_TDC_OUT_i,
+        TDC_RESET           => CTS_TDC_RESET_i,
+        A_TRIGGER           => CTS_A_TRIGGER_i,
+        A_TRIGGERB          => CTS_A_TRIGGERB_i,
+        B_TRIGGER           => CTS_B_TRIGGER_i,
+        B_TRIGGERB          => CTS_B_TRIGGERB_i,
+        C_TRIGGER           => CTS_C_TRIGGER_i,
+        C_TRIGGERB          => CTS_C_TRIGGERB_i,
+        D_TRIGGER           => CTS_D_TRIGGER_i,
+        D_TRIGGERB          => CTS_D_TRIGGERB_i,
+        FS_PB               => CTS_FS_PB_i,
+        FS_PB_17            => CTS_FS_PB_17_i,
+        FS_PC               => CTS_FS_PC_i,
+        ETRAX_IRQ           => CTS_ETRAX_IRQ_i,
+        A_SCK               => CTS_A_SCK_i,
+        A_SCKB              => CTS_A_SCKB_i,
+        A_SDI               => CTS_A_SDI_i,
+        A_SDIB              => CTS_A_SDIB_i,
+        A_SDO               => CTS_A_SDO_i,
+        A_SDOB              => CTS_A_SDOB_i,
+        A_CSB               => CTS_A_CSB_i,
+        A_CS                => CTS_A_CS_i,
+        B_SCK               => CTS_B_SCK_i,
+        B_SCKB              => CTS_B_SCKB_i,
+        B_SDI               => CTS_B_SDI_i,
+        B_SDIB              => CTS_B_SDIB_i,
+        B_SDO               => CTS_B_SDO_i,
+        B_SDOB              => CTS_B_SDOB_i,
+        B_CSB               => CTS_B_CSB_i,
+        B_CS                => CTS_B_CS_i,
+        C_SCK               => CTS_C_SCK_i,
+        C_SCKB              => CTS_C_SCKB_i,
+        C_SDI               => CTS_C_SDI_i,
+        C_SDIB              => CTS_C_SDIB_i,
+        C_SDO               => CTS_C_SDO_i,
+        C_SDOB              => CTS_C_SDOB_i,
+        C_CSB               => CTS_C_CSB_i,
+        C_CS                => CTS_C_CS_i,
+        D_SCK               => CTS_D_SCK_i,
+        D_SCKB              => CTS_D_SCKB_i,
+        D_SDI               => CTS_D_SDI_i,
+        D_SDIB              => CTS_D_SDIB_i,
+        D_SDO               => CTS_D_SDO_i,
+        D_SDOB              => CTS_D_SDOB_i,
+        D_CSB               => CTS_D_CSB_i,
+        D_CS                => CTS_D_CS_i,
+        A_TEST1             => CTS_A_TEST1_i,
+        A_TEST1B            => CTS_A_TEST1B_i,
+        A_TEST2             => CTS_A_TEST2_i,
+        A_TEST2B            => CTS_A_TEST2B_i,
+        B_TEST1             => CTS_B_TEST1_i,
+        B_TEST1B            => CTS_B_TEST1B_i,
+        B_TEST2             => CTS_B_TEST2_i,
+        B_TEST2B            => CTS_B_TEST2B_i,
+        C_TEST1             => CTS_C_TEST1_i,
+        C_TEST1B            => CTS_C_TEST1B_i,
+        C_TEST2             => CTS_C_TEST2_i,
+        C_TEST2B            => CTS_C_TEST2B_i,
+        D_TEST1             => CTS_D_TEST1_i,
+        D_TEST1B            => CTS_D_TEST1B_i,
+        D_TEST2             => CTS_D_TEST2_i,
+        D_TEST2B            => CTS_D_TEST2B_i,
+        DSPADDR             => CTS_DSPADDR_i,
+        DSPDAT              => CTS_DSPDAT_i,
+        DSP_ACK             => CTS_DSP_ACK_i,
+        DSP_BM              => CTS_DSP_BM_i,
+        DSP_BMS             => CTS_DSP_BMS_i,
+        DSP_BOFF            => CTS_DSP_BOFF_i,
+        DSP_BRST            => CTS_DSP_BRST_i,
+        DSP_HBG             => CTS_DSP_HBG_i,
+        DSP_HBR             => CTS_DSP_HBR_i,
+        DSP_IRQ             => CTS_DSP_IRQ_i,
+        DSP_RD              => CTS_DSP_RD_i,
+        DSP_RESET           => CTS_DSP_RESET_i,
+        DSP_RESET_OUT       => CTS_DSP_RESET_OUT_i,
+        DSP_WRH             => CTS_DSP_WRH_i,
+        DSP_WRL             => CTS_DSP_WRL_i,
+        VSD_A               => CTS_VSD_A_i,
+        VSD_BA              => CTS_VSD_BA_i,
+        VSD_CAS             => CTS_VSD_CAS_i,
+        VSD_CKE             => CTS_VSD_CKE_i,
+        VSD_CLOCK           => CTS_VSD_CLOCK_i,
+        VSD_CSEH            => CTS_VSD_CSEH_i,
+        VSD_CSEL            => CTS_VSD_CSEL_i,
+        VSD_D               => CTS_VSD_D_i,
+        VSD_DQML            => CTS_VSD_DQML_i,
+        VSD_RAS             => CTS_VSD_RAS_i,
+        VSD_WE              => CTS_VSD_WE_i,
+        TLK_CLK             => TLK_CLK_i,
+        TLK_ENABLE          => CTS_TLK_ENABLE_i,
+        TLK_LCKREFN         => CTS_TLK_LCKREFN_i,
+        TLK_LOOPEN          => CTS_TLK_LOOPEN_i,
+        TLK_PRBSEN          => CTS_TLK_PRBSEN_i,
+        TLK_RXD             => OPT_DATA_OUT_i(15 downto 0),
+        TLK_RX_CLK          => TLK_RX_CLK_i,
+        TLK_RX_DV           => OPT_DATA_VALID_OUT_i(0),
+        TLK_RX_ER           => CTS_TLK_RX_ER_i,
+        TLK_TXD             => OPT_DATA_IN_i(15 downto 0),
+        TLK_TX_EN           => OPT_DATA_VALID_IN_i(0),
+        TLK_TX_ER           => CTS_TLK_TX_ER_i,
+        SFP_LOS             => CTS_SFP_LOS_i,
+        SFP_TX_DIS          => CTS_SFP_TX_DIS_i,
+        SFP_TX_FAULT        => CTS_SFP_TX_FAULT_i,
+        ADDON_TO_TRB_CLKINN => CTS_ADDON_TO_TRB_CLKINN_i,
+        ADDON_TO_TRB_CLKINP => CTS_ADDON_TO_TRB_CLKINP_i,
+        ADO_LV              => CTS_ADO_LV_i,
+        ADO_TTL             => CTS_ADO_TTL_i,
+        VIRT_TCK            => CTS_VIRT_TCK_i,
+        VIRT_TDI            => CTS_VIRT_TDI_i,
+        VIRT_TDO            => CTS_VIRT_TDO_i,
+        VIRT_TMS            => CTS_VIRT_TMS_i,
+        VIRT_TRST           => CTS_VIRT_TRST_i);
+
+
+  
   -----------------------------------------------------------------------------
   -- clocks
   -----------------------------------------------------------------------------
   clock_tlk_clk : process
   begin
+    wait for 7 ns;
+    loop
     TLK_CLK_i <= '0';
     wait for 5 ns;
     TLK_CLK_i <=  '1';
     wait for 5 ns;
+    end loop;
   end process;
   clock_tlk_rx_clk : process
   begin
+    wait for 3 ns;
+    loop
     TLK_RX_CLK_i <= '0';
     wait for 5 ns;
     TLK_RX_CLK_i <=  '1';
     wait for 5 ns;
+    end loop;
   end process;
   clock_gclk : process
   begin
@@ -1077,339 +1121,694 @@ begin
       wait for 12.5 ns;
     end loop;
   end process;
-  ----------------------------------------------------------------------------
-  -- CTS
-  -----------------------------------------------------------------------------
+
+    cts_clock_tdcclk : process
+  begin
+    wait for 12 ns;
+    loop
+      CTS_REF_TDC_CLK_i  <= '0';
+      CTS_REF_TDC_CLKB_i <= '1';
+      wait for 12.5 ns;
+      CTS_REF_TDC_CLK_i  <= '1';
+      CTS_REF_TDC_CLKB_i <= '0';
+      wait for 12.5 ns;
+    end loop;
+  end process;
+   ----------------------------------------------------------------------------
+   -- -------------------------------------------------------------------------
+   -- -------------------------------------------------------------------------
+   -- CTS
+   ----------------------------------------------------------------------------
+   ----------------------------------------------------------------------------
+   ----------------------------------------------------------------------------
 
   -----------------------------------------------------------------------------
   -- vulom to cts
   -----------------------------------------------------------------------------
-  ADO_TTL_i(4) <= 'Z';
+  CTS_ADO_TTL_i(4) <= 'Z';
            
   VULOM_SENDS_TRIGGER: process
     variable i,y : integer;
   begin
     vulom_lvl1_tag <= (others => '0');
-    ADO_CLK2_i <= '0';
-    ADO_TTL_i(3 downto 2) <= "00";
-    wait for 310 ns;
+    CTS_ADO_TTL_i(46) <= '0';
+    CTS_ADO_TTL_i(42 downto 41) <= "00";
+    wait for 2000 ns;
  
     loop
       y := 0;
-      wait on VIRT_CLK_i until ADO_TTL_i(7) = '0';
-    ADO_TTL_i(42 downto 41) <= "01";
-    ADO_CLK2_i <=  '1';
+    CTS_ADO_TTL_i(42 downto 41) <= "01";
+    CTS_ADO_TTL_i(46) <=  '1';
+    wait for 10 ns;
+    CTS_ADO_TTL_i(46) <=  '0';
     wait for 10 ns;
-    ADO_CLK2_i <=  '0';
+    CTS_ADO_TTL_i(42 downto 41) <= "10";
+    CTS_ADO_TTL_i(46) <=  '1';
     wait for 10 ns;
-    ADO_TTL_i(42 downto 41) <= "10";
-    ADO_CLK2_i <=  '1';
+    CTS_ADO_TTL_i(46) <=  '0';
     wait for 10 ns;
-    ADO_CLK2_i <=  '0';
+    CTS_ADO_TTL_i(42 downto 41) <= "01";
+    CTS_ADO_TTL_i(46) <=  '1';
     wait for 10 ns;
-    ADO_TTL_i(42 downto 41) <= "01";
-    ADO_CLK2_i <=  '1';
+    CTS_ADO_TTL_i(46) <=  '0';
     wait for 10 ns;
-    ADO_CLK2_i <=  '0';
+    CTS_ADO_TTL_i(42 downto 41) <= "10";
+    CTS_ADO_TTL_i(46) <=  '1';
     wait for 10 ns;
-    ADO_TTL_i(42 downto 41) <= "10";
-    ADO_CLK2_i <=  '1';
+    CTS_ADO_TTL_i(46) <=  '0';
     wait for 10 ns;
-    ADO_CLK2_i <=  '0';
+    CTS_ADO_TTL_i(42 downto 41) <= "00";
+    CTS_ADO_TTL_i(46) <=  '0';
     wait for 10 ns;
-    ADO_TTL_i(42 downto 41) <= "10";
-    ADO_CLK2_i <=  '1';
+    CTS_ADO_TTL_i(46) <=  '0';
+    CTS_ADO_TTL_i(46) <=  '1';
     wait for 10 ns;
-    ADO_CLK2_i <=  '0';
+    CTS_ADO_TTL_i(46) <=  '0';
+
+      
     wait for 10 ns;
-    for i in 0 to 159 loop
-      ADO_TTL_i(42 downto 41) <= vulom_lvl1_tag (((y mod 15)+1) downto (y mod 15));
-      ADO_CLK2_i <=  '1';
+    for i in 0 to 161 loop
+      CTS_ADO_TTL_i(42 downto 41) <= vulom_lvl1_tag (((y mod 15)+1) downto (y mod 15));
+      CTS_ADO_TTL_i(46) <=  '1';
       wait for 10 ns;
-      ADO_CLK2_i <=  '0';
+      CTS_ADO_TTL_i(46) <=  '0';
       wait for 10 ns;
       y := y + 2;
     end loop;  -- 40ns;
-    wait on VIRT_CLK_i until ADO_TTL_i(34) = '1';
-    wait on VIRT_CLK_i until ADO_TTL_i(34) = '0';
+    wait on VIRT_CLK_i until CTS_ADO_TTL_i(34) = '1';
+    wait on VIRT_CLK_i until CTS_ADO_TTL_i(34) = '0';
     vulom_lvl1_tag <= vulom_lvl1_tag + 1;
     end loop;
   end process VULOM_SENDS_TRIGGER;
+  
+  --etrax cts readout                   --dma
+  ETRAX_BUSY_PROC: process
+    variable etrax_busy_time : integer :=0;
+  begin  -- process ETRAX_BUSY
+    CTS_FS_PB_17_i <= '0';
+    wait on VIRT_CLK_i until CTS_FS_PB_i(16) ='1';
+    wait for 20 ns;
+    CTS_FS_PB_17_i <= '1';
+    wait for 80 ns;
+    wait on VIRT_CLK_i until cts_etrax_busy  ='0';
+    etrax_busy_time := etrax_busy_time*3/2 +1;
+  end process ETRAX_BUSY_PROC;
 
--------------------------------------------------------------------------------
--- DTU only lvl2
-------------------------------------------------------------------------------
- clock_dtu : process
+  WAIT_FOR_TRANSFER_END: process
+    variable wait_int : integer range 0 to 65535;
   begin
-    wait for 34 ns;
-    loop
-      dtu_clk <= '0';
-      wait for 50 ns;
-      dtu_clk <= '1';
-      wait for 50 ns;
-    end loop;
-  end process;
-  ADO_TTL_i(34)       <= 'Z';
-  ADO_TTL_i(7)       <= 'Z';
-  LVL2_COUNT : process
+    cts_etrax_busy <= '0';
+    wait on VIRT_CLK_i until CTS_FS_PB_i(16) ='1';
+    cts_etrax_busy <= '1';
+    wait for 37*10 ns;
+  end process WAIT_FOR_TRANSFER_END;
+-- write fpga register
+    etrax_int_test: process
   begin
-    wait for 100 ns;
-    dtu_lvl2_tag   <= x"00";
-    wait on dtu_clk until ADO_TTL_i(8) = '0';
-    loop
-      wait on dtu_clk until lvl2_trig = '1';
-      wait for 500 ns;
-      dtu_lvl2_tag <= dtu_lvl2_tag +1;
-      wait on dtu_clk until ADO_TTL_i(8) = '0';
+    cts_rw_mode <= x"0000";
+    cts_address <= x"00000006";
+    cts_data <= x"00000082";
+    CTS_FS_PC_i(17) <= '0';
+    CTS_FS_PC_i(16) <= '0';
+    wait for 1000 ns;
+    for rw_mode_counter in 0 to 15 loop
+      wait for 100 ns;
+      CTS_FS_PC_i(17) <= '0';
+      CTS_FS_PC_i(16) <= cts_rw_mode(rw_mode_counter);
+      wait for 100 ns;
+      CTS_FS_PC_i(17) <= '1';
+      CTS_FS_PC_i(16) <= cts_rw_mode(rw_mode_counter);
     end loop;
-  end process LVL2_COUNT;
---  ADO_TTL_i(9)   <= lvl2_trig;
-  DTU_EMULATION_LVL2 : process
-  begin
-    ADO_TTL_i(9) <= '0';
-    ADO_TTL_i(13 downto 10) <= x"1";
-    lvl2_trig   <= '0';
-    wait for 4000 ns;
-    loop
-      for number_of_normal_triggers in 0 to 9 loop
-        ADO_TTL_i(9)           <= '0';
-        wait on VIRT_CLK_i until ADO_TTL_i(7) = '1';      --after lvl1
-      --  --         wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1;
---        wait on VIRT_CLK_i until ADO_TTL_i(7) = '0';      --after lvl1
-        wait for 3000 ns;
-        wait on VIRT_CLK_i until ADO_TTL_i(15) = '0';
---        wait for 6000 ns;
-        ADO_TTL_i(13 downto 10) <= x"1";
-        ADO_TTL_i(8)          <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(8)          <= '0';
-        ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(3 downto 0);   
-        lvl2_trig             <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '1';
-        lvl2_trig             <= '0';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '0';
-        ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(7 downto 4);
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '0';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '0';
-      end loop;  -- number_of_normal_triggers
-        ADO_TTL_i(9)           <= '0';
-        wait on VIRT_CLK_i until ADO_TTL_i(7) = '1';      --after lvl1
-      --  --         wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1;
---        wait on VIRT_CLK_i until ADO_TTL_i(7) = '0';      --after lvl1
-        wait for 3000 ns;
-        wait on VIRT_CLK_i until ADO_TTL_i(15) = '0';
---        wait for 6000 ns;
-        ADO_TTL_i(13 downto 10) <= x"9";
-        ADO_TTL_i(8)          <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(8)          <= '0';
-        lvl2_trig             <= '1';
-        ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(3 downto 0);
-        wait for 100 ns;
-        lvl2_trig             <= '0';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '0';
-        ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(7 downto 4);
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '0';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '1';
-        wait for 100 ns;
-        ADO_TTL_i(9)           <= '0';
+    wait for 300 ns;
+    for address_counter in 0 to 31 loop
+      wait for 100 ns;
+      CTS_FS_PC_i(17) <= '0';
+      CTS_FS_PC_i(16) <= cts_address(address_counter);
+      wait for 100 ns;
+      CTS_FS_PC_i(17) <= '1';
+      CTS_FS_PC_i(16) <= cts_address(address_counter);
     end loop;
-  end process DTU_EMULATION_LVL2;
-  -----------------------------------------------------------------------------
-  -- etrax trb_0
-  -----------------------------------------------------------------------------
-  ETRAX_BUSY_0: process
-    variable etrax_busy_time : integer :=0;
-  begin  -- process ETRAX_BUSY
-    FS_PB_0(17) <= '0';
-    wait on VIRT_CLK_i until FS_PB_0(16) ='1';
-    wait for 30 ns;
-    FS_PB_0(17) <= '1';
-    wait for 80 ns;
-    wait for ((etrax_busy_time mod 7 )*5+20)*ns;
-    etrax_busy_time := etrax_busy_time*3/2 +1; 
-  end process ETRAX_BUSY_0;
-  -----------------------------------------------------------------------------
-  -- etrax trb_1
-  -----------------------------------------------------------------------------
-  ETRAX_BUSY_1: process
-    variable etrax_busy_time : integer :=0;
-  begin  -- process ETRAX_BUSY
-    FS_PB_1(17) <= '0';
-    wait on VIRT_CLK_i until FS_PB_1(16) ='1';
-    wait for 30 ns;
-    FS_PB_1(17) <= '1';
-    wait for 80 ns;
-    wait for ((etrax_busy_time mod 9 )*5+20)*ns;
-    etrax_busy_time := etrax_busy_time*3/2 +1; 
-  end process ETRAX_BUSY_1;  
---   etrax_intf_trb0 : PROCESS
---   BEGIN
---     loop
---       wait on VIRT_CLK_i until FS_PB_0(16) = '1';
---       wait for 50 ns;
---       FS_PB_0(17) <= '1';
---       wait for 50 ns;
---       FS_PB_0(17) <= '0';
---       wait for 50 ns;
---     end loop;
---     wait; -- will wait forever
---   end process;
-  -----------------------------------------------------------------------------
-  -- etrax cts
-  -----------------------------------------------------------------------------
-  etrax_intf_cts : PROCESS
-  BEGIN
-    loop
-      wait on VIRT_CLK_i until FS_PB_CTS_i(16) = '1';
-      wait for 50 ns;
-      FS_PB_CTS_i(17) <= '1';
-      wait for 50 ns;
-      FS_PB_CTS_i(17) <= '0';
-      wait for 50 ns;
+    wait for 300 ns;
+    for data_counter in 0 to 31  loop
+      wait for 100 ns;
+      CTS_FS_PC_i(17) <= '0';
+      CTS_FS_PC_i(16) <= cts_data(data_counter);
+      wait for 100 ns;
+      CTS_FS_PC_i(17) <= '1';
+      CTS_FS_PC_i(16) <= cts_data(data_counter);
     end loop;
-    wait; -- will wait forever
-  end process;
-  -----------------------------------------------------------------------
-  -- TDC_trb_0
-  -----------------------------------------------------------------------
-           TDC_OUT_0 <= tdc_data_i;--x"00000000";--tdc_data_i;
+    wait for 300 ns;
+    CTS_FS_PC_i(17) <= '0';
+    CTS_FS_PC_i(16) <= '0';
+    wait for 400 ns;
+    CTS_FS_PC_i(17) <= '1';
+    wait for 100 ns;
+    CTS_FS_PC_i(17) <= '0';
+  end process etrax_int_test;
+ -------------------------------------------------------------------------------
+ -- cts to hub 
+ -------------------------------------------------------------------------------
+
+-------------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- ----------------------------------------------------------------------------
+-- trb
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+-------------------------------------------------------------------------------
+   GENERATE_TRBS: for next_trb in 0 to HOW_MANY_ACTIVE_TRBS-1 generate
+     
+
+  TRB_INST: trb_v2b_fpga
+--     generic map(
+--       RW_SYSTEM            <= 1;  --1 -trb, 2 -addon with portE 10 9 as rw
+--       TRBV2_TYPE           <= 0;
+--                                         -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto,
+--                                         -- 1 - MDC,
+--                                         -- 2 - SHOWER,
+--                                         -- 3 - RICH
+--                                         -- 4 - CTS
+--       TRBNET_ENABLE        <= 0;  -- 0 - disable,
+--                                         -- 1 - enable
+--       DTU_ENABLE           <= 0;  -- 0 - disable, 1 - old
+--                                                        -- HADES bus, 2 - opt
+--                                                        -- without trbnet
+--       CTU_ENABLE           <= 0;
+--       HADES_OLD_BUS_ENABLE <= 0;
+--       DSP_INT_ENABLE       <= 0;  -- dsp interface enable
+--       SDRAM_INT_ENABLE     <= 0;  -- sdram interface enable
+--       SCALERS_ENABLE       <= 0
+--    ); 
+    port map (
+        VIRT_CLK            => VIRT_CLK_i,
+        VIRT_CLKB           => VIRT_CLKB_i,
+        RESET_VIRT          => RESET_VIRT_i,
+        DBAD                => DBAD_i,
+        DGOOD               => DGOOD_i,
+        DINT                => DINT_i,
+        DWAIT               => DWAIT_i,
+        A_RESERVED          => A_RESERVED_i,
+        A_TEMP              => A_TEMP_i,
+        B_RESERVED          => B_RESERVED_i,
+        B_TEMP              => B_TEMP_i,
+        C_RESERVED          => C_RESERVED_i,
+        C_TEMP              => C_TEMP_i,
+        D_RESERVED          => D_RESERVED_i,
+        D_TEMP              => D_TEMP_i,
+        VIR_TRIG            => VIR_TRIG_i,
+        VIR_TRIGB           => VIR_TRIGB_i,
+        A_TDC_ERROR         => A_TDC_ERROR_i,
+        B_TDC_ERROR         => B_TDC_ERROR_i,
+        C_TDC_ERROR         => C_TDC_ERROR_i,
+        D_TDC_ERROR         => D_TDC_ERROR_i,
+        A_TDC_POWERUP       => A_TDC_POWERUP_i,
+        B_TDC_POWERUP       => B_TDC_POWERUP_i,
+        C_TDC_POWERUP       => C_TDC_POWERUP_i,
+        D_TDC_POWERUP       => D_TDC_POWERUP_i,
+        TOKEN_IN            => TOKEN_IN_i(next_trb),
+        TOKEN_OUT           => TOKEN_OUT_i(next_trb),
+        C_TOKEN_OUT_TTL     => C_TOKEN_OUT_TTL_i,
+        GET_DATA            => GET_DATA_i,
+        A_DATA_READY        => A_DATA_READY_i(next_trb),
+        B_DATA_READY        => B_DATA_READY_i(next_trb),
+        C_DATA_READY        => C_DATA_READY_i(next_trb),
+        D_DATA_READY        => D_DATA_READY_i(next_trb),
+        REF_TDC_CLK         => REF_TDC_CLK_i,
+        REF_TDC_CLKB        => REF_TDC_CLKB_i,
+        A_TDC_BU_RESET      => A_TDC_BU_RESET_i,
+        A_TDC_BU_RESETB     => A_TDC_BU_RESETB_i,
+        A_TDC_EV_RESET      => A_TDC_EV_RESET_i,
+        A_TDC_EV_RESETB     => A_TDC_EV_RESETB_i,
+        B_TDC_BU_RESET      => B_TDC_BU_RESET_i,
+        B_TDC_BU_RESETB     => B_TDC_BU_RESETB_i,
+        B_TDC_EV_RESET      => B_TDC_EV_RESET_i,
+        B_TDC_EV_RESETB     => B_TDC_EV_RESETB_i,
+        C_TDC_BU_RESET      => C_TDC_BU_RESET_i,
+        C_TDC_BU_RESETB     => C_TDC_BU_RESETB_i,
+        C_TDC_EV_RESET      => C_TDC_EV_RESET_i,
+        C_TDC_EV_RESETB     => C_TDC_EV_RESETB_i,
+        D_TDC_BU_RESET      => D_TDC_BU_RESET_i,
+        D_TDC_BU_RESETB     => D_TDC_BU_RESETB_i,
+        D_TDC_EV_RESET      => D_TDC_EV_RESET_i,
+        D_TDC_EV_RESETB     => D_TDC_EV_RESETB_i,
+        TDC_OUT             => TDC_OUT_i((next_trb+1)*32-1 downto next_trb*32),
+        TDC_RESET           => TDC_RESET_i,
+        A_TRIGGER           => A_TRIGGER_i,
+        A_TRIGGERB          => A_TRIGGERB_i,
+        B_TRIGGER           => B_TRIGGER_i,
+        B_TRIGGERB          => B_TRIGGERB_i,
+        C_TRIGGER           => C_TRIGGER_i,
+        C_TRIGGERB          => C_TRIGGERB_i,
+        D_TRIGGER           => D_TRIGGER_i,
+        D_TRIGGERB          => D_TRIGGERB_i,
+        FS_PB               => FS_PB_i((next_trb+1)*17-1 downto next_trb*17),
+        FS_PB_17            => FS_PB_17_i(next_trb),
+        FS_PC               => FS_PC_i((next_trb+1)*18-1 downto next_trb*18),
+        ETRAX_IRQ           => ETRAX_IRQ_i,
+        A_SCK               => A_SCK_i,
+        A_SCKB              => A_SCKB_i,
+        A_SDI               => A_SDI_i,
+        A_SDIB              => A_SDIB_i,
+        A_SDO               => A_SDO_i,
+        A_SDOB              => A_SDOB_i,
+        A_CSB               => A_CSB_i,
+        A_CS                => A_CS_i,
+        B_SCK               => B_SCK_i,
+        B_SCKB              => B_SCKB_i,
+        B_SDI               => B_SDI_i,
+        B_SDIB              => B_SDIB_i,
+        B_SDO               => B_SDO_i,
+        B_SDOB              => B_SDOB_i,
+        B_CSB               => B_CSB_i,
+        B_CS                => B_CS_i,
+        C_SCK               => C_SCK_i,
+        C_SCKB              => C_SCKB_i,
+        C_SDI               => C_SDI_i,
+        C_SDIB              => C_SDIB_i,
+        C_SDO               => C_SDO_i,
+        C_SDOB              => C_SDOB_i,
+        C_CSB               => C_CSB_i,
+        C_CS                => C_CS_i,
+        D_SCK               => D_SCK_i,
+        D_SCKB              => D_SCKB_i,
+        D_SDI               => D_SDI_i,
+        D_SDIB              => D_SDIB_i,
+        D_SDO               => D_SDO_i,
+        D_SDOB              => D_SDOB_i,
+        D_CSB               => D_CSB_i,
+        D_CS                => D_CS_i,
+        A_TEST1             => A_TEST1_i,
+        A_TEST1B            => A_TEST1B_i,
+        A_TEST2             => A_TEST2_i,
+        A_TEST2B            => A_TEST2B_i,
+        B_TEST1             => B_TEST1_i,
+        B_TEST1B            => B_TEST1B_i,
+        B_TEST2             => B_TEST2_i,
+        B_TEST2B            => B_TEST2B_i,
+        C_TEST1             => C_TEST1_i,
+        C_TEST1B            => C_TEST1B_i,
+        C_TEST2             => C_TEST2_i,
+        C_TEST2B            => C_TEST2B_i,
+        D_TEST1             => D_TEST1_i,
+        D_TEST1B            => D_TEST1B_i,
+        D_TEST2             => D_TEST2_i,
+        D_TEST2B            => D_TEST2B_i,
+        DSPADDR             => DSPADDR_i,
+        DSPDAT              => DSPDAT_i,
+        DSP_ACK             => DSP_ACK_i,
+        DSP_BM              => DSP_BM_i,
+        DSP_BMS             => DSP_BMS_i,
+        DSP_BOFF            => DSP_BOFF_i,
+        DSP_BRST            => DSP_BRST_i,
+        DSP_HBG             => DSP_HBG_i,
+        DSP_HBR             => DSP_HBR_i,
+        DSP_IRQ             => DSP_IRQ_i,
+        DSP_RD              => DSP_RD_i,
+        DSP_RESET           => DSP_RESET_i,
+        DSP_RESET_OUT       => DSP_RESET_OUT_i,
+        DSP_WRH             => DSP_WRH_i,
+        DSP_WRL             => DSP_WRL_i,
+        VSD_A               => VSD_A_i,
+        VSD_BA              => VSD_BA_i,
+        VSD_CAS             => VSD_CAS_i,
+        VSD_CKE             => VSD_CKE_i,
+        VSD_CLOCK           => VSD_CLOCK_i,
+        VSD_CSEH            => VSD_CSEH_i,
+        VSD_CSEL            => VSD_CSEL_i,
+        VSD_D               => VSD_D_i,
+        VSD_DQML            => VSD_DQML_i,
+        VSD_RAS             => VSD_RAS_i,
+        VSD_WE              => VSD_WE_i,
+        TLK_CLK             => TLK_CLK_i,
+        TLK_ENABLE          => TLK_ENABLE_i,
+        TLK_LCKREFN         => TLK_LCKREFN_i,
+        TLK_LOOPEN          => TLK_LOOPEN_i,
+        TLK_PRBSEN          => TLK_PRBSEN_i,
+        TLK_RXD             => OPT_DATA_OUT_i((next_trb+2)*16-1 downto (next_trb+1)*16),
+        TLK_RX_CLK          => TLK_RX_CLK_i,
+        TLK_RX_DV           => OPT_DATA_VALID_OUT_i(next_trb+1),
+        TLK_RX_ER           => TLK_RX_ER_i,
+        TLK_TXD             => OPT_DATA_IN_i((next_trb+2)*16-1 downto (next_trb+1)*16),
+        TLK_TX_EN           => OPT_DATA_VALID_IN_i(next_trb+1),
+        TLK_TX_ER           => TLK_TX_ER_i,
+        SFP_LOS             => SFP_LOS_i,
+        SFP_TX_DIS          => SFP_TX_DIS_i,
+        SFP_TX_FAULT        => SFP_TX_FAULT_i,
+        ADDON_TO_TRB_CLKINN => ADDON_TO_TRB_CLKINN_i,
+        ADDON_TO_TRB_CLKINP => ADDON_TO_TRB_CLKINP_i,
+        ADO_LV              => ADO_LV_i,
+        ADO_TTL             => ADO_TTL_i,
+        VIRT_TCK            => VIRT_TCK_i,
+        VIRT_TDI            => VIRT_TDI_i,
+        VIRT_TDO            => VIRT_TDO_i,
+        VIRT_TMS            => VIRT_TMS_i,
+        VIRT_TRST           => VIRT_TRST_i);
 
-        TDC_DATA_CHANGE_0: process(REF_TDC_CLK_i, RESET_VIRT_0)
+
+        TDC_OUT_i((next_trb+1)*32-1 downto next_trb*32) <= tdc_data_i;--x"00000000";--tdc_data_i;
+
+        TDC_DATA_CHANGE: process(REF_TDC_CLKB_i, RESET_VIRT_i)
         begin
-          if rising_edge(REF_TDC_CLK_i) then
-            if RESET_VIRT_0 = '1' then
+          if rising_edge(REF_TDC_CLKB_i) then
+            if RESET_VIRT_i = '1' then
               tdc_data_i <= x"00000000";
             else
               tdc_data_i <= tdc_data_i + 1;
             end if;
           end if;
-        end process TDC_DATA_CHANGE_0;
+        end process TDC_DATA_CHANGE;
         
-
-        trigger_lvl1_0 : process
+        trigger_lvl1 : process
           variable valid_time : integer :=0;
         begin
---          ADO_TTL(7) <= '0';
-          A_DATA_READY_0 <= '0';
-          B_DATA_READY_0 <= '0';
-          C_DATA_READY_0 <= '0';
-          D_DATA_READY_0 <= '0';
-          TOKEN_IN_0  <= '0';
- --           A_TEMP <= '0';
---           wait for 50 ns;
---           A_TEMP <= '1';
---           wait for 10 ns;
---           A_TEMP <= '0';
---           wait for 10 ns;
-          wait on REF_TDC_CLK_i until TOKEN_OUT_0 = '1';
-          wait on REF_TDC_CLK_i until TOKEN_OUT_0 = '0';
+          A_DATA_READY_i(next_trb) <= '0';
+          B_DATA_READY_i(next_trb) <= '0';
+          C_DATA_READY_i(next_trb)  <= '0';
+          D_DATA_READY_i(next_trb) <= '0';
+          TOKEN_IN_i(next_trb)  <= '0';
+          wait on REF_TDC_CLK_i until TOKEN_OUT_i(next_trb) = '1';
+          wait on REF_TDC_CLK_i until TOKEN_OUT_i(next_trb) = '0';
           wait for 10 ns;
---          ADO_TTL(7) <= '0';
-          A_DATA_READY_0 <= '1';
---          wait for 50 ns;
-          wait for ((valid_time mod 3)*50*3+2*25)*ns;
-          A_DATA_READY_0 <= '0';
-          B_DATA_READY_0 <= '1';
---          wait for 50 ns;
-          wait for ((valid_time mod 5 )*50*2+2*25)*ns;
-          B_DATA_READY_0 <= '0';
-          C_DATA_READY_0 <= '1';
---          wait for 50 ns;
-          wait for ((valid_time mod 7 )*50+2*25)*ns;
---          ADO_TTL(7) <= '0';
-          C_DATA_READY_0 <= '0';
-          D_DATA_READY_0 <= '1';
-          --wait for 50 ns;
-          wait for ((valid_time mod 9 )*50+2*25)*ns;
-          D_DATA_READY_0 <= '0';
+          A_DATA_READY_i(next_trb) <= '1';
+          wait for ((valid_time mod 2)*50*3+2*25)*ns;
+          A_DATA_READY_i(next_trb) <= '0';
+          B_DATA_READY_i(next_trb) <= '1';
+          wait for ((valid_time mod 3 )*50*2+2*25)*ns;
+          B_DATA_READY_i(next_trb) <= '0';
+          C_DATA_READY_i(next_trb) <= '1';
+          wait for ((valid_time mod 5 )*50+2*25+next_trb*25)*ns;
+          C_DATA_READY_i(next_trb) <= '0';
+          D_DATA_READY_i(next_trb) <= '1';
+          wait for ((valid_time mod 7 )*50+2*25+next_trb*25)*ns;
+          D_DATA_READY_i(next_trb) <= '0';
           wait for 0 ns;
---          ADO_TTL(6) <= '1';
-          TOKEN_IN_0 <= '1';
+          TOKEN_IN_i(next_trb) <= '1';
           wait for 25 ns;
---          ADO_TTL(6) <= '0';
-          TOKEN_IN_0 <= '0';
-    --      wait on REF_TDC_CLK until DBAD = '0';
+          TOKEN_IN_i(next_trb) <= '0';
+
           valid_time := valid_time*3/2 +1; 
-        end process;
-  -----------------------------------------------------------------------
-  -- TDC_trb_1
-  -----------------------------------------------------------------------
-           TDC_OUT_1 <= tdc_data_i;--x"00000000";--tdc_data_i;
+         end process;
+         ETRAX_BUSY_PROC_TRB: process
+           variable etrax_busy_time : integer :=0;
+         begin  -- process ETRAX_BUSY
+           FS_PB_17_i(next_trb) <= '0';
+           wait on VIRT_CLKB_i until FS_PB_i(16+(next_trb*17)) ='1';
+           wait for 20 ns;
+           FS_PB_17_i(next_trb) <= '1';
+           wait for 80 ns;
+           wait on VIRT_CLK_i until etrax_busy(next_trb)  ='0';
+
+           
+           etrax_busy_time := etrax_busy_time*3/2 +1;
+         end process ETRAX_BUSY_PROC_TRB;
 
---         TDC_DATA_CHANGE: process(REF_TDC_CLK_i, RESET_VIRT_1)
+          WAIT_FOR_TRANSFER_END_TRB: process
+            variable wait_int : integer range 0 to 65535;
+          begin
+            etrax_busy(next_trb) <= '0';
+            wait on VIRT_CLK_i until FS_PB_i(16+(next_trb*17)) ='1';
+            etrax_busy(next_trb) <= '1';
+            wait for 37*10 ns;
+          end process WAIT_FOR_TRANSFER_END_TRB;
+   end generate GENERATE_TRBS;
+
+
+   
+-------------------------------------------------------------------------------
+-- DTU only lvl2
+------------------------------------------------------------------------------
+--  clock_dtu : process
+--   begin
+--     wait for 34 ns;
+--     loop
+--       dtu_clk <= '0';
+--       wait for 50 ns;
+--       dtu_clk <= '1';
+--       wait for 50 ns;
+--     end loop;
+--   end process;
+--   ADO_TTL_i(34)       <= 'Z';
+--   ADO_TTL_i(7)       <= 'Z';
+--   LVL2_COUNT : process
+--   begin
+--     wait for 100 ns;
+--     dtu_lvl2_tag   <= x"00";
+--     wait on dtu_clk until ADO_TTL_i(8) = '0';
+--     loop
+--       wait on dtu_clk until lvl2_trig = '1';
+--       wait for 500 ns;
+--       dtu_lvl2_tag <= dtu_lvl2_tag +1;
+--       wait on dtu_clk until ADO_TTL_i(8) = '0';
+--     end loop;
+--   end process LVL2_COUNT;
+-- --  ADO_TTL_i(9)   <= lvl2_trig;
+--   DTU_EMULATION_LVL2 : process
+--   begin
+--     ADO_TTL_i(9) <= '0';
+--     ADO_TTL_i(13 downto 10) <= x"1";
+--     lvl2_trig   <= '0';
+--     wait for 4000 ns;
+--     loop
+--       for number_of_normal_triggers in 0 to 9 loop
+--         ADO_TTL_i(9)           <= '0';
+--         wait on VIRT_CLK_i until ADO_TTL_i(7) = '1';      --after lvl1
+--       --  --         wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1;
+-- --        wait on VIRT_CLK_i until ADO_TTL_i(7) = '0';      --after lvl1
+--         wait for 3000 ns;
+--         wait on VIRT_CLK_i until ADO_TTL_i(15) = '0';
+-- --        wait for 6000 ns;
+--         ADO_TTL_i(13 downto 10) <= x"1";
+--         ADO_TTL_i(8)          <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(8)          <= '0';
+--         ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(3 downto 0);   
+--         lvl2_trig             <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '1';
+--         lvl2_trig             <= '0';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '0';
+--         ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(7 downto 4);
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '0';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '0';
+--       end loop;  -- number_of_normal_triggers
+--         ADO_TTL_i(9)           <= '0';
+--         wait on VIRT_CLK_i until ADO_TTL_i(7) = '1';      --after lvl1
+--       --  --         wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1;
+-- --        wait on VIRT_CLK_i until ADO_TTL_i(7) = '0';      --after lvl1
+--         wait for 3000 ns;
+--         wait on VIRT_CLK_i until ADO_TTL_i(15) = '0';
+-- --        wait for 6000 ns;
+--         ADO_TTL_i(13 downto 10) <= x"9";
+--         ADO_TTL_i(8)          <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(8)          <= '0';
+--         lvl2_trig             <= '1';
+--         ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(3 downto 0);
+--         wait for 100 ns;
+--         lvl2_trig             <= '0';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '0';
+--         ADO_TTL_i(13 downto 10) <= dtu_lvl2_tag(7 downto 4);
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '0';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '1';
+--         wait for 100 ns;
+--         ADO_TTL_i(9)           <= '0';
+--     end loop;
+--   end process DTU_EMULATION_LVL2;
+--   -----------------------------------------------------------------------------
+--   -- etrax trb_0
+--   -----------------------------------------------------------------------------
+--   ETRAX_BUSY_0: process
+--     variable etrax_busy_time : integer :=0;
+--   begin  -- process ETRAX_BUSY
+--     FS_PB_0(17) <= '0';
+--     wait on VIRT_CLK_i until FS_PB_0(16) ='1';
+--     wait for 30 ns;
+--     FS_PB_0(17) <= '1';
+--     wait for 80 ns;
+--     wait for ((etrax_busy_time mod 7 )*5+20)*ns;
+--     etrax_busy_time := etrax_busy_time*3/2 +1; 
+--   end process ETRAX_BUSY_0;
+--   -----------------------------------------------------------------------------
+--   -- etrax trb_1
+--   -----------------------------------------------------------------------------
+--   ETRAX_BUSY_1: process
+--     variable etrax_busy_time : integer :=0;
+--   begin  -- process ETRAX_BUSY
+--     FS_PB_1(17) <= '0';
+--     wait on VIRT_CLK_i until FS_PB_1(16) ='1';
+--     wait for 30 ns;
+--     FS_PB_1(17) <= '1';
+--     wait for 80 ns;
+--     wait for ((etrax_busy_time mod 9 )*5+20)*ns;
+--     etrax_busy_time := etrax_busy_time*3/2 +1; 
+--   end process ETRAX_BUSY_1;  
+-- --   etrax_intf_trb0 : PROCESS
+-- --   BEGIN
+-- --     loop
+-- --       wait on VIRT_CLK_i until FS_PB_0(16) = '1';
+-- --       wait for 50 ns;
+-- --       FS_PB_0(17) <= '1';
+-- --       wait for 50 ns;
+-- --       FS_PB_0(17) <= '0';
+-- --       wait for 50 ns;
+-- --     end loop;
+-- --     wait; -- will wait forever
+-- --   end process;
+--   -----------------------------------------------------------------------------
+--   -- etrax cts
+--   -----------------------------------------------------------------------------
+--   etrax_intf_cts : PROCESS
+--   BEGIN
+--     loop
+--       wait on VIRT_CLK_i until FS_PB_CTS_i(16) = '1';
+--       wait for 50 ns;
+--       FS_PB_CTS_i(17) <= '1';
+--       wait for 50 ns;
+--       FS_PB_CTS_i(17) <= '0';
+--       wait for 50 ns;
+--     end loop;
+--     wait; -- will wait forever
+--   end process;
+--   -----------------------------------------------------------------------
+--   -- TDC_trb_0
+--   -----------------------------------------------------------------------
+--            TDC_OUT_0 <= tdc_data_i;--x"00000000";--tdc_data_i;
+
+--         TDC_DATA_CHANGE_0: process(REF_TDC_CLK_i, RESET_VIRT_0)
 --         begin
 --           if rising_edge(REF_TDC_CLK_i) then
---             if RESET_VIRT_1 = '1' then
+--             if RESET_VIRT_0 = '1' then
 --               tdc_data_i <= x"00000000";
 --             else
 --               tdc_data_i <= tdc_data_i + 1;
 --             end if;
 --           end if;
---         end process TDC_DATA_CHANGE;
+--         end process TDC_DATA_CHANGE_0;
         
-  
-        trigger_lvl1_1 : process
-          variable valid_time : integer :=0;
-        begin
---          ADO_TTL(7) <= '0';
-          A_DATA_READY_1 <= '0';
-          B_DATA_READY_1 <= '0';
-          C_DATA_READY_1 <= '0';
-          D_DATA_READY_1 <= '0';
-          TOKEN_IN_1  <= '0';
- --           A_TEMP <= '0';
---           wait for 50 ns;
---           A_TEMP <= '1';
+
+--         trigger_lvl1_0 : process
+--           variable valid_time : integer :=0;
+--         begin
+-- --          ADO_TTL(7) <= '0';
+--           A_DATA_READY_0 <= '0';
+--           B_DATA_READY_0 <= '0';
+--           C_DATA_READY_0 <= '0';
+--           D_DATA_READY_0 <= '0';
+--           TOKEN_IN_0  <= '0';
+--  --           A_TEMP <= '0';
+-- --           wait for 50 ns;
+-- --           A_TEMP <= '1';
+-- --           wait for 10 ns;
+-- --           A_TEMP <= '0';
+-- --           wait for 10 ns;
+--           wait on REF_TDC_CLK_i until TOKEN_OUT_0 = '1';
+--           wait on REF_TDC_CLK_i until TOKEN_OUT_0 = '0';
 --           wait for 10 ns;
---           A_TEMP <= '0';
+-- --          ADO_TTL(7) <= '0';
+--           A_DATA_READY_0 <= '1';
+-- --          wait for 50 ns;
+--           wait for ((valid_time mod 3)*50*3+2*25)*ns;
+--           A_DATA_READY_0 <= '0';
+--           B_DATA_READY_0 <= '1';
+-- --          wait for 50 ns;
+--           wait for ((valid_time mod 5 )*50*2+2*25)*ns;
+--           B_DATA_READY_0 <= '0';
+--           C_DATA_READY_0 <= '1';
+-- --          wait for 50 ns;
+--           wait for ((valid_time mod 7 )*50+2*25)*ns;
+-- --          ADO_TTL(7) <= '0';
+--           C_DATA_READY_0 <= '0';
+--           D_DATA_READY_0 <= '1';
+--           --wait for 50 ns;
+--           wait for ((valid_time mod 9 )*50+2*25)*ns;
+--           D_DATA_READY_0 <= '0';
+--           wait for 0 ns;
+-- --          ADO_TTL(6) <= '1';
+--           TOKEN_IN_0 <= '1';
+--           wait for 25 ns;
+-- --          ADO_TTL(6) <= '0';
+--           TOKEN_IN_0 <= '0';
+--     --      wait on REF_TDC_CLK until DBAD = '0';
+--           valid_time := valid_time*3/2 +1; 
+--         end process;
+--   -----------------------------------------------------------------------
+--   -- TDC_trb_1
+--   -----------------------------------------------------------------------
+--            TDC_OUT_1 <= tdc_data_i;--x"00000000";--tdc_data_i;
+
+-- --         TDC_DATA_CHANGE: process(REF_TDC_CLK_i, RESET_VIRT_1)
+-- --         begin
+-- --           if rising_edge(REF_TDC_CLK_i) then
+-- --             if RESET_VIRT_1 = '1' then
+-- --               tdc_data_i <= x"00000000";
+-- --             else
+-- --               tdc_data_i <= tdc_data_i + 1;
+-- --             end if;
+-- --           end if;
+-- --         end process TDC_DATA_CHANGE;
+        
+  
+--         trigger_lvl1_1 : process
+--           variable valid_time : integer :=0;
+--         begin
+-- --          ADO_TTL(7) <= '0';
+--           A_DATA_READY_1 <= '0';
+--           B_DATA_READY_1 <= '0';
+--           C_DATA_READY_1 <= '0';
+--           D_DATA_READY_1 <= '0';
+--           TOKEN_IN_1  <= '0';
+--  --           A_TEMP <= '0';
+-- --           wait for 50 ns;
+-- --           A_TEMP <= '1';
+-- --           wait for 10 ns;
+-- --           A_TEMP <= '0';
+-- --           wait for 10 ns;
+--           wait on REF_TDC_CLK_i until TOKEN_OUT_1 = '1';
+--           wait on REF_TDC_CLK_i until TOKEN_OUT_1 = '0';
 --           wait for 10 ns;
-          wait on REF_TDC_CLK_i until TOKEN_OUT_1 = '1';
-          wait on REF_TDC_CLK_i until TOKEN_OUT_1 = '0';
-          wait for 10 ns;
---          ADO_TTL(7) <= '0';
-          A_DATA_READY_1 <= '1';
---          wait for 50 ns;
-          wait for ((valid_time mod 11)*50*3+2*25)*ns;
-          A_DATA_READY_1 <= '0';
-          B_DATA_READY_1 <= '1';
---          wait for 50 ns;
-          wait for ((valid_time mod 3 )*50*2+2*25)*ns;
-          B_DATA_READY_1 <= '0';
-          C_DATA_READY_1 <= '1';
---          wait for 50 ns;
-          wait for ((valid_time mod 5 )*50+2*25)*ns;
---          ADO_TTL(7) <= '0';
-          C_DATA_READY_1 <= '0';
-          D_DATA_READY_1 <= '1';
-          --wait for 50 ns;
-          wait for ((valid_time mod 7 )*50+2*25)*ns;
-          D_DATA_READY_1 <= '0';
-          wait for 0 ns;
---          ADO_TTL(6) <= '1';
-          TOKEN_IN_1 <= '1';
-          wait for 25 ns;
---          ADO_TTL(6) <= '0';
-          TOKEN_IN_1 <= '0';
-    --      wait on REF_TDC_CLK until DBAD = '0';
-          valid_time := valid_time*3/2 +1; 
-        end process;
+-- --          ADO_TTL(7) <= '0';
+--           A_DATA_READY_1 <= '1';
+-- --          wait for 50 ns;
+--           wait for ((valid_time mod 11)*50*3+2*25)*ns;
+--           A_DATA_READY_1 <= '0';
+--           B_DATA_READY_1 <= '1';
+-- --          wait for 50 ns;
+--           wait for ((valid_time mod 3 )*50*2+2*25)*ns;
+--           B_DATA_READY_1 <= '0';
+--           C_DATA_READY_1 <= '1';
+-- --          wait for 50 ns;
+--           wait for ((valid_time mod 5 )*50+2*25)*ns;
+-- --          ADO_TTL(7) <= '0';
+--           C_DATA_READY_1 <= '0';
+--           D_DATA_READY_1 <= '1';
+--           --wait for 50 ns;
+--           wait for ((valid_time mod 7 )*50+2*25)*ns;
+--           D_DATA_READY_1 <= '0';
+--           wait for 0 ns;
+-- --          ADO_TTL(6) <= '1';
+--           TOKEN_IN_1 <= '1';
+--           wait for 25 ns;
+-- --          ADO_TTL(6) <= '0';
+--           TOKEN_IN_1 <= '0';
+--     --      wait on REF_TDC_CLK until DBAD = '0';
+--           valid_time := valid_time*3/2 +1; 
+--         end process;
 
 
 
index 5f433be367dc4c35ac266ea6f94928233c45c66a..bbaccbdb599a47248a01cf7dccce977503481da0 100755 (executable)
@@ -6,6 +6,10 @@ use IEEE.NUMERIC_STD.all;
 library UNISIM;
 use UNISIM.VComponents.all;
 entity tdc_interface is
+  generic (
+    ENABLE_DMA : positive;
+    NUMBER_OFF_ADD_DATA : positive
+    );
   port (
     CLK                               : in  std_logic;
     TDC_CLK                           : in  std_logic;  -- for input clock should be
@@ -40,14 +44,15 @@ entity tdc_interface is
     LVL1_CODE                         : in  std_logic_vector(3 downto 0);
     LVL2_TAG                          : in  std_logic_vector(7 downto 0);
     HOW_MANY_ADD_DATA                 : in  std_logic_vector(7 downto 0);
-    COUNTER_a                         : in  std_logic_vector(31 downto 0);
-    COUNTER_b                         : in  std_logic_vector(31 downto 0);
-    COUNTER_c                         : in  std_logic_vector(31 downto 0);
-    COUNTER_d                         : in  std_logic_vector(31 downto 0);
-    COUNTER_e                         : in  std_logic_vector(31 downto 0);
-    COUNTER_f                         : in  std_logic_vector(31 downto 0);
-    COUNTER_g                         : in  std_logic_vector(31 downto 0);
-    COUNTER_h                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_a                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_b                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_c                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_d                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_e                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_f                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_g                         : in  std_logic_vector(31 downto 0);
+--     COUNTER_h                         : in  std_logic_vector(31 downto 0);
+    ADDITIONAL_DATA          : in std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
     LVL2_TRIGGER                      : in  std_logic;--_vector(1 downto 0);
     TDC_DATA_OUT                      : out std_logic_vector (31 downto 0);  --data to ETRAX (LVL2)
     TDC_DATA_VALID                    : out std_logic;  -- The TDC_DATA_OUT can be written
@@ -67,10 +72,23 @@ entity tdc_interface is
     TDC_START                : out std_logic;
     TRIGGER_WITHOUT_HADES    : in std_logic;
     TRIGGER_WITH_GEN_EN      : in std_logic;
-    TRIGGER_WITH_GEN         : in std_logic
+    TRIGGER_WITH_GEN         : in std_logic;
+    TRB_ID                   : in std_logic_vector
     );
 end tdc_interface;
 architecture tdc_interface of tdc_interface is
+  
+  component up_down_counter
+    generic (
+      NUMBER_OF_BITS : positive);
+    port (
+      CLK       : in  std_logic;
+      RESET     : in  std_logic;
+      COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+      UP_IN     : in  std_logic;
+      DOWN_IN   : in  std_logic);
+  end component;
+    
   component edge_to_pulse
     port (
       clock                           : in  std_logic;
@@ -100,31 +118,6 @@ architecture tdc_interface of tdc_interface is
         CLK  : in  std_logic;
         CLR  : in  std_logic);
     end component;
-  component lvl1_buffer
-    port (
-      din           : IN  std_logic_VECTOR(31 downto 0);
-      rd_clk        : IN  std_logic;
-      rd_en         : IN  std_logic;
-      rst           : IN  std_logic;
-      wr_clk        : IN  std_logic;
-      wr_en         : IN  std_logic;
-      dout          : OUT std_logic_VECTOR(31 downto 0);
-      empty         : OUT std_logic;
-      full          : OUT std_logic;
-      rd_data_count : OUT std_logic_VECTOR(9 downto 0));
-  end component;
-  component lvl1_fifo
-    port (
-      clk        : IN  std_logic;
-      din        : IN  std_logic_VECTOR(31 downto 0);
-      rd_en      : IN  std_logic;
-      rst        : IN  std_logic;
-      wr_en      : IN  std_logic;
-      data_count : OUT std_logic_VECTOR(13 downto 0);
-      dout       : OUT std_logic_VECTOR(31 downto 0);
-      empty      : OUT std_logic;
-      full       : OUT std_logic);
-  end component;
   component fifo16kW--virtex_13kW_fifo
     port (
       din           : IN  std_logic_VECTOR(31 downto 0);
@@ -142,14 +135,6 @@ architecture tdc_interface of tdc_interface is
       wr_data_count : OUT std_logic_VECTOR(13 downto 0));
   end component;
   signal tdc_ready                  : std_logic;
-  signal counter_a_i                : std_logic_vector(31 downto 0);
-  signal counter_b_i                : std_logic_vector(31 downto 0);
-  signal counter_c_i                : std_logic_vector(31 downto 0);
-  signal counter_d_i                : std_logic_vector(31 downto 0);
-  signal counter_e_i                : std_logic_vector(31 downto 0);
-  signal counter_f_i                : std_logic_vector(31 downto 0);
-  signal counter_g_i                : std_logic_vector(31 downto 0);
-  signal counter_h_i                : std_logic_vector(31 downto 0);
   signal add_data_counter           : std_logic_vector(7 downto 0):=(others => '0');
   signal add_data_pulse             : std_logic;
   signal first_header               : std_logic_vector(31 downto 0):=(others => '0');
@@ -169,7 +154,7 @@ architecture tdc_interface of tdc_interface is
       (IDLE, SEND_LVL1_TRIGG_1, SEND_LVL1_TRIGG_2, SEND_LVL1_TRIGG_3, SEND_LVL1_TRIGG_4, WAIT_FOR_TOKEN, SAVE_ADD_DATA_1, SAVE_ADD_DATA_2, SAVE_ADD_DATA_3, SAVE_ADD_DATA_4, SEND_LVL2_TRIGG);
   signal LVL1_START_fsm_currentstate, LVL1_START_fsm_nextstate : LVL1_START_FSM;
   type LVL2_START_FSM is
-    (IDLE, READOUT_WORD1, READOUT_WORD2 ,READOUT_WORD3 ,SAVE_EVENT_SIZE ,SEND_DATA1, WAIT_FOR_BUSY_END);
+    (IDLE, READOUT_WORD1, READOUT_WORD2 ,READOUT_WORD3 ,SAVE_EVENT_SIZE ,SEND_DATA1, WAIT_FOR_BUSY_END, EB_WORD_0,EB_WORD_1,EB_WORD_2,EB_WORD_3);
   signal LVL2_START_fsm_currentstate, LVL2_START_fsm_nextstate : LVL2_START_FSM;
   signal lvl1_busy_i : std_logic;
   signal lvl1_busy_ff : std_logic;
@@ -228,57 +213,74 @@ architecture tdc_interface of tdc_interface is
   signal lvl1_fifo_empty_not : std_logic;
   signal lvl1_fifo_busy_counter : std_logic_vector(31 downto 0);
   signal etrax_is_busy_i : std_logic;
+  signal lvl2_trigger_pulse : std_logic;
+  signal additional_data_i          : std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+  signal reg_address : integer range 0 to 8 :=0;
+  signal event_number_cntr : std_logic_vector(23 downto 0);
+  signal full_event_size : std_logic_vector(31 downto 0);
+  signal etrax_is_ready_to_read_reg : std_logic;
+  
 begin
-
+  
   TDC_REGISTER : process (CLK, RESET)
-   begin 
-     if rising_edge(CLK) then 
-         TDC_REGISTER_00(0) <= A_TDC_ERROR;
-         TDC_REGISTER_00(1) <= B_TDC_ERROR;
-         TDC_REGISTER_00(2) <= C_TDC_ERROR;
-         TDC_REGISTER_00(3) <= D_TDC_ERROR;
-         TDC_REGISTER_00(13 downto 4) <= lvl1_fifo_counter(9 downto 0);
-         TDC_REGISTER_00(14) <= lvl1_busy_i;
-         TDC_REGISTER_00(15) <= lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_memory_busy_i;
-         TDC_REGISTER_00(30) <= lvl1_fifo_wr_en;
-         TDC_REGISTER_00(31) <= lvl2_busy_i;
-         TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_busy_counter(13 downto 0);
-         TDC_REGISTER_01(26 downto 0) <=  lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& words_in_event(15 downto 0);
-         TDC_REGISTER_01(30 downto 27) <= (others => '0');
-         TDC_REGISTER_01(31) <= etrax_is_busy_i;
-         TDC_REGISTER_02(31 downto 0) <= LVL2_TAG & lvl1_buffer_out(23 downto 0);--lvl1_fifo_out;
-     --    TDC_REGISTER_03(31 downto 0) <= lvl1_fifo_out;
-         TDC_REGISTER_03(31 downto 0) <= x"0"& LVL1_CODE & LVL1_TAG & x"0" & lvl1_code_i & lvl1_tag_minus1;
-         TDC_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0;
-     end if;
-   end process TDC_REGISTER;
-   A_TDC_POWERUP  <=  '1';
-   B_TDC_POWERUP  <=  '1';
-   C_TDC_POWERUP  <=  '1';
-   D_TDC_POWERUP  <=  '1';
+  begin
+    if rising_edge(CLK) then
+      TDC_REGISTER_00(0)            <= A_TDC_ERROR;
+      TDC_REGISTER_00(1)            <= B_TDC_ERROR;
+      TDC_REGISTER_00(2)            <= C_TDC_ERROR;
+      TDC_REGISTER_00(3)            <= D_TDC_ERROR;
+      TDC_REGISTER_00(13 downto 4)  <= lvl1_fifo_counter(9 downto 0);
+      TDC_REGISTER_00(14)           <= lvl1_busy_i;
+      TDC_REGISTER_00(15)           <= lvl1_memory_busy_i;  --lvl1_or_lvl2_is_busy;  --lvl1_memory_busy_i;
+      TDC_REGISTER_00(30)           <= lvl1_fifo_wr_en;
+      TDC_REGISTER_00(31)           <= lvl2_busy_i;
+      TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_busy_counter(13 downto 0);
+      TDC_REGISTER_01(26 downto 0)  <= lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& words_in_event(15 downto 0);
+      TDC_REGISTER_01(30 downto 27) <= (others => '0');
+      TDC_REGISTER_01(31)           <= etrax_is_busy_i;
+      TDC_REGISTER_02(31 downto 0)  <= LVL2_TAG & lvl1_buffer_out(23 downto 0);  --lvl1_fifo_out;
+      --    TDC_REGISTER_03(31 downto 0) <= lvl1_fifo_out;
+      TDC_REGISTER_03(31 downto 0)  <= x"0"& LVL1_CODE & LVL1_TAG & x"0" & lvl1_code_i & lvl1_tag_minus1;
+      TDC_REGISTER_04(31 downto 0)  <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0;
+    end if;
+  end process TDC_REGISTER;
+
+  A_TDC_POWERUP        <= '1';
+  B_TDC_POWERUP        <= '1';
+  C_TDC_POWERUP        <= '1';
+  D_TDC_POWERUP        <= '1';
 
---    SYNCH_TDC_SIGNALS: process (TDC_CLK, RESET)
---     begin 
---       if rising_edge(TDC_CLK) then 
---         if RESET = '1' then
---           tdc_ready_a_i <= '0';
---           tdc_ready_b_i <= '0';
---           tdc_ready_c_i <= '0';
---           tdc_ready_d_i <= '0';
---           tdc_ready   <= '0';
---         else
---           tdc_ready_a_i <= A_TDC_READY;
---           tdc_ready_b_i <= B_TDC_READY;
---           tdc_ready_c_i <= C_TDC_READY;
---           tdc_ready_d_i <= D_TDC_READY;
---           tdc_ready   <= tdc_ready_a_i or tdc_ready_b_i or tdc_ready_c_i or tdc_ready_d_i;
---         end if;
+--   SYNC_TDC_DATA : process (TDC_CLK, RESET)
+--   begin  -- process SYNC_TDC_DATA
+--     if rising_edge(TDC_CLK) then
+--       if RESET = '1' then               -- asynchronous reset (active low)
+--         tdc_ready      <= '0';
+--         lvl1_buffer_in <= (others => '0');
+--         GET_TDC_DATA   <= '1';
+--       else
+        tdc_ready      <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY;
+        lvl1_buffer_in <= TDC_DATA_IN;
+        GET_TDC_DATA   <= '1';
 --       end if;
---     end process SYNCH_TDC_SIGNALS;
+--     end if;
+--   end process SYNC_TDC_DATA;
+    
+   lvl1_buffer_wr_en <= tdc_ready;
 
-   tdc_ready       <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY;       
-   lvl1_buffer_in <= TDC_DATA_IN;
-   GET_TDC_DATA                 <= '1';
+  
+--    tdc_ready       <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY;       
+--    lvl1_buffer_in <= TDC_DATA_IN;
+--    GET_TDC_DATA                 <= '1';
+  INTERNAL_TRIGGER_FOR_EVENT_BUILDER: up_down_counter
+    generic map (
+        NUMBER_OF_BITS => 24)
+    port map (
+        CLK       => TDC_CLK,
+        RESET     => RESET,
+        COUNT_OUT => event_number_cntr,
+        UP_IN     => RECEIVED_TDC_TOKEN,
+        DOWN_IN   => '0');
+  
    SEND_BUNCH_RESET: process (TDC_CLK, RESET)
    begin
      if rising_edge(TDC_CLK) then
@@ -287,18 +289,17 @@ begin
          EVENT_RESET <= '1';
        else
          EVENT_RESET <= '0';
-         BUNCH_RESET <= '0';--RECEIVED_TDC_TOKEN; 
+--         BUNCH_RESET <= '0';--RECEIVED_TDC_TOKEN;
+         BUNCH_RESET <= RECEIVED_TDC_TOKEN; 
        end if;
      end if;
    end process SEND_BUNCH_RESET;
-  -----------------------------------------------------------------------------
-  ----------------------------------------------------------------------------
+
   -- LVL1 logic 
-  -----------------------------------------------------------------------------
-  -----------------------------------------------------------------------------
-   LVL1_BUFF: fifo16kW--virtex_13kW_fifo
+
+   LVL1_BUFF: fifo16kW
      port map (
-         din           => TDC_DATA_IN,
+         din           => lvl1_buffer_in,--TDC_DATA_IN,
          rd_clk        => CLK,
          rd_en         => lvl1_buffer_rd_en,
          rst           => RESET ,
@@ -312,7 +313,8 @@ begin
          rd_data_count => lvl1_buffer_counter,
          wr_data_count => open--wr_data_count_i
          );
-   LVL2_BUFF: fifo16kW--virtex_13kW_fifo
+   
+   LVL2_BUFF: fifo16kW
      port map (
          din           => lvl1_fifo_in,
          rd_clk        => CLK,
@@ -328,35 +330,7 @@ begin
          rd_data_count => lvl1_fifo_counter,
          wr_data_count => open--wr_data_count_i
          );
-         lvl1_buffer_wr_en <= tdc_ready;
-   TDC_DATA_OUT <= lvl1_fifo_out;
-
-
---    LVL1_BUFF: lvl1_buffer
---      port map (
---          din           => TDC_DATA_IN,
---          rd_clk        => CLK,
---          rd_en         => lvl1_buffer_rd_en,
---          rst           => RESET,
---          wr_clk        => TDC_CLK,
---          wr_en         => lvl1_buffer_wr_en,
---          dout          => lvl1_buffer_out,
---          empty         => lvl1_buffer_empty,
---          full          => lvl1_buffer_full,
---          rd_data_count => lvl1_buffer_counter);
-
---    LVL1_FIFO_LVL2: lvl1_fifo
---      port map (
---          clk        => CLK,
---          din        => lvl1_fifo_in,
---          rd_en      => lvl1_fifo_rd_en,
---          rst        => RESET,
---          wr_en      => lvl1_fifo_wr_en,
---          data_count => lvl1_fifo_counter,
---          dout       => lvl1_fifo_out,
---          empty      => lvl1_fifo_empty,
---          full       => lvl1_fifo_full);
+   
 
    START_TRIGGER_PULSER : edge_to_pulse
      port map (
@@ -364,8 +338,7 @@ begin
        en_clk    => '1',
        signal_in => START_TDC_READOUT,
        pulse     => lvl1_trigger_pulse);
---   lvl1_trigger_pulse <= START_TDC_READOUT;
-   
+
    DELAY_COUNTER     : simpleupcounter_8bit
      port map (
        CLK       => CLK,
@@ -373,6 +346,7 @@ begin
        CLR       => delay_clr,
        QOUT      => delay_qout
        );
+   
    DELAY_FSM_CLOCK   : process (CLK, RESET)
    begin 
     if rising_edge(CLK) then
@@ -383,7 +357,8 @@ begin
       end if;
     end if;
   end process DELAY_FSM_CLOCK;
-  -- purpose: delay trigger whitch is sending to TDC 
+    
+  -- purpose: delay trigger which is sent to the TDCs
   TO_DELAY_TRIGG : process (delay_fsm_currentstate,lvl1_trigger_pulse,delay_qout,DELAY_TRIGGER)
   begin  
     case (delay_fsm_currentstate) is
@@ -421,54 +396,57 @@ begin
         delay_fsm_nextstate      <= IDLE;
     end case;
   end process TO_DELAY_TRIGG;
+    
   lvl1_trigger_pulse_start <= lvl1_trigger_pulse when DELAY_TRIGGER = x"00" else lvl1_trigger_pulse_delay;
-   LVL1_START         : process (CLK, RESET)
-  begin 
-    if rising_edge(CLK)  then 
-      if RESET = '1' then 
+    
+  LVL1_START : process (CLK, RESET)
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
         LVL1_START_fsm_currentstate <= IDLE;
-        lvl1_tdc_trigg_i  <= '0';
-      lvl1_fifo_wr_en <= '0';
-      lvl1_fifo_in <= lvl1_buffer_out;
-      lvl1_buffer_rd_en <= '0';
-      lvl1_busy_i <= '0';
-      lvl1_tdc_trigg_i         <= '0';
-      else 
+        lvl1_tdc_trigg_i            <= '0';
+        lvl1_fifo_wr_en             <= '0';
+        lvl1_fifo_in                <= lvl1_buffer_out;
+        lvl1_buffer_rd_en           <= '0';
+        lvl1_busy_i                 <= '0';
+        lvl1_tdc_trigg_i            <= '0';
+      else
         LVL1_START_fsm_currentstate <= LVL1_START_fsm_nextstate;
-        lvl1_tdc_trigg_i  <= lvl1_tdc_trigg_i_fsm;
-      lvl1_fifo_wr_en <= lvl1_fifo_wr_en_fsm;
-      lvl1_fifo_in <= lvl1_fifo_in_fsm ;
-      lvl1_buffer_rd_en <= lvl1_buffer_rd_en_fsm;
-      lvl1_busy_i <= lvl1_busy_i_fsm;
-      lvl1_tdc_trigg_i         <= lvl1_tdc_trigg_i_fsm;
+        lvl1_tdc_trigg_i            <= lvl1_tdc_trigg_i_fsm;
+        lvl1_fifo_wr_en             <= lvl1_fifo_wr_en_fsm;
+        lvl1_fifo_in                <= lvl1_fifo_in_fsm;
+        lvl1_buffer_rd_en           <= lvl1_buffer_rd_en_fsm;
+        lvl1_busy_i                 <= lvl1_busy_i_fsm;
+        lvl1_tdc_trigg_i            <= lvl1_tdc_trigg_i_fsm;
       end if;
     end if;
   end process LVL1_START;
+
   TRIGGER_WITHOUT_HADES_PULSER : edge_to_pulse
     port map (
       clock     => CLK,
       en_clk    => '1',
       signal_in => TRIGGER_WITH_GEN,
       pulse     => trigger_with_gen_pulse);
-  LVL1_START_FSM_PROC : process (LVL1_START_fsm_currentstate,RECEIVED_TDC_TOKEN, trigger_with_gen_pulse, lvl1_trigger_pulse_start, add_data_counter, lvl1_data_counter, lvl1_or_lvl2_is_busy, how_many_add_data, lvl1_code, lvl1_buffer_empty, trigger_with_gen_en, add_data_i, second_header, first_header, lvl1_buffer_out)
+    
+  LVL1_START_FSM_PROC : process (LVL1_START_fsm_currentstate, RECEIVED_TDC_TOKEN, trigger_with_gen_pulse, lvl1_trigger_pulse_start, add_data_counter, lvl1_data_counter, lvl1_or_lvl2_is_busy, how_many_add_data, lvl1_code, lvl1_buffer_empty, trigger_with_gen_en, add_data_i, second_header, first_header, lvl1_buffer_out)
   begin
-    lvl1_tdc_trigg_i_fsm  <= '0';
-    lvl1_fifo_wr_en_fsm <= '0';
-    lvl1_fifo_in_fsm <= lvl1_buffer_out;
-    lvl1_buffer_rd_en_fsm <= '0';
-    lvl1_busy_i_fsm <= '0';
-    lvl1_tdc_trigg_i_fsm         <= '0';
-    LVL1_START_fsm_nextstate   <= IDLE;
+    lvl1_tdc_trigg_i_fsm     <= '0';
+    lvl1_fifo_wr_en_fsm      <= '0';
+    lvl1_fifo_in_fsm         <= lvl1_buffer_out;
+    lvl1_buffer_rd_en_fsm    <= '0';
+    lvl1_busy_i_fsm          <= '0';
+    lvl1_tdc_trigg_i_fsm     <= '0';
+    LVL1_START_fsm_nextstate <= IDLE;
+
     case (LVL1_START_fsm_currentstate) is
-      when IDLE         =>
+      when IDLE =>
         trigger_register_00_i(5 downto 2) <= x"1";
-        lvl1_fifo_wr_en_fsm <= '0';
-        lvl1_fifo_in_fsm <= first_header;
-        lvl1_buffer_rd_en_fsm <= '0';
-        lvl1_tdc_trigg_i_fsm         <= '0';
-        lvl1_busy_i_fsm <= '0';
+        lvl1_fifo_wr_en_fsm               <= '0';
+        lvl1_fifo_in_fsm                  <= first_header;
+        lvl1_buffer_rd_en_fsm             <= '0';
+        lvl1_tdc_trigg_i_fsm              <= '0';
+        lvl1_busy_i_fsm                   <= '0';
         if (lvl1_trigger_pulse_start = '1'and LVL1_CODE /= x"d") or (TRIGGER_WITH_GEN_EN ='1' and trigger_with_gen_pulse = '1' and lvl1_busy_i = '0' and lvl2_busy_i = '0') then
           LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_1;
         else
@@ -481,34 +459,34 @@ begin
         lvl1_fifo_in_fsm <= first_header;
         lvl1_buffer_rd_en_fsm <= '0';
         lvl1_busy_i_fsm <= '1';
-        LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_2;
-      when SEND_LVL1_TRIGG_2 =>
-        trigger_register_00_i(5 downto 2) <= x"3";
-        lvl1_tdc_trigg_i_fsm         <= '1';
-        lvl1_fifo_wr_en_fsm <= '0';
-        lvl1_fifo_in_fsm <= first_header;
-        lvl1_buffer_rd_en_fsm <= '0';
-        lvl1_busy_i_fsm <= '1';
-        LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_3;
-      when SEND_LVL1_TRIGG_3 =>
-        trigger_register_00_i(5 downto 2) <= x"4";
-        lvl1_tdc_trigg_i_fsm         <= '1';
-        lvl1_fifo_wr_en_fsm <= '0';
-        lvl1_fifo_in_fsm <= first_header;
-        lvl1_buffer_rd_en_fsm <= '0';
-        lvl1_busy_i_fsm <= '1';
-        LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_4;
-      when SEND_LVL1_TRIGG_4 =>
-        trigger_register_00_i(5 downto 2) <= x"5";
-        lvl1_tdc_trigg_i_fsm         <= '0';
-        lvl1_fifo_wr_en_fsm <= '0';
-        lvl1_fifo_in_fsm <= first_header;
-        lvl1_buffer_rd_en_fsm <= '0';
-        lvl1_busy_i_fsm <= '1';
         LVL1_START_fsm_nextstate   <= WAIT_FOR_TOKEN;
-      when WAIT_FOR_TOKEN =>
+--       when SEND_LVL1_TRIGG_2 =>
+--         trigger_register_00_i(5 downto 2) <= x"3";
+--         lvl1_tdc_trigg_i_fsm         <= '1';
+--         lvl1_fifo_wr_en_fsm <= '0';
+--         lvl1_fifo_in_fsm <= first_header;
+--         lvl1_buffer_rd_en_fsm <= '0';
+--         lvl1_busy_i_fsm <= '1';
+--         LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_3;
+--       when SEND_LVL1_TRIGG_3 =>
+--         trigger_register_00_i(5 downto 2) <= x"4";
+--         lvl1_tdc_trigg_i_fsm         <= '1';
+--         lvl1_fifo_wr_en_fsm <= '0';
+--         lvl1_fifo_in_fsm <= first_header;
+--         lvl1_buffer_rd_en_fsm <= '0';
+--         lvl1_busy_i_fsm <= '1';
+--         LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_4;
+--       when SEND_LVL1_TRIGG_4 =>
+--         trigger_register_00_i(5 downto 2) <= x"5";
+--         lvl1_tdc_trigg_i_fsm         <= '1';
+--         lvl1_fifo_wr_en_fsm <= '0';
+--         lvl1_fifo_in_fsm <= first_header;
+--         lvl1_buffer_rd_en_fsm <= '0';
+--         lvl1_busy_i_fsm <= '1';
+--         LVL1_START_fsm_nextstate   <= WAIT_FOR_TOKEN;
+       when WAIT_FOR_TOKEN =>
         trigger_register_00_i(5 downto 2) <= x"6";
-        lvl1_tdc_trigg_i_fsm         <= '0';
+        lvl1_tdc_trigg_i_fsm         <= '1';
         lvl1_fifo_wr_en_fsm <= '0';
         lvl1_fifo_in_fsm <= first_header;
         lvl1_buffer_rd_en_fsm <= '0';
@@ -518,14 +496,6 @@ begin
         else
           LVL1_START_fsm_nextstate   <= WAIT_FOR_TOKEN;
         end if;
---       when SET_SIGNALS => 
---         trigger_register_00_i(5 downto 2) <= x"7";
---         lvl1_tdc_trigg_i_fsm         <= '0';
---         lvl1_fifo_in_fsm <= first_header;
---         lvl1_fifo_wr_en_fsm <= '1';
---         lvl1_buffer_rd_en_fsm <= '0';
---         lvl1_busy_i_fsm <= '1';
---         LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_1;
       when SAVE_ADD_DATA_1 =>
         trigger_register_00_i(5 downto 2) <= x"7";
         lvl1_tdc_trigg_i_fsm         <= '0';
@@ -586,11 +556,12 @@ begin
         lvl1_fifo_wr_en_fsm <= '0';
         lvl1_fifo_in_fsm <= lvl1_buffer_out;
         lvl1_buffer_rd_en_fsm <= '0';
-        lvl1_busy_i_fsm <= '1';
+        lvl1_busy_i_fsm <= '0';
         lvl1_tdc_trigg_i_fsm         <= '0';
         LVL1_START_fsm_nextstate   <= IDLE;
        end case;
   end process LVL1_START_FSM_PROC;
+    
   SAVE_NUMBER_OF_LVL1_WORDS: process (CLK, RESET)
   begin 
     if rising_edge(CLK) then
@@ -605,14 +576,15 @@ begin
       end if;
     end if;
   end process SAVE_NUMBER_OF_LVL1_WORDS;
---   not_tdc_clk <= TDC_CLK;
-   TDC_TRIGGER_PULSER : edge_to_pulse
+
+  TDC_TRIGGER_PULSER : edge_to_pulse
      port map (
        clock     =>  TDC_CLK,
        en_clk    => '1',
        signal_in => lvl1_tdc_trigg_i,
        pulse     => tdc_start_i);
-  TDC_START <= tdc_start_i;--lvl1_tdc_trigg_ff;--tdc_start_i;
+  TDC_START <= tdc_start_i;
+    
   SEND_TOKEN: process (TDC_CLK, RESET)
   begin 
     if rising_edge(TDC_CLK) then 
@@ -623,52 +595,47 @@ begin
       end if;
     end if;
   end process SEND_TOKEN;
+    
   SAVE_DATA : process (CLK, RESET,lvl1_tdc_trigg_i)
   begin
     if rising_edge(CLK) then
       if RESET = '1' then
-        counter_a_i <= (others => '0');
-        counter_b_i <= (others => '0');
-        counter_c_i <= (others => '0');
-        counter_d_i <= (others => '0');
-        counter_e_i <= (others => '0');
-        counter_f_i <= (others => '0');
-        counter_g_i <= (others => '0');
-        counter_h_i <= (others => '0');
-      elsif lvl1_tdc_trigg_i = '1' then  
-        counter_a_i <= COUNTER_a;
-        counter_b_i <= COUNTER_b;
-        counter_c_i <= COUNTER_c;
-        counter_d_i <= COUNTER_d;
-        counter_e_i <= COUNTER_e;
-        counter_f_i <= COUNTER_f;
-        counter_g_i <= COUNTER_g;
-        counter_h_i <= COUNTER_h;
+        additional_data_i <= (others => '1');
+      elsif lvl1_tdc_trigg_i = '1' then
+        additional_data_i <= ADDITIONAL_DATA;  
       end if;
     end if;
   end process SAVE_DATA;
-   CHOOSE_DATA              : process (CLK, RESET, add_data_counter)
+    
+  CHOOSE_DATA              : process (CLK, RESET, add_data_counter)
   begin
     if rising_edge(CLK) then
       if RESET = '1' then
+        reg_address <= 0;
         add_data_i <= x"00000000";
+
       else
-        case add_data_counter(3 downto 0) is
-          when "0000" => add_data_i <= counter_a_i;
-          when "0001" => add_data_i <= counter_b_i;
-          when "0010" => add_data_i <= counter_c_i;
-          when "0011" => add_data_i <= counter_d_i;
-          when "0100" => add_data_i <= counter_e_i;
-          when "0101" => add_data_i <= counter_f_i;
-          when "0110" => add_data_i <= counter_g_i;
-          when "0111" => add_data_i <= counter_h_i;
-          when others  => add_data_i <= x"deadface";
-        end case;
+        reg_address <= conv_integer(add_data_counter);                      
+        add_data_i <= additional_data_i(reg_address*32-1 downto 0);
+
+--         case add_data_counter(3 downto 0) is
+--           when "0000" => add_data_i <= counter_a_i;
+--           when "0001" => add_data_i <= counter_b_i;
+--           when "0010" => add_data_i <= counter_c_i;
+--           when "0011" => add_data_i <= counter_d_i;
+--           when "0100" => add_data_i <= counter_e_i;
+--           when "0101" => add_data_i <= counter_f_i;
+--           when "0110" => add_data_i <= counter_g_i;
+--           when "0111" => add_data_i <= counter_h_i;
+--           when others  => add_data_i <= x"deadface";
+--         end case;
       end if;
     end if;  
   end process CHOOSE_DATA;
+    
   add_data_pulse <= '1' when SAVE_ADD_DATA_3 = LVL1_START_fsm_nextstate else '0';
   not_tdc_clk <= TDC_CLK;
+    
   ADD_DATA_COUNTER_CONTROL : process (CLK,RESET,lvl1_tdc_trigg_i,add_data_pulse)
   begin
     if rising_edge(CLK) then
@@ -679,15 +646,16 @@ begin
       end if;
     end if;
   end process ADD_DATA_COUNTER_CONTROL;
-   COUNT_WORDS_IN_EVENT : process (TDC_CLK, RESET, tdc_ready, lvl1_tdc_trigg_i)
-   begin
-     if rising_edge(TDC_CLK) then
-       if RESET = '1' or tdc_start_i = '1' then
-         words_in_event <= x"0002" + HOW_MANY_ADD_DATA;
-       elsif tdc_ready = '1' then
-         words_in_event <= words_in_event + 1;
-       end if;
-     end if;
+    
+  COUNT_WORDS_IN_EVENT : process (TDC_CLK, RESET, tdc_ready, lvl1_tdc_trigg_i)
+  begin
+    if rising_edge(TDC_CLK) then
+      if RESET = '1' or tdc_start_i = '1' then
+        words_in_event <= x"0002" + HOW_MANY_ADD_DATA;
+      elsif tdc_ready = '1' then
+        words_in_event <= words_in_event + 1;
+      end if;
+    end if;
    end process COUNT_WORDS_IN_EVENT;
    TRIGGER_COUNTER_PROC : process (CLK, RESET, LVL1_START_fsm_currentstate)
    begin
@@ -720,6 +688,30 @@ begin
        en_clk    => '1',
        signal_in => lvl1_fifo_empty_not,
        pulse     => lvl1_fifo_rd_en_empty_pulse);
+
+  ONLY_FAST_FIX_FOR_DMA_TEMPORARY_DMA: if ENABLE_DMA = 1 generate
+   LVL2_START         : process (CLK, RESET)
+   begin 
+     if rising_edge(CLK)  then 
+       if RESET = '1' then 
+         LVL2_START_fsm_currentstate <= IDLE;
+         lvl1_fifo_rd_en <= '0';
+         tdc_data_valid_i <= '0';
+         etrax_is_busy_i <= '1';
+       else
+         --lvl1_fifo_rd_en <= ETRAX_IS_READY_TO_READ or lvl1_fifo_rd_en_fsm or lvl1_fifo_rd_en_empty_pulse;--lvl1_fifo_rd_en_fsm;
+--         lvl1_fifo_rd_en <= ETRAX_IS_READY_TO_READ or lvl1_fifo_rd_en_empty_pulse;
+         lvl1_fifo_rd_en <=  lvl1_fifo_rd_en_fsm or lvl1_fifo_rd_en_empty_pulse;
+         tdc_data_valid_i <= tdc_data_valid_i_fsm;
+         LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate;
+         etrax_is_busy_i <= ETRAX_IS_BUSY;
+       end if;
+     end if;
+   end process LVL2_START;
+   
+  end generate ONLY_FAST_FIX_FOR_DMA_TEMPORARY_DMA;
+
+  ONLY_FAST_FIX_FOR_DMA_TEMPORARY_NO_DMA: if ENABLE_DMA = 2 generate
    LVL2_START         : process (CLK, RESET)
    begin 
      if rising_edge(CLK)  then 
@@ -729,13 +721,26 @@ begin
          tdc_data_valid_i <= '0';
          etrax_is_busy_i <= '1';
        else
-         lvl1_fifo_rd_en <= ETRAX_IS_READY_TO_READ or lvl1_fifo_rd_en_fsm;--lvl1_fifo_rd_en_fsm;
+         --lvl1_fifo_rd_en <= ETRAX_IS_READY_TO_READ or lvl1_fifo_rd_en_fsm or lvl1_fifo_rd_en_empty_pulse;--lvl1_fifo_rd_en_fsm;
+         lvl1_fifo_rd_en <= ETRAX_IS_READY_TO_READ or lvl1_fifo_rd_en_empty_pulse;
+--         lvl1_fifo_rd_en <=  lvl1_fifo_rd_en_fsm or lvl1_fifo_rd_en_empty_pulse;
          tdc_data_valid_i <= tdc_data_valid_i_fsm;
          LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate;
          etrax_is_busy_i <= ETRAX_IS_BUSY;
        end if;
      end if;
    end process LVL2_START;
+    
+  end generate ONLY_FAST_FIX_FOR_DMA_TEMPORARY_NO_DMA;
+
+  
+  LVL2_TRIGG_PULSER : edge_to_pulse
+     port map (
+       clock     => CLK,
+       en_clk    => '1',
+       signal_in => LVL2_TRIGGER,
+       pulse     => lvl2_trigger_pulse);
+  
    START_LVL2_FSM: process (LVL2_TRIGGER,LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, lvl1_fifo_rd_en_empty_pulse, ETRAX_IS_BUSY)
    begin
      lvl2_busy_i <= '1';
@@ -743,6 +748,7 @@ begin
      lvl1_fifo_rd_en_fsm <= '0';
      tdc_data_valid_i_fsm <= '0';
      LVL2_START_fsm_nextstate <= IDLE;
+     TDC_DATA_OUT <= lvl1_fifo_out; 
      case (LVL2_START_fsm_currentstate) is
        when IDLE =>
          lvl2_busy_i <= '0';
@@ -761,43 +767,94 @@ begin
           lvl1_fifo_rd_en_fsm <= '0';
           tdc_data_valid_i_fsm <= '0';
           if ETRAX_IS_BUSY = '0' then
-            LVL2_START_fsm_nextstate <= READOUT_WORD1;
+            LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;--READOUT_WORD1;
           else
             LVL2_START_fsm_nextstate <= WAIT_FOR_BUSY_END;
           end if;
-        when  READOUT_WORD1 =>
-          lvl2_busy_i <= '1';
-          lvl2_debug (2 downto 0) <= "011";
-          lvl1_fifo_rd_en_fsm <= '0';
-          tdc_data_valid_i_fsm <= '0';
---           if lvl1_fifo_out(15 downto 0)=  x"0000" or lvl1_fifo_out(31 downto 28) = x"3"  then
--- --          if lvl1_fifo_out(31 downto 28) = x"0"  then
-              LVL2_START_fsm_nextstate <= READOUT_WORD2;
---           else
---             LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;
---          end if;
-        when  READOUT_WORD2 =>
-          lvl2_busy_i <= '1';
-          lvl2_debug (2 downto 0) <= "100";
-          lvl1_fifo_rd_en_fsm <= '1';
-          tdc_data_valid_i_fsm <= '0';
-          LVL2_START_fsm_nextstate <= READOUT_WORD3;
-        when  READOUT_WORD3 =>
-          lvl2_busy_i <= '1';
-          lvl2_debug (2 downto 0) <= "101";
-          lvl1_fifo_rd_en_fsm <= '0';
-          tdc_data_valid_i_fsm <= '0';
-          LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;
+--         when  READOUT_WORD1 =>
+--           lvl2_busy_i <= '1';
+--           lvl2_debug (2 downto 0) <= "011";
+--           lvl1_fifo_rd_en_fsm <= '0';
+--           tdc_data_valid_i_fsm <= '0';
+-- --           if lvl1_fifo_out(15 downto 0)=  x"0000" or lvl1_fifo_out(31 downto 28) = x"3"  then
+-- -- --          if lvl1_fifo_out(31 downto 28) = x"0"  then
+--           LVL2_START_fsm_nextstate <= READOUT_WORD2;
+-- --           else
+-- --             LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;
+-- --          end if;
+--         when  READOUT_WORD2 =>
+--           lvl2_busy_i <= '1';
+--           lvl2_debug (2 downto 0) <= "100";
+--           lvl1_fifo_rd_en_fsm <= '1';
+--           tdc_data_valid_i_fsm <= '0';
+--           LVL2_START_fsm_nextstate <= READOUT_WORD3;
+--         when  READOUT_WORD3 =>
+--           lvl2_busy_i <= '1';
+--           lvl2_debug (2 downto 0) <= "101";
+--           lvl1_fifo_rd_en_fsm <= '0';
+--           tdc_data_valid_i_fsm <= '0';
+--           LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;
        when SAVE_EVENT_SIZE =>
          lvl2_busy_i <= '1';
          lvl2_debug (2 downto 0) <= "100";
          lvl1_fifo_rd_en_fsm <= '0';
          tdc_data_valid_i_fsm <= '0';
-         LVL2_START_fsm_nextstate <= SEND_DATA1;
+         if ENABLE_DMA = 1 then
+           LVL2_START_fsm_nextstate <= EB_WORD_0;--SEND_DATA1;
+         else
+           LVL2_START_fsm_nextstate <= SEND_DATA1;
+         end if;
+
+         --data for event builder
+       when EB_WORD_0 =>
+         TDC_DATA_OUT <= full_event_size; 
+         lvl2_busy_i <= '1';
+         lvl2_debug (2 downto 0) <= "110";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '1';
+         if etrax_is_ready_to_read_reg = '1' then
+           LVL2_START_fsm_nextstate <= EB_WORD_1;
+         else
+           LVL2_START_fsm_nextstate <= EB_WORD_0;
+         end if;
+       when EB_WORD_1 =>
+         TDC_DATA_OUT <= x"00020001"; 
+         lvl2_busy_i <= '1';
+         lvl2_debug (2 downto 0) <= "110";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '1';
+         if etrax_is_ready_to_read_reg = '1' then
+           LVL2_START_fsm_nextstate <= EB_WORD_2;
+         else
+           LVL2_START_fsm_nextstate <= EB_WORD_1;
+         end if;
+       when EB_WORD_2 =>
+         TDC_DATA_OUT <= TRB_ID; 
+         lvl2_busy_i <= '1';
+         lvl2_debug (2 downto 0) <= "110";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '1';
+         if etrax_is_ready_to_read_reg = '1' then
+           LVL2_START_fsm_nextstate <= EB_WORD_3;
+         else
+           LVL2_START_fsm_nextstate <= EB_WORD_2;
+         end if;
+       when EB_WORD_3 =>
+         TDC_DATA_OUT <= (event_number_cntr-1) & (lvl1_tag_minus1); 
+         lvl2_busy_i <= '1';
+         lvl2_debug (2 downto 0) <= "110";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '1';
+         if etrax_is_ready_to_read_reg = '1' then
+           LVL2_START_fsm_nextstate <= SEND_DATA1;
+         else
+           LVL2_START_fsm_nextstate <= EB_WORD_3;
+         end if;
+         --end EB
        when  SEND_DATA1 =>
          lvl2_busy_i <= '1';
          lvl2_debug (2 downto 0) <= "101";
-         lvl1_fifo_rd_en_fsm <= '0';--ETRAX_IS_READY_TO_READ;
+         lvl1_fifo_rd_en_fsm <= ETRAX_IS_READY_TO_READ;  --'1'
          tdc_data_valid_i_fsm <= '1';
          if how_many_words_in_event(15 downto 0) = x"0000" then
            LVL2_START_fsm_nextstate <= IDLE;
@@ -809,12 +866,17 @@ begin
          LVL2_START_fsm_nextstate <= IDLE;
      end case;
    end process START_LVL2_FSM;
+
    COUNT_DOWN_READOUT: process (CLK, RESET,how_many_words_in_event)
    begin
      if rising_edge(CLK) then
-       if RESET = '1' then     
+       if RESET = '1' then
+         full_event_size <= (others => '0');
          how_many_words_in_event <= (others => '0');
-       elsif LVL2_START_fsm_currentstate = SAVE_EVENT_SIZE then
+       elsif LVL2_START_fsm_currentstate = SAVE_EVENT_SIZE and ENABLE_DMA = 1 then
+         full_event_size <= x"0000" & lvl1_fifo_out(15 downto 0) + 4;
+         how_many_words_in_event <= '1' & lvl1_fifo_out(15 downto 0) + 4;
+       elsif LVL2_START_fsm_currentstate = SAVE_EVENT_SIZE and ENABLE_DMA = 2 then
          how_many_words_in_event <= '1' & lvl1_fifo_out(15 downto 0);
        elsif how_many_words_in_event > x"0ffff" and ETRAX_IS_READY_TO_READ = '1' then -- or READ_ADRESS_END_UP='1')then
 --       elsif how_many_words_in_event > x"0ffff" and LVL2_START_fsm_currentstate =  SEND_DATA1 then -- or READ_ADRESS_END_UP='1')then
@@ -822,34 +884,28 @@ begin
        end if;
      end if;
    end process COUNT_DOWN_READOUT;
---   SYNCH_DATA_VALID: process (CLK, RESET)
---   begin  
---     if rising_edge(CLK) then --correct this !!!!!!!!!!!!!!!!(falling?)
---       if RESET = '1' then    
---         TDC_DATA_VALID <= '0';
---       else
---         TDC_DATA_VALID <= tdc_data_valid_i;
---       end if;
---     end if;
---   end process SYNCH_DATA_VALID;
+
    TDC_DATA_VALID <= tdc_data_valid_i_fsm;  
   not_tdc_data_valid_i <= not tdc_data_valid_i;
+
+  SYNCH_TO_CLK : process (CLK, RESET)
+  begin  
+    if rising_edge(CLK) then  
+      if RESET = '1' then
+        etrax_is_ready_to_read_reg <= '0';
+      else
+        etrax_is_ready_to_read_reg <= ETRAX_IS_READY_TO_READ;
+      end if;
+    end if;
+  end process SYNCH_TO_CLK;
+  
   LVL2_BUSY_END_PULSER   : edge_to_pulse
     port map (
       clock     => CLK,
       en_clk    => '1',
       signal_in => not_tdc_data_valid_i,
       pulse     => lvl2_busy_end_pulse);
---   LVL2_BUSY_SET: process (CLK, RESET)
---   begin 
---     if rising_edge(CLK) then 
---       if RESET = '1' or lvl2_busy_end_pulse = '1'then           
---         lvl2_busy_i <= '0';
---       elsif LVL2_START_fsm_currentstate  /= IDLE then
---         lvl2_busy_i <= '1';
---       end if;
---     end if;
---   end process LVL2_BUSY_SET;
+
   LVL2_BUSY_START_PULSER   : edge_to_pulse
     port map (
       clock     => CLK,
@@ -910,6 +966,7 @@ begin
        CLR       => RESET,
        QOUT      => test_counter_3
        );
+  
   SET_BUFFER_BUSY: process (CLK, RESET)
   begin  -- process SET_BUFFER_BUSY
     if rising_edge(CLK) then
@@ -939,6 +996,7 @@ begin
       end if;
     end if;
   end process REGITERING_SIGNALS;
+  
 end tdc_interface;
 
 
index daf374cad7d0ee806aa4c0663b9cb0ed5e828b5d..f516b34df510ed7bab046517672a9f120ded35d9 100644 (file)
@@ -1,5 +1,5 @@
 NOTE "CREATOR" "Xilinx iMPACT Software";
-NOTE "DATE" "2009/02/05";
+NOTE "DATE" "2009/05/28";
 NOTE "STAPL_VERSION" "JESD71";
 NOTE "ALG_VERSION" "1";
 NOTE "DEVICE" "UNSPECIFIED";
@@ -1258,2374 +1258,1258 @@ IF (!X) THEN GOTO F;
 DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GPrfC0e004GM9Go
 1D00GI00000C0m00400060C0W2040006080WqC82421YW09G05G03m@3W@dV@F@x@yp@pV@F
 __@uV@7Vy@ud@dUz@ql@dU_@qt@7U@@m@_Fym@3VCX88P0000GYa6a3G280G0YbC10081000
-0m0020050ro600000W4088204210YW0a0080mQ0R0000M200Gh0pqDGBnOQGq0v00ezDfWbk
-4OfM2aJ000m14R0010O82FSF00qzmIT3a0004WPAa0400GN5Uy6G500qgpUOlq229W330r50
-SAWJf4e2000ah58100uXv10WkGEvK09MR0c3Hi10GTGi7ZDMmF0LQ0UTWgq8M20007910W57
-QvJbhYaO300z1vrK1000eEAme603_km0W000H1OGN0FSBo9l1S28p6WdCGQG3O0000Dh1900
-0Oa900q0a40440YKmWUGaeOX7YKebU6CuobA_TP300@tLDSoICFS6Z1tQWKi9U0sK1OJ9900
-14qZY7000IQ0mWUZGgqdD0000h000OCZGY1I20440D0OG16mqiq@f2j700064300FIjthFa0
-08GFbdnQi2ZxNE14Z1mePBDE36a844ZLh24Y4000000H40yCb7U000MhQ9HG8I1fz@FSW100
-3e2050000A003e80CsmHYi0a8K03I5WXe1s8AWAQyg212000X8HG0008G2411W4e09044100
-b1c004X0W48032M10n9mi0U0000002a0WG48f2aW090We1s8AW70GE400S01d0000mX30000
-oX0E008SA7200EYa0000019800008HaW0Ga4W4H044Gu400000u0000000GG049amA000GwP
-89wqc700000IY0GGYaGg09W8E08Q0CY2GYK1@@Kn@Bby@HD@VzJcJXr0meE0Bf_VIi3trR81
-0R0OK1WHS@F4u@@G_@Ee@V3x@p0@@Bq@l2_@dm@@@@@Fnt@@3_@amQPgV30000RO00eQiS_v
-VfNVn000000W2W01u9L@SktMYEkhuwV30vm0CuT8hA8tgt@dQ_@dk@Vfz@Fw@@dd@Vvx@FU@
-@X@@@Tx@VbrL00umQj6oa_b0qX3mS@@@@@@@@@@@@@lS@@9@@@xz@t_@@@@@@@@@@@@@@@@@
-@@Psii1H1Wo@@I0y30u@@z0010y@V80008b300y@l1000W_@@gO1s8yQ6280300dFfC0p@@@
-V2U5000e3fua@m1xcYD008z_@@XB6k1Ow7Wi7_@@@@hW@@9y@@@@@@@@@@@@@@@@@@@@@@@@
-@@C7tMY6l_10m9IAuZLDsFW9B0_@t92800vt5bz00W@@3i2GF008c_@@@lppSs3@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V2@@bu@@@@@7u_@@r@VV@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@lzX00OC9Uz@FT@@Ix@Vq@@Jk@@Z@@@@@@@@@@@@@@@@@@@NT000yE600
-_@V90004@@7500yxe1_10001000mU2kw@V30vN0y@l40200_@N5004095O0G00W@@f2000kZ
-00W@@Ngg0LIXG5006ADIOmU26Kem99fbmv2my@lDWh30QhWa@@t3000ck00W17Gw@@MUFG50
-06w@UOGs3jG0008x1s0Rg0Kmd7x@jt@@600024J00m@@@VhI8@@J20d5WyLU0004q@@f9000
-O96Ie20ayOPBx_870WIXNW6hxXV0PJ0000000A0GRCfLx1C0000r000a12CdKy60W1E00H0j
-5bmY5dy@VH00412dw4Wm60@@R014000000W00G2p8D0020850W_@F4000q640I0000810008
-0G80020G0000WK0_@@@5SP0J000006W@@310Y00000000WC000c0o000O20u@@@tOUr8R89A
-0600384WpR@@BA008WAXE9AW4000CaFa1xjms@@@@@@@@@@@@@@NM@@Zz@@@@@@@@@@@@@@@
-@@@@@@@x1000T00401tcG9R4_@l4W20024WdIsiFIXVYPxjxgJ08X0m6usiOHQ000GLD00yj
-T500G8_@Fm3mD00GINOwO08W0OD_DIVjk@FjCx136HLK04415G3MY@21So1OeWC10n04lk1C
-30cwyV6000WPJF6c100000000eD10o0qy@C0W80UoNbY_@1y620200Wk1i9Mykkts000W200
-0WK10G0000W2A0Ggxpi6GBL_d30Wdt0@rgl@S_gt0G0GS@@R0G300e80000004100qGkA080
-46a7C00W0ZdR0000MX028Pmt20a0Wqk1RMQ30004m62100D0000D94u3m00W6000000218@e
-J00G002I840acmQty309100o0Y100430000008en00K2W1000GC000y@V8000c000o0O20eB
-WJ2I@p0Rcv@V6000Wz@l10c90g0mW01ifOA96flY6jFffR640000100G0000000Az000v@NH
-G@6qqV20004IxF10400HuqIrt@00W6zkiDs6wXr_POICgwsc108000800g@p0Gu9012Cp@@B
-zdq3RAPGDx6CBk1FyRGMxLS8_3xcPG26@q4MBbfb0004Yw@PO7E6oN230G000W00ANJ20200
-RDX4028WmwP0WPZGMx9i3t3VtW140001002vx9nr8sCZm6PFaGeDOazWVb@@@K@@@@@@@@@@
-@@@@@@@r6Xq4op0OM0uqORcG6ZYQ3y@@@@@@@@@@@@@@@@@@Sw@@c@@ZL@@Nv@lL@@NQy@aA
-@@ep@BAz@XM@Fes@@vz@UY@Vdv@pf_@Rk@lcy@dP@@Ow@@b@@@@@@@@@@@@@@@@@@@V6800m
-@@v0Qh0OS_@3t@@@@B2W00m@@5100W6600m@@v3080u@Va0Ds0y@@@@@@@@@@@@@@@@@@@@@
-@@@@@@N70G0W@@v1m_0m@@@@@@@JUr8000MC000JNbMU6dain300qWX008y@lM0200_@d110
-00@@JIK0F0s03eEWJ_@@jW3I0000A610Wx1gx@VL00mRMOdeWc20YUCpePI0L00GFbV20Wtw
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3N49G100XD2M6SpaKmCrnPv@@d3004u@@Y00
-003E00eTKBp@F100DC@@@@@@@t5@@Rv@@@@@@@@@@@@@@@@@@@@@@@@1opSbC3bXd0OK0Whb
-Z22G0mVty0020OpR6000GhQ008fweMPMev@P00GDK3TZDmjA02000808q10300000At0aekA
-rQhoN3900W0e8SCMPJYDnh00004h00WAkhRxTL000xMQUTpsPmE6CSz@6WvR0krhhi7sOE_J
-000mHU00unnwYzN500DvfcBgOj7Wvr@FxXIdfi80J60@iYNAbgW400u@Vj6BoW70Cu@@@VIz
-72W001aA4U00WoUgx@VL00mKTlLN0W03G000e100u@VU00j0y@F@W000018300G6u@@V0042
-y@@@@@Jx6Rdiss300OgUSBg7D0_R3LIac1K300dznJ6Vd46cA@@B10WmXgmvy@V30rV0K5Uc
-zAcWV00W@@fAx1HFv@@du@l9@@@@@@@@@@@@@@@@@@@@@@@@J2lb@@3100ZGQSY2A00O0yhE
-CZdOXV0Y00GQSV6fm9Rl3pzwCG00086S30800Kok10G008000iQ@3jNZ1G000mpF0RKI5010
-000800401000W0G00u0W10800040GeR@4W0200G0G40W0u@@60G01uL_4gb@1000Wa7G0020
-00401uX3aY6m00100000GUYt00008000WI_FXMsD01000090WE_Dey_4ssqWs@V0006SC0Li
-k_I8480IWt000804080Uwt028000080MJ81000GD9Z1G000m@CGtzRmU@8D2F30820siFXF7
-C0100m346aP@6Bvp0000G000yO000QC@gQcP00G2000000084hFc0800080004H40cxtW9_D
-000W100H4Y0G0m0202YC1043Q0000108YG400StSm4H400G00CN13080HiNl1BsRGu260W80
-ubV30810000Z0YW0mN060uJ1u@V9EQmWRXN2W80G@i6001000009040W0_P8VO30W0000C0u
-fs469s0W0G0000eG8W0apF33VBqq09G0000H00000002008424200H410G90400001100210
-0040cut0402000000G0WCdY1W04008WCG08W0W0210W12040000Ol020W00G0eALjozt0020
-W040010W400H10W0G000G62000000YG40000W0W800001W0082W00G000n41001480924000
-804045000e40a5G24W00_Un0Y000LXBqxH9000020G0900GfZKC8cK300G00081000140G40
-00WITER0W000H000f0OmeA6000020008R10WO0C0200m3G8bsw3l7O008W00e10dta02K0WK
-0CW100008G1G0000002000W20288506G01000Wh3000A00W040W0dvWn@@m0W00400000W1W
-@@DG00u04802H040020G01m0W00200200200O4098XOd000e4O50100W10880G00000m042G
-W00K04@tR0Grd480100080004000200000nK1@iXH2bXd0401011040200eYGI00002AGb0G
-00800GWA800000GHCA0208200220W0O1hyR00H001041G800440001000000ha244I0000W0
-W4100qf23@@d32a0u4ZI020040200882S8X010uA82020GX0W80W30100W41E0G000I4410n
-0400G40q9H400GJI1m082010820W80m1WC00WY000W0fO0I00300010cblM200y00000qIhI
-WdPanyCAZ7UO6ppGBQvXPCZCjeLPUS6ocnCbv1FOQ6pm3FQXvPG6yFeiMd1PpCZom@0CDpCu
-Xt3myCaHBjA3U000GHmSW090yF00pCZy@@@0W1000I2mAy600c00000CY1e05301u2W2u7m4
-WBmF6N0NC00kO0K0n0W0c0G1C1O2y6m4p4mF6We0IG1S1C2u2O5p5mH1O000067GC0P1O02O
-2O430mjhOm@@Hjqa1N_am50BizZ4200000W0iJi100W0000mnE00ery@NT@@d2Rd00000001
-0800GW00kByXEiL1y12m4tKL6E3G004U6oWC0C008W0000Xg5D0000uSt6CC_6000Oa700y@
-FLB4OmWG900448IXDg81Z@@D00Grw@@Hbvt30G04UOt0A0000W008002G000W000KXy9CPK8
-0iQ0_@@@mq@@B_@xo@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@K8@@F@0lVtW@@D00e0mO
-_g44F300YykPMB000y0U00_@FX5VD0O00mu@O01W10080yw@I02p10y5qK2fM200_2u40000
-02O20y95eLjtUK_F35Wl1003fnrfYW00meqOinV5H1x1GX7WaE99DyYQn_ai@V00009Z00Wa
-2YAvz4gALbg@t000_tIuBD@l10G40cVtWcvJ8m@4sTF1W088@aBHzxO0Yo1u@Vd00G02W006
-0008I002W2m000G80804820004028G0WG01I8020G100000WWeQR3000IiTi1V8Z1000UR00
-03a651020002Y0W4GRxt00W00000G000020404WI08006000040680009000000019G@@600
-X0ut@A00mIThlJ0008048002G0OvV3400014W000q000004W0000140IqeYY@z08i2m@@ETU
-Z1Zyo008200000082000011000W80GHkz60080G080m8k6iw@6000OX800ysIK00X04040K_
-l18400crF100G4PiR004600000080WW000SnJ8086372FA0AW0G2000000G0108Q59000K00
-428p@400504xV80qD0QCFAG000W020kIfYJcD0G00020W000100042MenZi7C0T00mrwE902
-100018024Wh_DWe000W00WtrD00W450000403e4220042004044I0CHvBX00uKAuwe00Y8Wn
-024000W030000G00001000020086000018000eC880108I42000GK008W001WfS21uD0GkLK
-18W0usQ30000000K20020000eE0C00G208000Wf00010062mWM@z0000c610WNzZ200GmaqC
-qTj1vIp000030001fVOmg@X00G_@vweGW0000OWu@V30W0n00Y0000X0400000G04100g0ZX
-KNI8wVF0C40y@lJ008Y110500G0W40000W2XomD0AW0GJP9000WghC30K30y@F9000eJS9AG
-0080WTH84003000X00m0R8448z21a0O0Wa92i3W1NiPW4G800000I000YYF400Ba@@p6H400
-0020080@e20WoF0s5i0000y3W@@31C_3m@@E1W2000S100u2O089mAmFGC00Wx000m000091
-00C120G0O2e3W000G5000400003000404000Y804IX7e200Qst90403S50W1C00qI@60Ae04
-Gr1GX@300008000RP300L@l1009jSulQjl7gThb6uU08P1mWzN10002080GyILq4N2Ri5ItX
-68P00ePV8ZJy100oH@@h5800XhhIemH6giZXVM81yF3m@@E10400W00GxV6qKO2tomGva900
-K0eTD3_cKYH_O0000kd00W@@T22C08GG0020008C0G180e240G00000030K8200GK001100W
-W010W008840000GWVBQ00XW0001004G0M7CXv2D00G080040006h@@75600000020404G042
-CKO240G000008000100401020000G20W0Eyq0100G02100400Cw93xUQmlX60Se1u@Vd0W20
-0A098HK304G0G280010000024WG400W400200100020W0m1W6GW00OQn4I7DXSVO08002000
-Cp300@@x400900400bPc02010W00400e04G0000000410GVg64Lf10401800Gy@l700EZ_@F
-A800000H0wpqWtwC000HW002000W8000YAYpWRxO0041GQb6Sn63@@pWWj0WPFY2G00HddC0
-080W100GpdCyee1F0Om@@60102uR83_@lY8ke20G000G0YQRJ0340000W000W50200W000qh
-G282000800TJQ2dtY100se@@ZYKW00O0000400020008001G085YI004KL048GX00WA40000
-0K1uLF3001848d10800G0W0y@e1@@BngN9iuoI8001G20a0G040004008000W00G21G00000
-HG0000Ho0j6010008n00WW100580Y1000800ara1HkMHYBH1080000e4b0000W00K200U0uW
-@@J00A100G0WpuC0090Ktha08G186kh0002yye140G2IXZXouIG000GMSCK7t31xn0y10W@@
-T27880000005GO00200080G4088Ou40GG110000225H8D9y@V20G20_@73005u@@75G00A00
-0G01048000G040100121008C820vzc0480W@@D0K00GFo6004Hu@@D0KE0y@lJ0W2R0C08x5
-10080G8G420SG2X0XzNwFs0000u0000040Km6210fD00000089Y00000008200000GWWKiPL
-000W5F00m@@E9Y0yt@0000W7W@@J000G500zV00Gte200udA0y@V2u6A0_@d400lD@@x400O
-000Wr000@0A0E3y3y3m500mF0W4uC00O080y6G183uE00GC00043d1O2G001m4W902000Jm@
-@O0mS1u@@hu@@0000mK_1000000@70e050e1DG04fG200WG1m8l20000kmZVp79aVSQ@@ZwW
-M6COl1ZGnmAt64yD95Yp00b0W@@VeN03_@tcVqV8pSCUKl5000y8600Aj@Xis890TF00001G
-0WOSz46ABX8uEvgz700OMc@7LBRR000021G000000XW00qLs3G0W0An9XTtDew_4MQF10200
-HmQ0WZ3WDtjv@VC00m000004016800aG120W00m0e80GG0084000801K0020W4GG10000W00
-9400G8040G008141G100X40201ynU300010G010W00mKS6000WGX00m3r64TV53yBHLnX0K0
-0008002410040100110Y000mG8eEQ3AmE104020010g0m00X020100140W4pU2bJR00W0Wxf
-D00mSOQj9CXF3BjzGjtd4xV2020G000W04W0480000O2WShD08W0a00100508G00G000X040
-008040G0000080G0W0W01WC7V2004G008000W0gYT30_i0ixmF@@@00WWWtoI00GW4082400
-GYjhRGy@LaFA3RFOmg@F000WjVOIQzl500180404W000000GW00800810G0002000c9F1000
-c00W100008202000000X0000200044Ujp0G2W0bepW00100CNy00G0W00WqN@IHWd00W0040
-1000200004rhU500O00000004108020004WgzD8AU32Bb10410RS754008W000e000k0tW24
-aG000Geu9SPV23Mc0000I000440000802a8j1i2G4M2GYuzvXG010W04409000282Go800We
-80W13080005000Zsd0000v25C00041G182003404490G0002000808080102004nxR000100
-0P10H40800WKj@IOa800W020a0100W0009X84020400cI9nW_@D008200400000YTrPmQy60
-008C553sunWp8D010W00000WjE0ddvHCSv000a10A0000W010WIjXRmVw6iek1dnPW00I908
-50000WG4040H000G000f0000141a2W800G4100I00004000C1200a200e4G4y0y6XXRJew60
-0019CS3I4tWtmJ85W402000020000O000W000080002G0GW00G1040000840001000aOJiq0
-G04000J02tN800W50e0W0000GW200000040220000GW8800000e0201W12W0G0sW1400000W
-801800001011XW2W000O802000H0008000100W108O000000Q0m00218m868sUO900G9W080
-wzt0000WG000008000040KW800WGI09029qQ00001001I00000X40G0000Y002e2400618i8
-0000L000000W80a4100010W0000zKY000W00W80KsC8X2R0600_20000GG800002GYX00WNe
-WDW30sVH800E0844X005sWGGOtBK00G0WTc840800002Y00E02000A2u0W000e8G4020G00a
-2W10K4000aL2EI8000k241000K80XxB400m00y@V0u@@OcAgm33_7sP70mpFWmlzm@000ufg
-AB0W@M4_Cj8ymBHLL5cCpC0ydPKLr2egg5nC0008TgoYCpi4PcPK100egA0YX390O7e0GHGD
-WT0A000r000W3000U300y3S0uDu7mFmF00WV000m000Wp000c0U1S1O2u2m500WB005K00AW
-B0K0N0h0c0M1C1C200O40G10008y810e0c0G1_1C000S7000bxZ400uVV3000mT7k_7304U0
-K5K0000qB81100G20000000G200W40909S1@3000PZXp_GpPOpS6gq@XPzJOyyGC400qrcDL
-lnJi@j00eC8f0gQ0dX85s8u_4Q3t30LC0vrV50004G1000054oit00008001000G08000CtU
-3EktWTuD0200GnzO0000qu00Gp_EH400I0494060006000aG100Y1IG00W0280180G0e0W49
-G0N@t00A11040000W2G02100G0000400210W0G0sjdXexD00mDTo_H10090E020200840CGG
-8WWCa8800W200000W080A02W4110U_t0X008F0O04000050002003ttWzwJOW@40oy0y@lJP
-gR0400XcoC8xV300080142080000G2WTuD0001Gav60040fr_400GWK_l4000eD000i8zL0W
-0000Y0qPV2DGA140WWGpCuca7Ydz1009p@@7bGG108Y00HAO0000040G0DGPmjmFidP2DXp0
-0W0WKDbm0g1m75Hj4k1P1k10100W0002000EkpWRzP87P3IFd1000KS500QstfxsU04000W2
-0080007iRmu_6000200004000G010HBWoGJyL00ucBm_eWAG0W0208a23W1008000G00KJ2W
-C00H08lP30008KMH2Ds@mJyC0Ev18vwe800W0404fg5300WG00C040000042WOuO020002H0
-0C380lrRGxh601010W0GKNWF0000vM_4osVfmmP002WGyI6805K2000ICS9G10000002b020
-0W000G0HQKC180WGjIRG_@9008yCCyh0W20G00800Y00440mt@D004000400AG00nY@WY000
-8000000H0020000A20CW0H00WN_P0y12mo_E100X2C000010G00G40GG48400802000W042W
-04004W84050002_nc1u0WW00G408H800040We0428400Y8O0010082020000B00Wo_Jmn00G
-p_E110042000W40WDxD00040208H00108C000GW0010002800H0000410g880I6E11020G00
-0400IcVj15Mo00WWgmmr2XW040e10000f8WIR0E0ag1W00u0GOOu902I010il2X0G01G8800
-02510H0W68K0240aUH000000860s@F1meD0Bxx40K10@@D0000@oxV9u200XXh500k800000
-0WJ000U300a1u0m5G6G60N200P000G1000k000_1C1a4S783868IGCGa2OW85WaGA0@01W0u
-O00W9UOuT1ei38nVCaT0gJT00000fAL100CZPc2Wgg44pL980_NGCpCg000W7E0x@d0093Wv
-r6hUZPk@F1000Om300QD7ilZDe103IdjY@@V0080OlnC000Q_@VOwAtW7YHAYRFoFt0WxD0d
-g33004WulL9I1R2Rb1000G6500QsdgKkD0000I4u60000vvV3gOF10W000140000GKSj151_
-G6uC00GF9q2UoFk200A40GW0W0000024a0010W04eowDW0020004G20004X000W028001W04
-4000000K0088000002C9@30001sbt0mUE0Pu45800018010400W02106008UD3YLt0004WVB
-R000WX7kC04W0W10014000NtAnVx94lU5vm@J7S9040000K000100000G080GY0q00002000
-4W00W08G0W000G6y900K08_T90400000YV9wP220aF2DO2z7o@FXLkJO4W82n7ZOuYA0_4cs
-t000G4bcR000GWPzJO8U3G0045RE3fvZ102@ZKpZ2HG000000G0W8nadmXsF002000W10YG1
-G0008nhpmh@O0ug1OUze_yt0000WXwR0G80WmlDeEZ4021080Y00W0WGi@CaOD60000TA00a
-cHKb@R0102008G40000408WGOG00008GYz6820022005049A002WW080ULFXOAm00WjKWzHX
-8100Ga00H00n9rD008J0008WazDeFH30010206G402W81O014W80txnmq@L0wB0O4Nge0G00
-I00000100Ce400WI0024J4t00W80PmR00K2088002K1WG400C3j7000WeC00qsBL800H0G80
-W0000002008G10O009YR030WWB_J0800K9@6qfk700IPlKCgp@DW0m0yut68204W041WeY00
-010080X0G00004a000W0coG00A000000088004zl70bU0sFs90W80ZqR00H000W000g00W08
-0A0GW0GW804022101GG00414G10002O5L3I7AXa2sWA00GHpE12I0000c000W00O80G40y0G
-000mLeZD4300V1H0020A40X004aWOGOtAGG2GWWK9040010210G0020000a2Gr@L00mpB6kh
-u7pC300_7uXl0ggQH0KrY0u@4ZAx1000000WVK100iXz6@@R08c0WfhZ204100a1G5m486mD
-WH00Wp00Am10K0l1e0_1E0u2y3u7m500mF00044000300WE040c0w000O20OuVC000W3V00e
-ZRjiB0000gkxXv40mT7a5N208_0St10Cw59Z8nmSuP2CQ08RhPoyfeH@F1000N2a6yYNB040
-022lhh@V000mQFvLK@Y7nq9KiSj0240OVvPQ8tZ2uJ83_4wBFXbcC00G0m6pOSSD3NYR0000
-GS000Dxx4Wm000G000012000G000A400012G0WkXD004800800201W0a000000G018003000
-W80G000040029s00204a0G0c@d100ORBtxnRxIyPc70000lNs04W0000a000H000GGW00WG4
-y60101020WG2k6akl12000QusW1_J00W4K9tF0oL08GoVY5MYk@PuDp7WG0000000GW20008
-00W020001W00WCwC3loRGs3C000WaM00mgMaa4D6rp6oT@9iyv3zIRm@@900A0euVC00mn7d
-fMjD_GyvI00E0OTCI4Hm0ixPNjXZ1800WdOE1000YN10WANlwRT30004q0k4LObm33a00ecT
-6rkMWtWZza002100A1W8uPeNV9cjF1We30pye50G408200G40000W04Jl4W000YvsWJpy000
-0@sYUaQ@I7XRmmeFG9b0eJE6s0eXz@J00H3m8kfT_X40W02cjtWI5t0000X810W2fC3005m0
-@C08pG0C800080WVzDu1G60000@NF300MRIkwD1W00V5O000HGG10W0010_@LYJWV0ei3mYq
-NTFC38G2WVuDXMAD0IYG1R070i@YG0000G88000081X00W400WCTP01I0000W0000q_200w2
-Jqeb13010006000890rNR00O20000m8000S000mR00WVW3000@0G00000WGg@FXk0C0830m@
-@604R0OwQj0m@00008NH0WVa@@4aYPJ_p30Wjiobc9trSUENYYND8wVF0zS0qAN5jWRGkijK
-Id7DeLHgta0000VqP3g4FXCRJe4yMI8dXriNw@V3008YqRLNhTXHz_64mV57JRGz@C0_h18N
-VgG008101200480210010000820UNp02000W001o0t04000ZdR0140020000G00Q8xXEqD00
-00ad10WczfY042004001009vCd020GWuyDO6S3UtF100G0jnPW008WGjh8Wqe6_BXaoD82E3
-000ay@_320002jpWilh8uy70K80yPMN0000@di2000H400000b4X800mCH0G5_UGF00eP@Pc
-VEX3fhun@4wOsW9mh0000OwnUa@V208EeJIzAW00AXyx1020W2HF1a53mWzHX20000040W00
-00010FjkHSz6aSj79NPWH10WUuf20H084H0010H400202bj208I88ae0G0HYayV800SgF8Ke
-c_V0W82Ga_60200400000m0W7@h004400W8K0W800O6HY_t3Wk4034wqo@F0I00evVC06000
-0b0wuVIQE0Z@@9PZE62i@Xyy910004280Wa@310WrRimuP64W02004200W1V31uk3m@@p001
-4yc@Js5bXqkV00G4rYna0000dZ00mgV5bul40090Y5t3081WM1000004_yl700qlhOscivOe
-fVRq00CXf0u6po3000I@e@z08e3mfdNDZY111zGu@9000A000G500mE01000x00c_t36000@
-@3300GcRvO8n@7OU000E0000Y_A6100_30000HF10@04zl40m10W8_0000SFv@G00qokj7RP
-wBHxtRCsT2WN90UKpZ@@z0G20GruR0000g6y4A8l21W00ZQx10040000ye200Q6N2000Gjjd
-G0vCKvU20800Q3FXe0ae_DLwUE4G00000AuAyk20018NZMqlw9000GeHP90018KJ_6@gd00d
-0WJW3v1@4MPF10001jXdGK0ICBl1000800010080eBy46r@10WG400W0sJNY9jbGi0000000
-010GnHlnjQRy@V5G00162tW2hJ000GG909a7k100G17Ut00801zKp0000G0Ae0Hed0004000
-81@@7500OWGXPODF66OtW1@D081WmIk64G_3X9810j5WRzbeFSLUk738000VqP0004WQuJe@
-@70401s4G25op0029010007wpWX10WU7Q9NnMErtWw891040Gi@O00Ww8ZxkYht00W000G40
-EXsWK@nemvA_@t0Hd503D3sl_6Sr0FM700_OkBG000XQEJd@9W80000m7IywT100G5000808
-00000GJHx1200WhybuCS30GP0COVK@EcmXz60W000G00Gbr6S303Bo@mPuIaQk1000en300q
-ilJ000810001004W0G00b00WNAJOpsGEEFXasDWI40m@@6008kv8rh0028080800YW0101WP
-LXvmK32mE10e605kILLr60004050400G0mo@t0001n@@6004GuDU60008G1e0ueT34400yMV
-K0e0Ak@t001G0002G0Y00aVs6tiP00A0WAVb8rU300Gl_4ELGI4100u000G020qA100Wq0zD
-uGuD8000W4211Ih0my@600m1000040eGYy0C0y@2GCzEzvF608WVe200000NjqxPW900y@FL
-O200uDm410mFRQ@7QVk20u70000mxXZ1Q20000qX_@t000@pnh@@V@8b08F000WY6t38002R
-MdmfrIiKk4TU@mrq60006RcDFg4NYK3TwCFI0m90ac_91aJIa2mKwD90008QAbaV4ifzz4ES
-da8aVeBP600ioFThAV0pG4yI0800810200G0G000102000080W0000002_fpW8pD0W00004G
-WuvJ00200100m5vCW020m4w6iIV24028g4t0Y300ZGdW010WK5F1020mM0Iy@l104W0Ygt01
-8047iR04W0WBsD8OQ34G00iAT2G000ctt000G0PiRm4@6inl1dyR000kt1UJuTwAov5ZsWIu
-RT6_68XNwPOwVFcWt00W000080oY@10W00021040000ks0eP_46wBXHU2vvF3M7caWrJOYOF
-0001zdv6nPYnOvdCvF3X8RmV7pyyl708oywCIb95hezM6YzFXqj2vJqM0cQ0KZAO@TwnpdOC
-xk10Wa80000n200OX9dcTJYKSn8tx7IQ@182002200W4Y0008DuXNI2AMY5LJOdU6g1t0200
-0hvpmzb6abQ8blcGnzC000a00000Wy7Wf8iflJ30100OG00OQ@A0G0X00b00008Ik66Stz6P
-xdGktC005000G200210000uC200M1FaiibeJT3e000000G0G01mUuCy8k18005IQrW6d9PnT
-C6wt000wHhM@300WWduPODU3G0022Wm0001880e0WKmDu5y4k@@XgzDOZR30H00y@l100e0o
-Qb10@00vW7ooR6y@F3008YU3t0C008XVd0042WU@DOtU30010800000WA2480WnxDuEyAgmt
-WnxD8ty7ovt0000qm100QU2cFWJ0082mAlCSJk1W10G00f008007000GCS6WK28W403GB@O0
-4000001088XG0GQ25_p000W0o0000W0000eR@@@6L_P008GYu@b8CS3012W00s5XG00SOZ64
-5W4RfP908200m40000W1Wt00000F6E3C000GI008nsA000C100a1m40GktC008000G0Y1000
-1000000eu500y@@6a400c1NYmmJ004000O200m4m0GIWN6uXw_v100mCc100mZPc20ym50y0
-CNNW1aH2Gy6Wxnh8lCOYXp300801bRGzpUStV5000m5A00qSj7f8FJFajSyV800aioFh2004
-WpCOJmUL000W8UV3wSFafuh0uc0mWzNDJl4Xpp001GWIlt00010030WMsD00002s10WLZOOB
-yAsid10G00dpR004GW@@JuyR3ketWahDOF@4000e800400002K0008001K004400000G0000
-800040W002fZRGA1600400W12G9@9yKh100c3Bat0G000XCO0028WWibu@V9MDzXOgOuwS3g
-fF100e0Brd00G0XDuD040200G00010W9xdmFw9G000000020W9000W0ZzR0e27WuXJ8CU3Uc
-tWW@P8AV6Enp000000012EyF10GW0Zpdm8z9aoU2L@R00f000810DCaGSz9qqk1l3a0001e_
-fbWY0GGv@6KQl10001IS8XFWzu5U9MypW0ZheE@7020000K00000GAo64qz31Z@00W@_DtJO
-nrhkPEXjzJ000GmN_6icl1dwpm7@6qi@32m10Y0@dUVvvrJC00002W80000080G82000iPS3
-MzDR0W00uJV3kaFXeHbW400000Xqjti10000002nC_31004OCv64RV20008000800010401u
-Sp6ylJ5W00Y0000020a0H000Wl6W0BI8WfM0000WHY085LR08W0q@l100005108i7T2nlQ00
-04Wk8P0000dS10WPgqg2E3khdX4tIeGV3kYZXvuCG20e05000000eK200Avt000y5vkPG5GH
-TQgAW4000000Sud1J_P000G40811@yd08B1W95c9@LL0005W26280tAoWt00022000000HW0
-00300240G8400Wm10H0004X8G000WG00000048EW0@@F3440WR@9PbS3cN@XMKJu2f7W0100
-021SvL30808G0000W8W0004000pcLw2p7z6CuR800O00002002a00WWKkI9ySj1802000040
-0G0ewS36Nt0X0G408125W010W60002400Y040G90000MH0000IR08XS3Iig5W0040000mA00
-iazLXczJ8QgGI000JWC000cW4tU8yc4004000P00000W0W00000029I14Ia20Y8504080C08
-480S9W4G0000000GcFXy4w4WXirz0080C200mT_d1er300000K50000000A0m3W0W7pW200G
-aR@3000Wgg400_B8LLLGm3F0KLLOc900m@W_@t0mi90hcp61G0WBcJ8ox46cFXZxJOuR32VC
-1@100@@B1G82WD_De3S3_Ts00900ZwBnNu90040up_4AW@1001CVpb0000GG00059R0010mE
-kP8EU6W0W049V200EUh4@10W8024001080800WQ_u4UMnWOzhea_40040CbS2d@@G296aZt3
-vUB1800an@h00F1mZjO0400e8SIQ4dX2zhe5Q60Y00a6j1PWR080WW_rh0080H8@9000WMf0
-0GNvC000m00I0mp360600u@V3g08XRot0040KatF000A000210G002W86W018Q7m00002LbR
-0H010G002W0800102CCl10040WW402040O5h4_@t0003Fzao0009WVND0W00000480000210
-08000yAl100026gr00G080000GWI0Y0000G004W0000GG1VsR0410004100120UOm022W8GG
-2I18000G080G0W08WG00XW8010WL08288H005080GG00W28e01GG080000K00WK080904W08
-C0W4G000Y00GW10000uAK20WY204401O8Y0GH51GX04LG05082W4HaG00820WG3Xee8014P0
-0oG001K04W8I0400H11W806000W8400G201Z00W2Gurc400400G00W000Ofl6040W1012WW6
-DG82880410101G1a00K11I800W0G0WmG40aW000W0004802Ok_60G485E042004G00C0W000
-00Y00GW10000j@2000C0804808G008a00m00C8280010L0Ga001020WG236W54W0B0O180WW
-001KOG0IG0LW008W200001a0W21W44G0G9W000W8G7v6yBN2dUQ00G0G0m0000400020KyF3
-0D00m2000G00GW03001H009080080Mfr0W0020G4G0001KwV200IZ120K000300W010112G0
-34W0WW010G00800400C0004X0W0TtRmmyCW800100G0122WFtD0100mRR600W0GW09m2A6SG
-k1fe@000208008004002000080010W804100W0G1_dmYz600W02G200841004a0G0000yeY0
-400000Wm5NCitl1040Wsnt080G00n008G0008K200001108108O00W00W0a10X808oQ9E3oW
-r@b0008OddL0W44ecV383020008000020W028Y00JzR000OKJ100W000040000808JU30080
-8200040800Y0a8@J000820Ga8200W4100000W00YIOLH30000LGl1Vjpmy@6ymV2200GsLq0
-00G00040000KW14000m0000940P4000eW002011A80400mF56WO00ewV30Y8Y000000GCsl@
-900401000W002X4kbW400m1P90000200I4W040W00000C0000H0200008YO@vF4XT2nvRG2S
-60Y00000W80002081X00W04208020DXH00083000460W40WtZq0H000000050W8SqY1W630g
-zF104008Y80oitWHvDG2G800820G00G2000pqEXE@D080WOLw6S4j1fwd00G48020W0014xt
-E108400W40Amq0300082208C48YG0W18C019g010NK5AC2b0G800W02X8032b18GaI4Y0148
-0GGO8021184030000NW800000W048Y402G008200G01040000Y0GWY80GO4W300010G1GC24
-0e88012W310000500844YG0040W08CHeG0000020ILrC000HeZo4YNtWstD000W1084O0W1Y
-8I40e4H0G9280Gi04W8M1002842GM0XmQ1K0X00400c100OG400K8k808030Cg028000WbG0
-01W08G0X0000G0040H411W100WG4zmQW80A000G0801OW0W80GH00883000I40008G0GCWQD
-HWB0200024GcG4W00000H5JKZXStC8jz4swE108000G9Y0G0000XL208100O0801G22000e4
-00WG0005A00G8204040zuR0090WbyD08v200000m8WG0400onF1210G00008W00200000A10
-004W7wUumH3c2tWiotu3S9W000W0041800WK800m1000W02000O200800A0nfr6000W0108o
-PF6081000mW0000EM200000YW03o0000008e00W000006008000C80180OMU3Ih8X_nJ8HT3
-_Ul2020080000W4000m0Oiy4Yus0080e0000G00C0G0220000W06002004402002200210O0
-K0G00M0m000042G4008G1i00000K06000GN024W5815XYeO021GX0E00100HOHS110H18W08
-aW0YW8e000G41BuRmut600080GW08202040800080Md@100G2HqR010002400000000W5q7T
-2GK000W840HG9002Z04B00480001080a0W00HI49YW0IW4I20080000G0aWSze100E001000
-WWJ00G00IW080090W00W0G04zHZ1W00I0e040GW0ukz4MmF108040G00L10020410408mVh9
-y@l10W0O00W0e100euk402IYa0N20204000K258G0Wl0140000A200020140000QZ000Ge60
-200e504100wFt04W10Y0W6000WRTA0W81Gg60202400Y000G00096WU1388W00e10004G4W8
-000K03000XD014000i208NNd0a000YGWE0W2MA2N50W8s000L90000Cg20CS10n1E0W230W0
-Ai448sBy00IG10gt3GLF3m0Y1Ht9Ez1eR0000W700W70004TXen00eFS100000WC50yC3000
-0L4vE0000K5U0000000_10qN3WuYZ1cX72tnCnAE0CzV0Cuk00sy1mAMX00006_Djd000Gok
-kD001mKbRC00P000K1W0i3KY00GA000K000WA000L010l1g0g0OO00mG0001000m000W101G
-H0LaE0TWi1w0HI0000CyVW85mRHAWC0N0D1o0w0q1Y4u749168I2e9a4G6G1WtWO0H0K000I
-aE6010006030C0C0K1O000e20003aAW10500kAs0000000y0eW_10WP00000du00W@@J0010
-Fc1005G1zqd8Y00uL90e000iog0s@V2W8Y00eVeAu10200WE1000280EvEu2FSWiEpu2N00N
-c1fA000SxLSG0_vW03@4J1503_YW1C020c1a8e086m0GYG4A8YxW16c1WA10G520A0000080
-4x60aJE4vcVm6R0Wuk00JL1GLL300@J50000_3007N3pw_O4c@300QLo6lb3oV8uxA00W1iz
-5300G040204O_3000GI4FXpuD00024801mBiD8Ey4U6t00a80B0p00W0WniP0G00O3vC0201
-OjQ60800SI@3tbR0808Ynr99cxA_wcXBqD0000EU00Wmsbu3@4k4YXMp3vNz4EGc10004JfO
-mn_IC3l1dfc0801mguDW000G0xC00Gk@@V6W80WqB@60040ZKu10G0Wt_N1420WetJ0012W0
-0000Y00041240000X828vS300YWiMe100W00400Kyj102040G01y_l1G0W0wRr00qB000020
-W00qTl1fNd0004A10000230gmt08W0Ye000080100W0uaT300219800IG010W0008048020W
-wft00G80004001280W00008W0000qAnJuoz4sEm00004000H1800W0080141005040H0804Y
-2008W00580W420G00010000008nj2e2G0108X2205G000Y80100800011Q4W410W002418WK
-A204420W8280W404GIw60G500A0800G00WG0040G0G0W0a9l18000G00005008lM3m000W02
-000m00000100H0002W8020Kqh1W0G00800KkV20084cGt0804G2fW0s4tWc3CG0W0000YS80
-500202130G1X000W00120800080G8W088220G8OK08h800W002812G2a005000000001C000
-G0W020218448X080G0G102W044W0020104Ykt00401Pbd00Y0Wz6Ium_4040000C012000S0
-2804G0G0080WG00201eLT3000A00G0fd8300000u2801W8Gkg6Cdk1000W001W20O0gf@4G0
-4001KWfXT300I0000O0040000e00100DGRGe@Xqlj1JR@m8090GOG00000090YGiIeQS3W80
-0000H0000aa00W0_PW8140000000W9bXbW828G04W8212p8W0W0W84WP00402GCaP450C045
-1008G0214H0IDaa0000008WOGx9aZe1G00W2Ps010H0jTP04000Y00a5zRW02O2300008aAx
-Ft0Y000Y0002etW2@D0080msk9040900080WG830080WX0I040400Y0002400G800G009tZH
-1wI0808ueD3000G0128G4001Y220ZO01024004G00004G01841000m00W4160I5q001000lJ
-00WH4DuV2FsPmf@60H001008okxI80GW00008040aKzJG400mbkXS_E30G0Hgkq000H00G60
-0010G0H0000Omca601W0000220G0aHzD0000XXG40G00000WW180YG40Gez@4008WyhW1@@d
-081WWPxbOjU300084_R800800W8YW008Q_V3006504P004ZMaYY29424Lg0e80218W8W0WY0
-W0fm0442008AgA0G0JO2W000WuDH0W941W84140wbtWc@DW0C2WWG50GHKH01W90WW0G0a04
-0YGX0900a4Y80G158JGO800aW0CI0Y0G124004W800090SWl7800a2Kp00009000IG40aG8W
-8K02820W0G28H8K40W0eG48AYGaW20a09C0W0OGYY60008H08W006000Oo1000800010W1G8
-YAYY09HG0G00Wy@DW00W000OYNJD0W3044320OC1000He04K00GY8MD1010G102080e88G48
-0002G0emxM04G08000ujj4001a0000ZI06HgS90050e803000W910100000WK2W9AIOKj4e4
-00iR@Irf@020W4G201Fga0W2000G41WW0000u04YD3Ga0000WByie18G10G000iXA3TiNHog
-F4qVE8100C4501W0Y01WG0H800400W0054W0H41400H415840I002O0001n005008000iR00
-e01G800e40C20W1G0G0410W0mbu6KA593zV241HG8000000AIvE120048G028421WW81Y210
-0WX042200G0WX8HC4001044eG040008820jERWmI4G08000K0000080KW8OyO3W0002100ul
-83000WW200000GGYf60W04SaS6W4104xB90020kVtWj@JW8GX00G2GY000tcR000H0G0mA80
-01440D0004m204Hh_600J000W@DA00000e9NudW00000a050020G810yxS2000M000o000Of
-uv4w08XK0Cu@VF0mw1W8Y000004@@700Kll_F0mjU008Y04Wy1ygV1AQ@p@@uo4p0Wh00060
-00l_g000Gc500000k100000o1040000L721Wuz20_710yLn@000W02000000KL04NS0000OY
-PL100rJbm30Mn60eoA0bfS0mWViO0@GS7nnAB10nT2Gyl40hiA0Wd7G00GmQC4sV5O20000W
-001000H02000YWOuC000W1W0030LaP0C000w0O1W1mc0QI31q1K2e9e6WAGD0C0f00000007
-f5000OC00W8G1020X0H04000I2V6mP0000W204000O08000m0YbB102060C0C0O00a2G2001
-0hP6Z@@t0015Wgg00Vz1GKGVCvRE4100aZS0a3v0g5j0q3qIkvzZtUZPzr7GKbf0K5000cm_
-70Lf5004xc1W60000OX90e3K0Y3C0000430000Wd10000el20mq705qF0YuE5KGVu47ymAs0
-8Mn1mip30Kl200@cNH_s9KQj1h3Rmcys0Ua1u@VL_IuaNhDOMC6Ey9awyPelV60001000WnD
-00mlO6qvz3vlNHao6qAl1h_RGWvFK6F3rqnGnKLatT5NK9100MujsD8fAF6@F1W010954IOv
-60480e9y4oHtWLvsO3@D80000YU1OdE900804f06btqoEzCaJV2VrdmQoC0100e5d400G000
-C0AgG6W94G00W00080Gxy94@r300G80W88iXV2tNPW000100000e0K8401I001wAw7WG0Cy@
-l1080K40220140uuS30000G021gtV3G010100040002004a8sDeY_4008000G0010000211W
-20000U@8000Ie0010000H0G0g02G0H45W2G2G01421012W210092e6G0H900a0808080g0H4
-G010W04040C00A00G8viV3YhrWKwPW0A0mLf9W048eX@400010503SjP3W0W0Cbh1nBP00O0
-WQ_J0W008800XpSC00000GvEG02Gm3eO082O0000WYW190G0880428cQ348418G4BG01280G
-0G14G4G8060G010G44G0A0080a01G22G004gtFX04Cu8U68030CKl1PrRGZXICh@3vOOmpl6
-000800G10000Gw3000202Yyt00014W00000GGy1f1W0G000W004A00GW0GM_64Kl10100Mit
-0140800088040zeF3ZED30G0WLyD8SV3I6@100JN0m0000Y0auV200H0000800K08oD300G0
-210W0202000000G1W5_R04G4H02W04004Rhs08000R_NHtdm04000WG008Y0W5@VG4b3GxdI
-0040009Y0006C02OaoO41oft0HCG00048C15000m0W000W100Y7uD08o8G6MELLi4w700EIN
-YwVC0060m_Q6m48000a00010H00008G4G0080W0002000X8G4002000006UKud21bu1O6008
-xEYt6FnR0G01020W000GGQPn000G0000GUFq00201008201800084OMCUW008000W0G000G2
-00G000G000kAc10b100100W000qGV23gR0C0500WI4G0520aW0010PGW048G8W8001H4A800
-G48OGaH5B0W00G4a_8C0m00GJNyqoA30400cQrWCSOGW0mGEH9idX10000e204P01804412A
-YP28nbK6180K49L86Cc1GO419GCG293040004eeWG449M000bgOK100G0A100IPJem_D8xj7
-00qF2080000eGgaFSxV2000W4A92000m0G00400GeZmD000100400080G00H00W00bzp9FEJ
-22G0Wita0yM0mxuC4bX1loo0080221200G82e0O00Wi881U3G00A0000G04e010000G019tX
-4042GW88a0100EosWjvJ0c002G0800880pa@0802Yg9CWY000A2XG0W4XO00000G83GG0J22
-Wa084G00W40YK4038G08W0ylL3MpWdpxDe663wA_100WPxjRGSUF008An8800021000000A0
-2108e0010X50W000G10244018K80000544W000800808f00lxL400W600000b0Woc@1mu648
-140GQ20TXG50G0000Y002B2004A284W000e8G402WG000H0W011000512GG000K44ZVMYY3N
-20006Z00WZyb0000gw3e2gB0mgqkge6rKZ5ggIhg5kbF4UBF9pSOuXvGuCpm3Nc7WliPESP3
-FSocXP3100USWO23CEpni9m800WY00mdYC0000000x12000C100a1O00183y1R2vYR001WA0
-60N0k0k1S1SnW2vs1SX53u2O7m5mAaBWLOs0hGkCGXuT0k0m0S1C000u2sXa7F00000m0500
-uA0WZk1Q906q0iyl10GVe0000W_G1000000eF0WMV0K5UGDymUvk2u400W0L0I1g0a8Y085K
-02Y82AKG1492WGG50fW202YA4KBjW200WtR2GRFN1000ND00mxt9COa176bJVu60H0000001
-40000W00JfbmilFyCU53rY10034000020G00G0G000G8of4MBVZ4oD0104m_@C02G0000GGJ
-a6K2wI7iR0GJ2WcrJOdZMcTdXNiC008G00G000W1000000220000GOEz4UkxX85K95p40W04
-00080G0001G0W8581W00mbmLKAl10200_QcX@uJ8q83Igd100GGlr@0100WLxJ0002Ggq600
-86CXU302200eA20000000g000000e02gXc10W02vtRmOz900004000480GW@@D00e8GEzC00
-0G02WW20001044008000G00CLk1bEinHyLaNk10EC00Y00K7F3A00G02000010W80020WG0G
-G2H92d010G000014W0H00020W200W20008GWmYI8nG3MXs00H01WWG00010GG00W000020GW
-tzmueu4IspWPvJOUV308W00000Nl00Glp6qBg100WIm000000OG10W00040WW00G0H000018
-000001WmiU680010000W01080080600000204lW1XSNn5z9KKd1b_pG0w6qm@6vvR000vxbe
-D00800W0CW@@DOXK300W000W0O9E3ElF1100010Q040GWF9CuFV3EKFX5_huMtA61M5WK401
-@pmT9daBe1HvAqoua000cFfX70W004rK2TgB10Z0WYrPOiV3_Cda7iu10mCSz9UiBQ5D14oi
-pE14u1etuJ2Wt00001JuRm7e6a2w976cJSc6Kmy30W00EXFXJLb081008W0012000400EorW
-vcD8m_GQCa700pU0000Fod1O000A400sT73G0022000000802800W008000YbyDeOVIU0tWW
-ld1Sf2mVxCS2g1hkMnQiISIXP000eOA00qE_3TcWHVz6ioj10G4200002104uzSLoVsWSDd1
-0WOtp@C0O000010mVT9iX460001_e8XSsRvNJR0Y50Cu@3W012__d1040Y98N11G4WOzK10a
-00008100007tD3000mXCI080000420G0000XVH_sAa0yDG200mIB5LjIQZy720a100008600
-0kx76GJP0tqR000W9000J0c000C10iAd4ZiRGms9G4020004IA09Ks3Oy600uE00ixV500W@
-huM22mCy00WPgbDXy@qwv@400arVaw6heHoKvCqLu35umJT@C0c_0OkP6_BtZqzD82ULURg8
-000GPKcmZx6KC49Prp0101W0f030mhUytdit7938@GzG6ili1jjPmXuFKQ@3dUo00O2WHnJ0
-4C3mAxOaEk13Gcmq@900e2uU03gjdaQwDeNVCI4J24001he@0000CO2001GdGe@CCvc1RyMH
-kwC00080000uXqO000G040WGs19K1j4TeoGeu6yad1Vtp000zf0tJ0001K0@Ciwk1tuB1000
-G0402hld0020WQYD8DZDwxEXtwLfo93sZt00l50TBwHs3I00W28n3jk_N2000iI000lsvJ04
-hzR0PSZ16dnm@00000QA00200GW00GI1Sg2000000JaMS20Ohy@V3GW80qzLcWjR00000W00
-4CI1ErB00y3wFvU260W0SG0080WK0YTpu85s3E4000O2WZ2Du5d@Bud7W@30zRlHtjO49D65
-M3pC@OiyB3000meD00anE3G0G0cctZboD0000HRf90001upT38000SGBL00Co6ylbwqJ00W0
-Oaw9020000G0GY28Lpk40FG0_Bc10W04ZKpGCqIasl1n9am4pIC_9C@jdmax90W00G000Ggy
-6800000008510WXvJG00000002W4004000cdtWCsJ0K000000005G0dmR0W00W5wbeHiAIdW
-aIsJOjM900W00081DmV6G480zvV2BoP0200a4lJumR3c@s00001rURmU164@U2rp9n9@Uyxl
-1hiPGJsCCdD3WV20Q@F10006002000G8K3N200W0gWFX5wD8jN300000W02OMH6I8tWPnDOM
-VX2yF11000000mb300CEV220003tt04000PFymnB60e02O0x762dXi7Wfq@J00SYCgCC04G0
-M_qWEdIpyw2m_zO020oOxV900082000004G4802WePIZP00GDmmakV2dXR600pX7L8vax700
-0O20049ryq0cT0ixPB000H40008GLG40Y2mqiZbDh1Z9N1000100040O20QzN20W8W000008
-002141eXHp00yS@u@C0G90_OcDGuC07zFpz@6iPPQu1006zlblyD0011uirf100mT@VC6fs3
-90000X00oXtCG0D07zF300W200000a006iti9uPu@@4SU00000000c3mm@I000U50000g_AW
-5pJ30mJQVrL000muHQC0Wn00080I100GJ1f12v1OzV90Gu1O_v1000uVp@I000OWh00Wly@D
-0ull0uF0EkUc7qX1000cg10Wxv@vUTdcSN200Ha7axHQ0LimU2X@ILN@I0u70eH0X_j6ZS0u
-u@VL00eBKjk73lZnC@6qpl17mtoHdRaKk4@_R0u13WDth0080GXzRaNE3Dxp0001eqzZ2000
-eE10WJpJOj_4wDFX6xbO_@4QMFXhxPOndVQ7l200PPbuB1000Y85mOlS9EdxX72if8GF0Ec0
-CtF3bv@Gs7OaRl1RsB1002eqzZ2000h800WSoQ96V3sfbD005mZYeIDzFCwV2TY0JHxm0_g1
-0020G9dmCxl1hENnqpH10007R00mr@6Se6C1v@0000aQkk20mBQ8Aa4nr30002000aScS2Bh
-cGw3N10b10012mza6C66C3@ymdt6SJHNPx@@@@@0qS1OZRO0200Cw0R000O38rWpYL1004mY
-Yf54_gWeE0_@l500m@_000IbzXvs1ZE10m2QjCXj1LJ@GA0Kai@IIx@0ym@0000u@@@3x@M2
-00ptpr@VS@Ot@j400aF@@VI08085kd0W000WlE0vnl180GWfebuJuk02W000G00801200100
-0489wRWQ1100028004002eWK@U2Dsd0G10002000400JIz10G000W4G00W0a7W1TIt50WfY3
-UDuyV68004SBv6zEwq@@F0080100080a0OG00W8008010004000mB2mL2600801402G3uF0G
-G48T13Uid10G0000W00001220020008800mIzNAOV3IqF100020008L900ivm3tAMHny6Szl
-1XSRmP@I43m3jRQpHZ60G000800GI_60WG8CZV30802Shl1041090A04ql108400880q9y3I
-0000880Cul100080802000I0400G3w60b00uCnSoKu1080000I000000ax8000H000200100
-G0000610yIG2002WAwt00040G000gOF1W00000401088EAN20101W80W0G10eLwSQldXXLJ0
-A00000G0000446G0U69100G00100X000040G100W002000800bsRGDh900W00020W010G080
-0FDR0008mDvD0a00oFtyS@A30900G202jfl100A71000080020002Y2Y8202G200AG800jeV
-24120op@12210014O0081820G00040G0G000ZW2820eOWX0W0YG800mn3p0140u7@400080G
-29uyV30090W1000q6H400Y1020f0c040000X320XG0X0H0004W40868aW40008002W010000
-O120WbVQ000W08Y0W8022kJn00W00G800101000010m0GGEZ6y@lA00W4000Ay@l1K0000G2
-0G15000m100KWWK0ImU0000GKGAe000820o2m000f20000W80Aa2030G1000W820bK00H000
-00ewuD0G000410001402000IYrWK6d1042G70Eial1000WgBm0000eY100IQs000A00000e0
-M0CNl1C020YcnWowD040e008m00G0000K0UZF14000C000G0100J0000400084008A1@@F30
-80020I10C4m00040WG2312000AW2104HG9241HK0008n60W020Ge088GO8i0E000296004X0
-OaG2100001004800W4084m244100O2420840A0W0200Y0G0G00A0IG001C00642e000W8e08
-000002HGWSBX98T30020000G010400W00a000002OgFn00W01G0000e@1000008G2mWi6014
-80088080W40a900404Q7n0H1e00000509GG00400000040G00G000G01YW9G0A2G1IG00048
-G0820A02MSP608G0000000XH0W80G34601200D0004O004100Wm00G40q00006HIO04824q0
-00GW8W86W4GO02820Q0008W0082000X20Y80G34B01202lW00800141Y00E04000I4u00100
-8HG4040G000H007410005v@Va08c@B00YG00000WFN00W@_N000801000200200150026004
-C000mV@J4@@lwV6000B0W@10ym30@X70_l80yVHubvYm3_5LbgOgAL1mVC3W@M4ymj8p0aWB
-Ca400838Z8IG6Ha2CY85GIHAWC0H0D1o8w0qHY4eZ491000G7m8YK0D5v0w0q1q4eZeJC06O
-WHYq0Q691q1K2e9e6H6G3481I2v1y3a2m5udXBWB200N40Ae80K0N0e0c0S1S1OYu2m558WB
-AG5000Ru1300uVW7U00FyW0GL11Uu3YggI50_7SX@FcoC8CbPG000000FL54uXF8m3FLW7Ug
-Kr@WByWeIL11Wm3YPC740_7ScnCLLXP0yNG0ulWOEJ11m@2YPcX9002pCl30S00F000@100_
-@B000002080uQL3wUXX5_H20oOROIaSiJ8XkULvq60gs0eRGR6v6Z9nqQq@ewEleayD00Gt_
-hsOyRi700G1_@l20W019s7IXzaa0F3WS10k@FX_o89f83Ual20034dBKqG@F000WLM00GVyR
-0400eVPmoclYCzP00EUVg@RC6t3@@RW020W3xherk4oUGej@V00J3G6y9KLtF0028UiFdK_t
-0000cK10WlZLv0UIsCLY8yne4wJ00qFDmYMflqIE@R0cp1O1b0t6t3000GK200gL5czpXvlV
-3I_F1001000026kzXgnt00mCSuhXKLU57wYHsvIS@@6hv@GAwO0Yc18gsSYMdaE_D8b@7I1G
-Y0xz8y9OEB@@os@Vi_ylqc1WB10dKLq5tN10W00000FC10WyRMgH7d004HWGa000WRF00000
-G8Ib0OGg05bR@@t_l400CWE0S850AY2Wae1eQIR3000W8G0000082200008W880a0J1WYe02
-P@@40r30CsT5f2a30on000WSu400w0WXK0e8AWD000G000011vG04004S20000_SG00G04E1
-11C8C400C6a31626GI5udIy@@@@@3Ww@FrBQLz7I1WYe0y00G4mg@Ez2c7pVomVOXqeV2WmP
-0s2R_TuJ00080008W60C8J@4EatWK0O8A09o3W1000000WdJNx@pv_lSl@77y@qbz3410oX@
-6Cvl1f3R0001WK0e8A0IwZqU000avUdGX@Fa205H1SoPsH90808UrAoOjYe089CSgG200q1W
-3f0GHK0eCQ_L2200w0mXK0e8A0K6Nz@E5vFLHpQzV62000zjdG706a205H1WIePK10921Y8G
-8a0008Y04Y0008H8G822HA50AY2uaiG@FqKxJDDXE0CG006GA0K4509TSG5818G402H09G00
-084H420001I0H8041681f0GHK0a0a0p110W3Gu40000Eu0000GE4W3001dvGGA0K45090XJ0
-00410IY0GGYa0000019800008HaW0Ga4WC50AY2ua0o@@Vz@xd@@zz@@@@@@@@@ks@Vh_@pw
-@@tr@lT_@Nt@@@@@@@@@xF@@zt@F@_@l@@@@@@@@@@@@@@yl@@_y@hV@@vx@F_@@@@@@jv@F
-R@@@@@@Yt@Vu_@3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@N50W00H0W002000000D0OGB09a2W1
-00W0A2mWm0I00000020X@@J88W4000002408fWh4000W00000000200Wl2C0000002WW@@D0
-0200020W60Cu@@48020y@V28000W000W0008f0g_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@BZ@@ny@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Z5400G70Ey@V5U000_@dDW000P0m
-G90Fy@V500wl_@@@T7y00G00001Wl7OuKXA00003Q00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@Nf7r@@52000bf10W@@T2000q@@E10WcCm_@@x@@sz@@@@@@@@@@@@@@@@@@@@@@@@@Vx18
-004102j08HU0dK90300Q5_@dA010WfNzGzL9KWL85PnGOM60gA08CiF1000vQ00uAie_UmWp
-mIe303EWeYy0meI0600q8_@VK0800sDZXWp42Kb3m@@@@@VcPFy@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@iy@7h@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@zZ@@_w@dF@@tx@@@@@ln@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V_00010
-200y@@9000O_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n000Gy@lA00wh@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@HjLJ6000PL2m3@@N1o901000GS240_@@@@@b000y5m9000004
-i50uLGJ0y@l700001000000GuLYm@@F7Gp50@@hr@26y@@F000Wn100y@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@li@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fw@@@@@@@@@@
-@@@@@@@@@@NmzX000WI0WW@@h0KL0m@@H1200eD0Rg3e2000Kg600gp@@nq@FC_@@o@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@xg3kA_@F10008i400_@tl8v210mOz@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@d9kJXY3300mW@@@lkyMYKu@@@j1004m@@g0wp
-1O7_@@@V32000z_V200_iaz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VcBOsv2ZOu_@@@M
-0004a0011xHu0Wp000WM100m@@@lLFC@@N1eB6W1x@@JXY00qN@@leYrU0g61lC5Lnj00m@@
-@VfeG00000zT0y@VT02002VK5N200B5y90W_mVUsx@@J0yf0aw1dvUQWP10W@@V3G12m@@j0
-0WNR7rw02IYy@lA0WM0_@@@@@b000m400000J00@@h20WWc@@@VlNLMTn3@300VWT5004W7c
-9vgP30800M9_3nYd00WmZLoYwQhPExo3Gi10pfTLEu6am4980000040K9_6fh1sKKXS5V800
-UL@@@@guA20003d10WOmFSxVC00maz@@@51Ep8xI000YbG00m@@@FbAs@@@00004h300XKyP
-oLJ200Ku@@701G0y@lY0004oUe2h000@@h8A00W53b00mSmP6V20000Cx3Gp3A202a0m00mS
-lF000WH500m@@@@@VB00W1000I20000800m@@C02o0u@@@l8LbjFt00Gy_@@Y2840OVQR_hM
-eGLL1o00GtS2bKGNvWpsyxIa7_9WfS0_@@1010GfRlKKXaCW_6z5QWT00WSmnBXzG2Jm000E
-n@@@0001W3LUOEOUIEcXJ5o1KQ0GOz4Esi1BxN1000uWz0BVVX0GWtdQTZ00008800y_@3WM
-20ot@m5kgubV3GF00afoXPiRGkfI00OMTJVm0008_@F9K100EZtWwNb0yn2GTvWbPI80005w
-MpWHuC8yS9W700qkjY1yN100VX@@x2220Glva00Wu0200Ghz9KOt30OA0_@lhCA2fXO328sW
-wAg8vAjM9p001040000GA00q_@606000000W0V8400q080040GA01y@00WvoPm@V6SI000I2
-00a18300G6000G00VYRGy@Fu800eAu@7yl8WZ00r2SLVuC41U2FTF3000EM300NVBHCvC4PU
-BRXRGYwOqhk7R@Z10WKhwiOenm4IA8datb82yD_@@4GG00@@trBzRC7V23ox1000iKpJOY1R
-EYNebzD84x40010irk1vtd000laWVLvqqJQNZX8y89OM3QcD18000py@08M6WgZ3SXFCk6t0
-000av7dmTbCKH_9XbBqCz@7p@@Nr@VL@@Fi@@@@@@Dt@NJ_@VnzV1na000WWL00mOY@yEjJ0
-0G0oX@100Bmra1JluvSh_6jhd00W00G000@b@0Wm6WHeX97STpSdXSmJ00408040WtbJegU3
-QUN20G008002kL53008ZpKpmygXyOC3zuRmar9C0j100W00G0GadV2bkd00200000400W000
-8102020004G9z6KTk1000G4000040Y8RU601004Xk1WaW0YVxaUozG002moyF0040OYk4YKW
-10A00ZRy000G00G108000Vks000019wc01000000Ge500IDDXld39Bx7_78XWmDuM0380041
-402e0L6QsE10100400000m0G000OIS365FXPnDeyT6_VF1004lDKlnGrCqLj77nzF008Ms30
-0Dvz@@@9000eOp@S00O0i3lPbSJotvOKkJK1q0Se@s0u81u@@qw3t6000qnhtLJdFieF6F@x
-100uhmCuCD3sY0lYT@F1000Fd10WytvfRyaZ07ZV@F14u0mOi@7uVH00aiErkYRob0000qao
-90800eLRF080020GWe@DF2GFXEnD00W0Gju60G000000H2uC0iy0OCT663BX3vCeaS3Y9FX0
-1au@V90900Slk10480o6l5G004n1O00000004WFkpWE00W@@nurE924mWdr3f2zGYK8Xcp91
-0Wc@@@C04O0unR3IeFXBwD8cS6_ftZqrD0202040028400000000W84PD3040WUkF10W00W2
-04W0I0STT2FlpG_r90wK1u6QF00014gc140000022W0000110W20WWglJ0040G6y90100020
-001100201W0000180061U2G00G10000204O9y4Eem00400xcd000GW_mV85D3GF000000002
-8000G020W00H008000i5W1DiPGB56000W00WW0K00avnJ8_23wFqW7oD00008004G00G0001
-C008002001001GY@6000O00G10000airDuST301W100W0038400O0WymJeFS6g4t000edz@R
-W020004G008004000W0000010mru60W0040801W0000G0000009W000010001W0000efFC00
-010W02WPoD00W00001WtFCG000WW200000000m0s@dXi7I8TV30800SoV2dxPmg@I0mX0e4@
-7QbFXQyDeP_40400IW200W000020WJ6C8NS94000LsE90W8YO000ailAH5cGIW9S1m2ZuBnB
-_68008uS13MRVC00GeC700_@N5008W000G80002400eHDUsyl500tax@h2Y8o400008Y00c@
-YdLK9vz@70TQ04u69008068nWzHJ0002GWzyW300Ot@J000GDUQ241002jm0000H@@B101G8
-20000a010W8200080020220GWXoi10000Y82WV_L10WbTc@gG4H60801400H00048020W006
-0q3dP0rO0IczaoqCeSM3QGDXUu63000Ya00WFF310A0mngCqGNERxP30WtWdMbOhL6000220
-20G0WWnzeIy@@C20000010iwHB00000Ei0SPy3FAB1W00200G002Y0MZD1000GH3qo@@C040
-W00000M10WOuK1b00m@@U080W00000G1028000u50GUPs00012H3qo@@600LL500yFggA00u
-V0@@h200SYPBPuTaA_@t00aP0O6_0WDsKHLuHMouIbC30Mn60kPtD30G0O400W@@t2090WaT
-Pu7w700200000EAZ4W00001W10202Gq0my@VlhPJ20W@op1neov7YXZXfE3fuj465FXobJe5
-y40400K2N2PnnGFS9y@V20qS0gYpWxoI0W0088000001W000WYXB102802000QYxXDrD8FW4
-00WGa7W195mGXtL00010W00n_S94ok195mGFS64sE3LnPmQv600W0iTE304W000808eeGo3W
-1G04WbrvnIgs008_gmKI01W0c5c1Dn9HPyO00001e020100WrHDujT340G8Cej4bkRmEfCCT
-l1Tbc0my1WSCd1G10qRv900W0uDU34400afV2002000020G09Ogy76lFXmzP0820mthR000W
-KF00000GWkyD002GGMY90100W0W00W4080W00000W00D800G0124W240O00080001600WKSf
-U2002011000004ysU3040004000GH12G60WzMJOnL3QBtWEyP0000X000WbNJ0004Ga2F00e
-6@VS3_ft08W00Zed00080G00020G0YJn0OH00JcP00A00W0K08I1404A00002000040010W0
-2800000GG0bLE35dpmH@680W08x1Ck_V3m2A0zbl1004WsvJ00001090WAet8xX4QWDAa200
-l_h20288G00020W00H00800CO6Ep0GGebx@900W814GP000800042200W0ZCORTp0ls0afTB
-G0GYwEpW6eW9f0UO700SFF9400KUZoWD9DehUs00SjieD9000100h10H0200SKCH4H18G288
-0010I00ChlPWSR0Ugc40080006WG5XK04008Uj4G110SZaPQ500_@F4O00W0G100200000KQ
-fGXMz7600PJJLVoRw6437I@@t28V0W@@tG0G000e00021OG4dmO0G0aekGXKO3y00Wpvz0G0
-W00481000GW082000001W8exXt00yjMRP8000IG80081Aq10MmA201WOuuv@VR0v00y@@9gC
-0L1V0O3RAL5ym6hwfAJ10Ri20K06wtCI000@@x1P00000o000W3000O000m0u0W0030XOuO3
-00Eo@@d0Wm303y70n5dA000WE7002JqZYQVun@V03J04zb4zGZHUoCCcl7HJBHht9q1W3hap
-G5P9y_@308P024Wdy1aumW72fEXa2m87z46LtWjqb00006Y10Wa3mOJDCMyRZ_2f20WBqvga
-qKU89YxnktCa8k1fiJo9u90401urmD0020yut3fsdmIv600W0gFz40400040800G00100Ywl
-JeNECI9F10W00ZdRGLuFiMU2000WuD0000000028408G02WW00G0000G4M5d1pXR000000I2
-e@XR020GWfzD0W080054G2004Trdmfu60G01480W0001WwlJO4V3EcDX0sD00W4mE0IK5E30
-004MfD100cpr@RW0Y0084H04800W00002K000100004100G00800080W8GW0G00000W0104O
-0hzR00G841122ldR000040048000002G0H8W0e@we0140S_y9lrNHs3Fayi7XJdJood000o0
-0G040060204m64000G4O0G4GOTDp0GqkDW_900Y400509W0m4700008000040Fw8npPN1mz1
-efTL4W100000G00G40000080PfhbMSc9y3t9l_R0CZ012000PSZH4jE10OvOx_J005G04550
-504164W10004A411xRCXiVauQJ9kkd7WS00byVYWA100X1AGW8O054o01Y02D1C3AG0Wdjne
-bjb000G5HlAW400G00A1000e7E3o1uXInDujx4UjR900DdR_t208GaOo91108ODoB1gr0Oq@
-J8320axU20018wTLY@JD8tke000Gv5008rzJ00G44fJ20m40_@73G0080WK0Q2S900Szzt6o
-s@600Aq104mA20100G000040GXE000M9u@@7K0100W408qizKP0CW@0OcgK2idniAg2qN20p
-g40p0aeV50W9000007GwIk200AzdaOuI0u0W10303WV000C00_MyXpbO8Olw0GS1WLM30Yx2
-75qdILoC4sD00TU0gMpLE0I00IZBa6dJ0Ki3mFvBDyF30H00dkYX74nuIxDE@t0000mk600Y
-@t0G02WG0G8_ztWMXJuqQFoVE1000W00G800406NU2000OUqFaUqz0008G2w6000Gx@@401W
-10GG0OGP6ohwXzFz0400mWg9y@l1W004gx1ZlQR1ah0muuCS_E3vo7oxtF04G00K00GqyFG0
-08eQl7EctW5wV0G00mGT6W0000008mEtC0000JA08Gc090090G10G00000GH0000A0K000yK
-l14000kDpWliJG000uRt68G00O@_4000Kavj108G002000G100080uqvFi8l1fcpmK06i8E3
-Tl@000lZHzP00008040Xa_PW00G800000G0C@@R011X008016800H00480050010001W0000
-W20G2110010A08sV3gft010008W00kwt0000XJzR000WWNYV00aW000000820x0OmRv6C_F3
-W2B0G000000Ou_V300B0e01000m8mG@6000210e00080080C020W0000W0m00G018022110K
-W00H00G060i@k1025W0000W6000880000Oa@8t0G00mzUFKRl4vAp0000uyHIW000GM@C00W
-1ucK9cdt00WG0r@RW000WiwD08C0GI66aDn35YN400WsAoN2G00G1NEz@l1YAJ0_IV9G008H
-d75000SZ200fkZq9oN1084hO_YAHkBGm70tuZ401008208vmJbW10WV2A200W004200406nx
-7500FrsnB2H00GPy6S6d4JyB48y2WjCGY21O0082mCsZ2000l210WG@52SK0008W032K00W1
-W_tFA00Vwd_N4G0000G040240g01cd_91m32Gd@810WA01W0KsJ9SSFRf3GNy@FmT00O1dY0
-0608000F6Eg2aAX0N420ed_Lu9STC3LVoJ@u60EN08Ay7EcwX2nJedS9ABV30080000G0200
-0000ieT6I1mWULt8OR6I6t0000OA700MH3ciknee@7IBsWIxU8oO3_OVZS@P8WU300yWNd_9
-zadGgw6Khk1dMXn3jCaHAFBlR0Gk3WEbeQox4AipWJknOxU64G00CJV2000Wk_s0100G000m
-K500KNV2G000I3lY5zhu1W3_YpWtxVulU66W6ZIxD8fR3w@b10G10VtdmZt60u70O6V6G000
-iAD3FVdmCCd000886S30400iVM29VWnd@6q4U2G010cxt08100P@d00208000KG500Y_F100
-0204W0YXyXEtnOIT6IrF10WG0vSKHZWay@F3PFa0000000lCP@d0000bpIEfgV6k1aX7Si@@
-W@xVu@zB_F@Z@d@YG00qfNKDpzxEjyV8WwS06hAaf@1hvVF000m2R0Ge@vGM5bg@umUyR362
-Yae0E9QlI31FXZeIu@@DYcoW4nh00Gs@@@ISgE3V@dmIl9C2t6DbRG5S6G080uxR3IQBXbpD
-00001800mdsD81E9QaZ10010BMR0Oc3WbqJexR3sjsW4va0820Ok094503T3m0010GI000Tr
-P0W00Y2sP00G0q@@600W01002GJu64Ld44400N@F1010WnhRWb10WOnD8_P36usW8aV00020
-0006108051O00000a000JTdGt09000W0002qix9SUU2db9HE@LyfB600_YAQnZofP8hkDcOF
-10400vSzmJzF800WukU6sZFXFxDeF032i@1mw80TBl1020X7uOOxV300G1yzl1vYzG_P6CWc
-1000200W4q0p9rRdm1tCK6V2000uj4000008eiU30204e0008E@7ADcXTZ31000q1w9CT690
-004gueYqtP00mJNcx6004000001028W@@VGG00mb@9i1@3DpPmLyF0001ueT3QyFX2vVG000
-800001008LpNHs3L0sO1u1QC0400SNN2lYaGs3CKQV5DnPF04rkSODxA9U0S60Sut@1_wQs3
-e_BjD000W5900CK5Rb_F30WEwqdD3022mc@v00m0ucp@x1d1W20GV_R300uXYJ@FuU6W0000
-0W1OqVRW100a5x@pzvQj_LSt63zUZ1000A3000TglHO@9Cy8Fl9dmWoF0000Af0I00K3tIl7
-lCRm2blbunOftOJs@O000W7n00mc8sa@99TqdGryRaiV8008Y_7Tcomzes_AoLW7m810loRJ
-AzUCqV21AOJ4_C000WCfW4gmddkcw20meTCS@Fo_@5d19Gq4WZy@V9uGoec400XNbxjtLSd0
-0l18dByYcH5y200n5_90WXZKFs3009ouGa04m0u@@@@mb4000mvBbm@@L00Oay@@@Fb@pwe4
-A@@400mO5tw@@@N4000wC5lAxXFZVt0004W000010W02001e10300W004010W02m@@m0yr0e
-Z@7kO6fYwDuSR6wcr6000Cg500g_ncwd3vCS3040010002G00200040008008Gsw6600XH@1
-JL8164G2IW0P0M8t900G0040080009G000420Gwz6000G0000oe_64rV2nIS20088z100Lfx
-4400402000G00AdtWQcbufPO0005q4KK02W0_rt00020G00Ggg@XY3DelU6oWC4m8A0Zpx40
-441m48064Y802400G9K0W010800000W0000GEOqW76JOanG__t0000Se100Qnt980S00000W
-00100C0AhV3Qpa10G000100sVe500Annz75II00004G0WC00000r0g10200W80008W0G400G
-Ru60000wQO3I4d4GW50@@75210K4GW22000UAr00001K0030004W0005000020GXFaD0020G
-5ca0000EqieC40GOm102000100100WWGO00080G1mJG0eAL30010000u40080W00GW8803@J
-20WmmUrZI9I4040W0081K8014004W00400090W00000Y0020A008200H000200000HW@@D00
-0Wu@@U0ox0u@Vd00S0W000a882020880W80W3W000WYa2G20800I1m00A2C0W0008006x19Q
-500_@t9Pk80_VH0yFc0uVCpq@W7k51FSB2W@MaPcj8pC30uX70_@F0yVHW7_Y0m@4fgg0CyF
-900GJ_@t900GH2i0k4O1S1u2u2m5n5WB200N40AW80K0J0e0c0M1C1CYO2O410m82W2G405W
-9008HSI0Au0CsPK200W40020004W010k@30WO85og00GRUKLQS8pLg20WHuOCxwL@S0800K9
-U2WQ60ki4cymF9PSUkAd10008u600AlCg7iJuITCw4waXiD00XlvJ4sKmCFfjuHw@I0QQ1u@
-@P00e0CbgJVYZ1000800moe000Uc@X54HwiyJ_nt300800m7iUu@XFz9vHTLYYl20W10bzt2
-Gm7WflrA1aY000WH10082pP6XugU_h00mjUzqLSG@3RmZHv@IqfV55n@38B0W2khhTVL000G
-WJ00eZUsQhFXy7L10GtHxzIady3f0GHvoR4lC3f0GHNpRakV5000WW200C5B9jK@G62mCvFF
-00Y6coEaDDNAq@@Fk@@OYJM200GLnErpUK00W7UBrcQ_D34HG8a00W85C0X8100000G410Jn
-@VK_G2m00e307I1WYe08fO0FY687080000a0100000H80000014X0W480f0GHK0XKFT2M000
-_@dXK1u9a03aZ1000vuy@V3000vm000WSScJA0K45m600200084872G00Wm900022a31002G
-m9844nj1f2OJ81Cy@@@t6zVjJ@FRr@nUz@hP@tws@hszVgV@VQu@bE_@eb@7wv@Vc_Vdh@lP
-x@P__@bn@Nvy@JMRF000700000872m10WWpS8e303o30c4m@@0z@BW@@1y@@@@@@@@@@@@Vc
-_@Zv@@Vq@l7_@tn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@Vu_@3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VH1kG0y@VE0040_@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vg0kq0y@VEW000_@@@@@@@@@e000YoE00u@@3Zc
-3300JH@@hu27O0aY1u@@@@@l800E6@@V201000000G000_@FXD0Ou@@k0G10afeJ1B65000I
-O300@@hIa2C0002OQ69sdXA00Nnpty@@@@@@@@@@@@@@_1Sm0u@VL00045XhS000u1E00y@F
-C0800_@@@@@f5000dN10W@@L1040m@@Kjga1JBLHyHj0Wp110W1200G0WoYu2131008QQvI0
-1UW0634X82f7WeGBHXYW2e41Fq900m@@v0800850A0047qQjA000G00H20y@40008000HWH0
-C00W00048LF800_J0W0g000q30u@VR004HWGa0850A00SCy@@9000o4300y@l10600YGua@@
-@@@@@@@dG0a31007Gm900000uusoJ000WWWS802002EpX00008u4C3I1W1000aW700_@@@5_
-@ldo6100942bS@@pC00uBWJ000008W90mhWc0u@@@@@@@@@@lvqGgnDXsJD0ug10100arJJ0
-208000002000@@x7002000W00e0001040000s50G04201C008fqP00888WW01ZjQ000100WG
-0jzY70200400C000000WSNfc100G0000C00400020nssCqisL@@xHrN6KRh120000QO0W120
-2150m@@6000O040GW100W1uCue0y00G0SlR2000GLD004GHW98_mDmF00f2200W0000aX4DO
-Qw4I3Ej@wm00020080WEZD0K00G4sC0e00OalA_@NE00GGfBR0000Ks180000000440000W0
-10ny8FSavRF0Q000G001040020Eot000v@0101W00WaXf10100kptWZKmhfw400080110000
-40G000Gc90f_R08G000GG00b006SXXO@UhW238000e4810025220G9W1XG000ufB00GAf0WG
-2H4bKoC8A4080WI4102TDZ10Y800W80yBpRW0040000I408460e808m00W10008af00W040m
-060G020m0O0WY00GG106000G0uDww0280010W00X000GaG1u0W00G60E000kb02YW2844400
-2200W1m810C800XG000vVOx10W000G1040030I20G0K1000meaK0000020HI800000481a8W
-G010eyJM30W80000GCXVs0G80000I0008W0002820z28W8GuB0008A2z1OW00y582W128W8Y
-1m00_2C0W000800_lFU0OcP000g20@N0cPk8L100WTZ@MaPcj8pC30uX70_3FggQHOcvYWt@
-4fgg0y@VT00W80000G091G0_1k3Y4S74v1000uGq1Hw0lZq1_1k3y6S7u7uE8ImT0a7W00A0
-J00GqeOy@VN08W@100m3Uggo@W7kW0FS110000A04UGm3_WW7yW3FufgA@3W@n0Om4y@7X@@
-@@@@LpIiS530000b200aby6P1@600100022000G020000G008102001000ZX20W000000440
-uVhzYKm3G6k03RNHa2r1000400081000021000080042020040003700W8kIeVj6@@F100zf
-m0W00001000G0012080000220zq39miJ80Ge0002a0100W4000W00mwQAs@V2q20W0W0G038
-2G0000Z0140200030mY_lH007F000004G0002XSNT30I20aJEaWpAX1200082Y23034MY10C
-01844GGH1004fGZi112KgEG8K2Wo0028106A018A020aMUlH00dz001000104af1p8RG4zG2
-Eh00W000G00WXyD002GHI1JM_w6l_V800ztFQV000Gu@@G2uM004001200W3DJe_Fvom94d1
-o02Im1b0aI8815414A8264000O8@@t80WTU14O0m8m0W1W1S0m0m0m048W10m00OU@617j48
-W4WKG9091J0I2I0X4aWW00005fGZrr@v@@600O6w@@@xucdmRPm000G81AE8S20G00shE100
-EOG00010080G00fTx4o7jk6pD0808qgv9qMk1410006M00W000000102000080G4G400Y0KD
-Z11YRGi3lb8j1020200114jk1000GTV00GG000202G91Wr2F9hdcmIy9000100myu@@60804
-20002041420091faGIyo1041W000mkm6SMM209Bmcjt004G0rXV800W8W00YI000000G2040
-0008CJ10WrzD010000G0e8A6Rm@DW024G008Y10O00002W1020m040GO0000a600O0004C0Y
-60nwRGe26iSDUW000100GG4000G0aW44GW3IC0eS3mfv6G080u8U3G004S5FU08W0Xe810W0
-008eC21O82i000440E000WWMW1Gm01040M228WW02W0000CW0408qUyG102800u2XCF8AW05
-mG2WAO6aG0G000mU200G001a60W4Y009090W04InU0000G00020X4wy@4000600000qr1000
-00610000H00002jEQTh@p07r0OOW10N@R00M0WvzDW600m@_6m0H000O0mNO12f11580000a
-0Wg@J00WMTx@6080WJW0W0Ymm1G0m5@@l70X48408Ga0008G20azV20@M000000100402800
-0082041000G8800SiVT0W_00W00w50000Q1Gt@600200000ai10WIuC080050000q3000WGJ
-MN7FJ3Wbi40LL5B1HGMccW2XW1421364000WcGmW1HG03YW22000000Grg0WMbEN3F00G100
-0Z100c1e0m80E30O01A0000Wi7mAS60G0S6W1W0mC000H00vrZ70c60McM06UOM4aWIZ5152
-218442A80008AbWW4aW098154yF0000W5J300TX@@Mw@t3_@v@zGjn901000W0WGo@606mH0
-08000G0000020010Y0mWrmVu@@h00020400ucy40400080020I0KMv6001W000000GX0000S
-0300008000121810000048W009jzGvVl1O000W0080G0000C0G000800000WbJ004080800G
-04O0W00060KM738200_@lB8080Tmd0GW000010W0W0sXtWVuD0000e0000GA70NwPGSy6002
-8u@@zW000iwN27spWZ00W60DetU3w2NEH000@@d02W80000020001G0008W0000010C0008c
-r00e014000C204100WG02WtUh30W00WH40010000W80600rjl1bwR0G000Gv10@@dGDy64lU
-T2000000910H00G04mP_9810008000000AOW00hwR020G00000G0012bEXHxP30I410600K1
-000GA0K40W0W0W008E000020e8000yfC0WX02100e80Y0001X0eW00200020adPQHgP00m00
-W000W2H40H4Y0180020000482000000e000000Wz0uiU30O0Gynj1zwnG70Ey@FL0008x@s0
-80000G80G0aG2GX420GY800G8XG80K20884000we0W086104I8441Ya20XG400GA00WG0004
-0000041lKE604XG00040G1000002308W8Y40Cm00YO0000300004000m0000d100060AWm03
-W0g1W00C000002000ZXID0401GOgZ14510000420HG2GHH2000G0KW180W001i000O000004
-090004wP08WgpC300040406eWT3MlsWj7CJ00004088580Y80Y0K0W0WG0820GY0G0W00000
-001G00000eNG40IG0004000110A8000Y00eW00W82mFt6C5@O0040000210uA1XWmkLeF4W0
-0fU1808G0082410z14W88y5000iuY_0OG00w541W114GC06820V1C0Gmfg9y@@R08Wqa60@3
-0fPn@Ocf5mCJB20000SHKrY0u@5X7yK1W@nCJmJLLM4pCj8yL9H0_70000g00W@@73N000@0
-k000_10003000E3B1i3u2ODmEmFWE0s0@WiT0000ACEGM7uDiFmFWVWt0@0@0_1O3y3Wo140
-W2O20000m@@F60iCjitI00A5WPOE0pmCj6UO3T8y6wmWCqX1z0000840UG6UuWKQfUeqID3c
-PQ6C3I9B5KcXX@@Nw@t5lz1RRmYvFql53J@d0Gw6WgkOu2tt0010qXA3nLR000G0Y000jnP0
-0000000yk100Yj@XWhJBRP34300KqC3200024pWkoJ000BRvPCqOk1TAaMRwOK0l1dtc00m6
-WzoUBpUO0000fD008qk40004ylUQFv@Gu_L0G000H0000axr9Bguf0v2ABXY@PuXP301M0KJ
-mRDqnmY@9000G8xV3I1u10W00000mnF00qmF3DAa6000a9eP00Z0mBq6i3D34100e80000WO
-lNj7crFD0G00X9o0010WutDehS6Ets0Wm30BPo0500080026000_@VC0a010G0W000bc0U24
-000W00000W00W40m8u600042000hE00W9FJ00218810WfzDe8LpW040G10081S30008avf1W
-00D0800C2k1003000eTEfQ22100WX600002uqyqQJp008W00001020184m0eKz40G080W100
-0518G000mIB0l@p044000022@@d60Y4100100002W0000W08O8_4G1004Kl12000100mAQ00
-uz@w00Cb0408L2010m1WG0040ez6210fD060010a20W00aiuI0xh000Wkhr@P000GxDE7syF
-30zF0M@d1WFU0d@d600W900WC060l1o0o0y300830GA06000060C100y3O400uE000000G4K
-N20030000WTnza00qVJ@d10GW@hhdMMig04A0enyF100GdpK2bzAnSOW54y900o5J@dXwhIe
-8_61cC0ybi7@@7L1O900eW8GS964t05000RZmW300WNz3iki4ECp008000G08Yus0080000a
-z8042y1G2H2dMew6igM2rLdGa268W10G0W0mxr90mI2OIL3ECtW7phx6i7_@@1000Ko00WQU
-8mEpDuMQC00WWy@FU430000W0W208ACS6oU@XFhDG8v0mse72H00uzl4G0G0i9E3s600wWVF
-0W004100IZhY2iD000000WKhP2z380GC0080004002000008UJl10G0IwAd1WS10hwN700G4
-0000010E2ICXs4COFX40000W80000800000Kj200r@Z7Y840A100G0100WI0yJU2W005000c
-C3T2008000ij4qxU0G02ANp04000BPQmk@600W0Oe_4G0000mU08e0y000800W0W00GmiY9q
-hl10Y0000005ll1f0OWL00WFFt3080001081G02feo000W200G08040_er000mhPCZ7W20WY
-4I8xD3081080000090Gn@600u0000G8H000WSE0ZHl70uX700@3m3F00_70iv@30mCgiA0CZ
-P0CBqw01WP000Z1Z1006300WlCP0JW80Y0YWRAC00W2Na2_X70KLLW@10eQrC@J00cP60000
-CpC0z_d08G0WTm@lQDUozF100yM32huf@O0wD0O2_@BmcdW@J00WDnZpFS6_R0001k@c128Y
-0tcd0500WT0C04l0GLuoT8_6RWdGOu900003o00Gzh9KYS2@@pc000XJkPOCU38000002000
-0W00200001D@@@@FC@tZp@x0zVEI@V3r@rOz@CO@7Zs@lmzVkvp40_3JrR0Gh6W3YtOZuA2O
-Fj6fD0000PeYL47U25Y@MawL00GcfLVy_gsW6xhG080Gwx6qRj1W4T4IWt08000G20000I0y
-@lPrBR040W00G01rCRGGp600IWW00208000001404202fm0j104jmNNF_600400680GM_901
-0002W40WG040000010W0220000000W_ni_6q2W1TCEp8SyKek4VYR002001000vXRW00000g
-H0HcRW000WKpDBgpdl4t008hL1a_V59pKMQ52700gN@mmOm00mKzddJ_@l100W8w@t0m4003
-sx7028400WG8000800WS6F3bVc00002h100Xe680m0GA0021s@mcm900Oy@@V@2ls00WW500
-0A_ybXlmDW0000W000Gc60HIDvWKC000Wq@00GnL120W00j20000bWkZJ0000000WGI0000W
-0000e1a8z@@zJ2000300000F00o_d10890000GC000anj1u500wu_@OmWPy@A0k20K6097Qt
-Its6qkT8r1RGFtC02008F09000WYF000G00mTqL0040e8kAoYpZa2K1180GXuC0000gxj4Q5
-d100bDDmP00GWWJihe8k40240a57UTRRsgQ9C_06X_j10002Z3009YpmNucr_t6xXdmZu900
-m6hfEpYs7ZX5U00eWGxLI0M_1OyXqwyt6000mu300wWZXPu_VFz@pZ@@xy@@@@@@@@@@@@@@
-@@@@@@@@@FtxS00qLcrAX@@x1Wh6W@@@FCiGEhc400@ATq7r7z9is_30020lEZ408W0@mPG3
-s90AD484DU_@N20028D9W1081Wfyh84_74020004GeO@4000m1y008LFp2xF700Sa3X@VGw@
-@3@@zu@@@@@Vy@@zO@@Eu@dZ_@@@tLlkma8U500AE0108iYMBdGRm8tUSgj1DVRmqn6qyl10
-020_ssWrjDOXyGQAt0WzF00200Q1FXyoP0080mIo9000GOZ@4k7MYVmCueRFMHtW0p2f4y70
-100000W2UW01200YDih8lzJECZX1qV0440GPxIyak10200sS@400sD3uvHrv9aUU2tVZn7xC
-00400201040008000Hrln6qF0A4086yA04u0q7z6WG00_@t000e04W00000000120080020W
-020020W0000G0080020040004W306evU30020_Tl1VkP090000804Zdl110000G004G00wFt
-WomDW0G0G7S600003s20mEw9G00418080000W60CW82000000W0020W010080200G0000Qwu
-60G004WG801W0G00000K000040G00W0000u9v90000200WGs@901G0enZA0001C3k1G0W000
-C08000O_23000104028DS300GLT7k13PO0020WjzD0080000011001j_R042024IY0000000
-W3010000404281805G00040000090000G0W100220006200000020e00AV0340000WW2uTaJ
-Y_@XMpJ0Oj1GqvC0280eH@40040Kwl1Vjd010000100ZeR000010808PFaG@yO000Y0004nA
-@y000WF4bJQot0W4806Aa01GG00880G010mB7ay@lG08Q3huq300040W0040H9001200a000
-1000G000002O000000GSRchU_@1mc10p@720m0Wz_D0868W80000W8W0400W84Gm000O@_n0
-00WvI00u@@G04G00W000800000W80H084900000eW8YWudVI0W80W0Y0OoVU00q5NQQ8203G
-W80C800O608012PAKGWa8A20K005AZP8Wu@@G8200aslG0tC0c@t3048000H0W10GG0000e3
-W205e0KW8W040bW400O0I0u@@n000mJT00u@VFe000CzJ2000bQ0mW@@L9UaV0000000Nx@V
-I000W6J43bzWn@@N1El1e_tGG1G00000en33sJoWE0Iu@VF00C000000K00GI1@mH00uLA9s
-0M2000GW80000008X0000000403Z@@J30WttvKX002a100002eG60G0h8040001000G005w0
-00ObWnIy000004G0W@@52ah0m@@d8v087v10gNHcSaZ1_OcgC50YSB0L1ucCFMiwaKd3nB00
-mlpCias3W00001830002000S000030006070C0O0O0y300W10m@@c108tu@@D00Yx200u7in
-60CmF0m4R08dy0eAymY8tf0zg2Aw30JL50y@@72004WIW31W000014YEsIu@V90G70SzjA99
-Vo4p6y3T2jCp0020WKZJOiv4ssn0024WBEB10100000ui200Y60ZYlJ00028014W5enuhy4s
-ZEXA0Iu8SFA38100080000xjpWy0a00W4vGwUKbk1VNPmQwU4ab1tuz0001W2kP8dE32gx1W
-000W12W040GioE6WME0_tRZWjJemF3MjwdrrDurQ3YhZa0iD0000EV10WsZyeAR30040zcj1
-020GEqE10100008000801a002000qxWC000W8nS300XIW000OSR3QDFXYuDuuS6gXJY2qJ00
-W7B000000WGNpb0W40e3vIW00001010Y0000W000014cdE30W0101500001400AGIT64qc1T
-wpmut60eGGOl_4EWpWlrD8nn76VnWamDOAS3oYC1WV703CPGYu68000K002mK064Bd124W01
-0000100KG81mnS6ale1G010W2G004G00810a0020X0002008kUNYooJuXeGMBNYipD0000FS
-00WIAye5z7c9F10W20rfR000080400dbdZ800000G40810410H4_V500U1_@F4W024bPa022
-2020G0000010WWy@l70W80M0eW306u203_@F704Jm7Y62200844H00082G0X0000800G0230
-W04G20BIj400We93s04B3G0eXiWl100C0M_tWOuCuK_q000W1M00u3qJ00Y802098u@44009
-Sp@9Hyv1H410000H4H00G40YazV500wvw584H000W0H4000130aaWm4W000a0W08YW800d9W
-48200J1UY041Wk@n0CN1mfjX02SG0004180eA440026W000oAA00G0C1WW1W0W8kC3j00GCS
-X0000000G80000H0WI0100oYxX1f6x@VI0000@@l10WW0oYJY@@zu0tG_@tWqis0Gl1m@@X4
-373Pnnm@@a4TdANxOmYjLOT008i8I000W5Wg13ARGIoay@@90G20_@t300dcnmT22eGdOuI8
-Bk4_@NefdD0004m18R0G_0u@@JaP0gYv0K5yK1000b_keKPwwGQprWlVJOawA83004Mj7nmz
-0m1020604PnPGG2XiNNH00mL@@V308ku000cHSn1Wgm3Wgq704xE0C3Fem5NAGFkWY_0m0_1
-Wx@@@qoT25Wx1G66W@@ZAmwJ008046k1PnPGfu64Bk120W0UEE1000GkD004000010GO9T3k
-1pWo0IuHy7Mwzae0G9PWJ0s30y@FUlTP0000440000X00_@d120010000G00400020000XT8
-0020002004IFtW8PCW000Ga2vi1V29hdmswCq1V2fsnmtw94lE3pnd000hYiuPeK_4_ctWox
-VeRy7sdVZgmDu2V3G040WG008vm7siFXLmJ0020HOu90G008RS3oot0GPX0fvRW000008004
-0008002yel1TwR004G0Y0W0TYR000G02801PbR014GWTmD0028mx_6SBW140000200010002
-W0Ij@608GGfLl7Awt04040tkb020001040jmnW000mBnD0000Zx20XTxD00G004080G00200
-104000020000140000014G43xR042000WG03pPW0G0184123yR0420WgxD0G00m7V9qljD9x
-RG5@F008eFD3vojyau@J0qZ1mi7r5qjA00WugEDjaJmBuWjdOl5000m67006cHkX9DeU@J00
-mZaXpR008000W0qSs9@@R0eV6WnUUBoRO000GRN00eLetWW00ipVB002iYFIkw2F98f7Yeti
-GaD0G0010000a200@xh2n10W6N_@@@700J00000k@UO0XF0iXZzrVd00m0Wfk8flR30G0024
-W087R900idtrC3000W_gAX8jCeYNRg@EXrSn8my4Y5F100200200_yt0000801000800qEk1
-0aV0gAt00014t4O0000002W0Tapm3U9ahE6000808002200e103wKpWijCuLAOE0t0004WDi
-d0000M6300BrmGFdOi5_600G000GGCDi1fYp00W000030dV6Ibv60400ytP6ULZ100dLbmPm
-Yv9qtl1G100000WquFC0020Eed10L00vrRmav94Lj1FdR00W8WluJW00ee048W1BIG100GVf
-C0wf0uPT60500akU2zu@G4s64dl12800QjF10K000004syrWmsP00462010000W0O402EWFX
-GlD0W4082000040W0000008G0004W4048010O010W202400W001000WWK80G00WY28000mWD
-082K00W000040K000W000W14O820400eTF3I4t0080W000HgTt020G08G004W00W004u@V30
-003SKM5GX400G000W0K2080mAy6000HfhU3C088000001W000000GW400W1K0WAa01W0000n
-8G0000n00000G0eA000006400000WC0004@yRmQx60054YW10uXx6W100OoT300800080G00
-4W000000G4tYRGe@6000O0030W0m0Wi_b04Z0GtVFanG2xo_000WZ1yJu9F3QQt000WGW000
-0xx0G8G00000404040000jNRGc_C44g1lpd00G0040022W04ou@XAzJeDSLgiF1002000W4s
-xV3Y200bFYNelF4gw3zsd00ckjKChegT9YQIB820000H00W88kGR500000hf04CF3jBpsr@C
-0W8Wu1u7clt0W0000008j720SfC3LpOGlom4ALERTR00100HG10x_yG4b6000000WnB100ai
-dJeyv4_E4cVzjH040Go_98W8GC@y7020000W200000Ou0WjSOW000GCzCixVEbqU2042WAhD
-u3x7W80000W21X0e40W08000aM700410008W00Y0W0002a1QD8jO304104gGN8WG0m000SGl
-100G02@EXKjD040e00800001000aCf00W200W04YO00A20G4W20e80004000G00000nl1Fij
-7L880000002044e1A6000W3000G220000m20100W4l3G06Wm0W0G0O0044001G030W02120K
-241HOD3m00504sl1001000Y0qxD3440000MG0HG002480000Iy049W40200MG0I4002G1bW0
-100I00@gdmqSm4CZA00f00008P51008000G02WiuCOtM6W80m1W000XY0000p9m10100GHW8
-040W000Y0W702000A2C0W000900UECU0uX7000@3ymN0uXl80000mDJuM4W@j80@3S0_7cPo
-Cm3VH0u@YOs@4fgg0iy@O000t000S1S3W0m50mjuC00Y000C1C200O40G1000uy010e0c0G1
-C1i2O2O4m4mn0O020e0C100pwdmv@Q1_70040GBQ100W0W8@FPmv@I008UCZxe0I00KkU5bt
-d3uJ1WvdJ8oT62XxXJzLPjpD2_MYYF91000ei00WjePGW0080000H2000020Ebt0008W00G0
-EcEXdvD8zB3_efbeiCe@CCg_V300u8LFDpT_Uiij1b@i40z2WrjJW00000e00G0000WA0kiB
-1000WTlP0800W85IOj@7kq761000v2c0000230000CW00G00agf101000282qql1020G000m
-3J908TS6c6tWahm8Gy4MpEXLvJeiwA0010y2X1ZsN14100000W@9oGcz600001840mHY900e
-c6004204W000080G000G02KtK24049EaG2W000LsUoZR9Srd1luA100Wa5@C0W800004Xr6D
-004200020005K200000m000W00G000mwaG0G500010QItWPfIO1B301004_830800wItW85O
-ewj4MkE72000t@Rmka9a4F3000eP900a0l1zlbGXv60040CCR9_nPib9Pu@V300Wl@@l4B7Q
-GsvW10Y0eoC3_@t0021001000008M9k46zOW0104S5g1j1OmT06KTUTG0GGIzL20008w5002
-ydXTmwA4GF0W00GW00OaT900Cf@q_3pwPM5oIG4010808001eea_b0y80ncy6008000H0000
-G8H0G0lxRs456KWD3G0G400086ui1RTd0000M410Y9Pdmwi600W8uhvq0W200Ae0002G4000
-000WI040C0A02H900O_V600y510000e08050011100420010000250ufGs42004oh10W080W
-G600C8uz@70Pz0000C00800040600060G60_@dD808000G0100H0W1000010W6G0G0000Ym0
-000Wa5S2000W4f00W000000A0000001H34040W020ay_9LnN4A00WpZD0200040020000402
-00W08y@V200eutKs0200400040408623Cp3jHt@UWG90UA_48004h4211IlGl9070a_2Gl@@
-08SaGR0C00e10Qlt00GR98402r008i7zFpzp3Lh20000pO600w@FXAgP000Wfp00WrzD3030
-00a4000080GG00WVWG000t100W3000U300C3S000O6a@V200Cxo2m0Y020S64183O600G68Q
-RIEz1ft4C871600Fy00000jq2m_@90240000000OU60eQLeQL0k1WXF2BA5sMEboWr@V0000
-jt1WaocaOVM9QMZXTcJOfM9k2t000e40W007nC1021000800440S6033VdGsj9qvT2BWd010
-40001000gT00005bk1j2OGRw602W0OqS3EKt0G2000000040GkUr3ddRmyv6C9N23WPGit9i
-9W1d2OGtv6000WOEW7_@t0G04000G0o3WXajC0KP2GtM9CoU2h5OGsS90000ieP300W0zsE3
-jDRGAT9Sbk1nlRW00010001ZkRmivdack4jQR0000YCkDuUu7AXd10100Lpdmyy64HB9P5cG
-Qq9C1G2bUR0800eLwD00141008W5wDeO1329q01800RiR00WBrSuD0030GNz60012O2V60G0
-0y6E3JtRmNu6aF03tpP00W0WeoD85U60080K4F3VnRmM560400eFU3020W00200W4m4020OW
-G2G0800001000280W4W00000Wn610600W00020016A028W848020W08G2f8m80GG3401m201
-0402830412oet00K003nQGlUUyiD30404Up_1800800000G002040020W041008000200G4W
-20GGG00200420018000G80GW0000000rx8G0000000230100RrF120W0fkR02W0W4tJ02000
-020W5EV00000020a5mJO3y4oUOZ@@D0W00KrzCS@W1W00008WGbwl12W000000W01000mg20
-W2Wl_D0m404G029G8I0010WW4AWY8G05800062100048O082wWF10001PFymBz9qgQH00H00
-00W010GO@@40MO2KxN2dddmFpcDuV5G0003at0008060W0Yvt0i10W2G08000G0G00040GKg
-p600C00200o7s9yUUQ0G20001000HC0200W0a12000Y088000KWX800122000GG000200W00
-0100000XOLvDYQVC821241008040ywl1WJM0040000A4100000410008G@_dmm_9ymX1L5E6
-00W0p000010H4W68000A020MW00G80000E1GHW05H02gG4A0004102Wm0YVzR0100aNnD080
-8movf10K0004f80GaG0GC0K5KIZBn000eyK1G8W008010W00HG40600W20120HWG300S9V5R
-dt500WGe0aI0G9500YW000KQMV304000Mg103G000028085K000WK6a80H0WWI0013W30Af4
-1a2W800G4100GiW63I4tW0_q2000C28004000CG006rt00G01000uCf208C00114emCD6G10
-D000H0000C2001808G00e0W0000060008G100200002I4lB3000006WHG4000014820802W0
-440000OkC050GG000m06180W00511O8WIW0I600O10380eC0HG10ee0O001O0S0c20000WGQ
-J6CllM0W2eKW40100001805HY00W089040009d8Y0B0G10001W49004I0A880IWWW2WAXW81
-2AL002Y04G0000G928H02KG00m5x6qllM0WvM0008v510000GCG000q3Y000GJ000Gh8yG08
-0GAH020000200H0WN95202N1ztGC00y582010eG0o5t0GA2C0W00QGsWtzroq0Ofq0000mom
-6600Ciqi80MQH0330003aepCmm0yF0OPQC3UuM00@j8pXRHcPsYCpCmLLLKL5y0m@5XPcB2_
-mJ4ym3Gjy64l@L00W0000A200y3W0OCmFWA06000gW0100006RBGW0mb01WA030L0g0@0S10
-2y30G170W2y605u7uAW9mT0J0d8UQHAa0uV00009Bc60XWG090000WD44a4WC819eW2IG1H0
-0008W0e4UygeNkN@Je6oSw0F1mk40L8wKQbEDxY1m400wCsibvd9KU300SJF6KQZzt2100ms
-xJ0SA0W004WxvP0C0000800G008000400G04Fx3Fq2sfv680G0OhP302000000YHW02001K0
-02W000000WW0aG10W0W01040800WG8q0000W2W008bB3IkF10402@3FswH60001000v50G0m
-r@P0102Gr29G120w8E3of@XKVx2W000880240103vQ00000m9D4W000RMq0W008W02e02G0G
-W0020404G8060000W200A4p04G00x@dmsU6CYiMG001AVF10004_6020GW000000W040G004
-090200040000s9jSXhp0800Wu@D041100Yy181WW0000X40002004402msy98040eozAgN7C
-04008200000Y0100WH0000000mpD0W0100a0000100A080304008080004040W040a000G82
-00000W0VmDsF@CCaW1W0080100000WYZW000048G089G040008080000C1WGo_60080OH1v0
-003004e22C0082000C32082000OJ08fK0H0I3W0WO010021Ye0G5W806eG00100WGGK000j@
-RmSXfjQl141101008G6410002810000FC500K80K04YP4YWE431c0W5058KW200K8WLW1021
-00m0218WrSD8U@n00a0G15000A1800G0004a0G8000G00000rW00OQrCaXi1Wa480GX0zhc1
-K000Y@hht@PW2000002040H80010G000GO00W000000y30890psp0W000G2W2W9C0G014qEk
-1fsd600mYAgJ0008844009O01W0000oN0aKj40W4400848813G040W0000S0000010ptFD0K
-02W00A0500000W0G4010004G100f6p00084000004414050W0G0400a8W000a008lxR6Q10W
-4nJ0000J3o600WsCO@4W040000004I10000E000G0K40oLt00G84XPQ600eJ10m30000WPfQ
-1000Wqi4G@@60SnHm019e1J0I2b0aa04291832000K8J30uV80000B000yO800SQFR1cR00e
-20001GA8G04GW0000W0S14e0m0m306e1034GW0AW03G1120g040O040a4e200824lVQAF000
-00000m3YW000152118182A8000yN2XW21X05415A442004KG24W4W8eW0HG909W2IGI000@Y
-@mQSgdU0zHFyVqvd00ebir@70X0004808GT3I1Sfx_F1G80GTz600G4OA@401WWW05400492
-G0G011Y06002cFt08040004K000004G0uxT3Q0mWkcx2000OgxC4rl1000ejA00000G0W008
-00G0G00100G0000022080m000880mB5aBwV3W00GqzU200YS112A0102060004W008400GW0
-0_xCXTSC8gV3Q0mWp2g3qw2GNqCKll10800010181W2OfN34004irV208000040D@l133JLj
-z6a0E300W0aA00ymf1biRm6z9020010001100W_@D00e8000GWV2V8@Ap00a@02G0ekV3Q_F
-X@yVWG00GaqFq6_OhKQmZ_60Wq0edV301000400G0010001WJzUxiV6ACo02000004G2@t00
-00ye700Fra1G008tWp00W00W028vSPmxZFCMuOx@R00WYS010004048WW020W20280000W80
-0WA0040HWI0W0050020W0400200042000W00G40800004G0080000000HoKaA10402f40010
-05Mh10200088400f200Af4YaA1a4XI800041Y0G9G200W10bK20GW00YG9000000100gDS30
-40149xI5rM12000020G0W00000X10080000ivL20WW908W0002X12K202000A30W020GMa00
-2G00XH000510emcY60030004GGRu64YJN000m000404020W0S0000000K080YYG44090120W
-HW022m8X800a4CB0H1K00W2W00G0W0200G18X8d60e00000200020KW00W00OMR30uz1f080
-212000WK10W8Km00I8I20G0000a0100000G200I000ARED004000048200W00281S300WY00
-00Wqq71300GlW80C8W041Y0W_02G40_2w3m01GuBf0a002000Q2S0W000e882020G00080W1
-W@2l20BKbg00Um3W@W70@j80_RHu100GjkmNKLgk8pO6SLfAuXxVm3tY0ul41uGBD3pIUuXP
-um3pCC7cCpaCPc9P3VOooCpaD3p6QuXbnC0Oe3J6WOAr205m401Wf4mDG1000mDu0HK0JYy0
-@0_1U3y3y3u749mF8I7SOaCmx8PWVWx0l1tXC1k3X4O42018402m404W9W90J0J800cWpkPJ
-1P6W7U88ggAc0uV0uFpWByW0Nu1niC3ILg6agK5J0yFcP20m3NG00Gfw2LHy9b5B10WOXDPh
-OOM3UczXK0e8A09ohEaPSR100000Wkochnu5r7AGEXEiJO2P3Y2OZ2tTjBv4o3FaAjJeAu40
-00GpR00uRR3o7d10001NgOGVNCyyJ29bdGH0645m3xh750WBlXZhRo@M0RG0ijT20000ZZkk
-@@z000uAP00010009bVrsy510Wvx_V60410aav@zm1J@@60000jWY37tT300JK3e_VZdLd@I
-500oi2fu@PzR9DZD4F00y6y@j9@@aiN5Mw9Vv@000vY01m8L03g5uXK0e8AWA_@@120001CU
-IKZI00G_CGWAI5WXY1C850AY2eY@@b0008XGG414Y4000000H40Csl70WGaY2u1002y128Hg
-09004H04H000a84G41XGIYGI1WYe0aONy@hnOfUCOe306Ir0caDEP@@P481WW8G240002Y4X
-000GW8G420IW0CrV8000HY281000882000001_Pi100m3Q0EA41GG49100001HG0000GI411
-G49a31007Gm90000Su0000WS8W3002df0y0244We0I00044E000009Hu00Gm900021o9G030
-000W300eyV30GE4aAG8H3KHg06So@@@@@@@@@ljt@P3_@rY@NDv@JR_Vqe@@iw@Dp_@ok@dC
-y@7B@Vnq@Fiz@1Z@@lw@tB@@xw@V@y@ll@@@@@@Zy@te@@@@@@@@@V3@@ru@@@@@dy_@7t@V
-n@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@s00_Z@@t90010@@FF000S7100@@l4000a@@j7i2
-1000000G00@@p0W00W@@h0008m@@@@@F600QXZKGY@@t8IXw06W0y@l4PFWn@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tB400W@@H2000bN00W85_FIX@@@@@@@@@@@@@@@@@@
-t000GKOgj4hg700sI0000000WCXrJY2ua@@D0010GK0eaK090G40I50f@@@@@VdINzaSM910
-001V90WK1SovW00m010080eOHyGWX0004DNM9WW7G0p0YGaGq3GAebeeGG1AXW7UIK0du400
-0006Gg0H1400080G410@F2000400G800Wa2W10001aw320W@40GWA000zWe0En1000030WK1
-So10GcO00W@@D0m00m@@IyMS28BM5Z2W4000W840o430GcAm6N3aG_0dy@@@@@@@@@@@@@@r
-e@@@@fLrF3000mPF00atFIhDPll0d00000W0J00V1Drx@@Nu@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@i@VFz@lp@@@@@@@@@@@@@@@@VGz@@p@@Vb@VNx@lL@@Pz@@@@@@@@
-@@@@@@@@Ny_@3t@Vm@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lS000m1500y@FC0100_@dD00F4@@33000m@@@@@V90
-00m1F00u@V60002y@@@HAy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dSVR810
-WXx@VssyR60000WEP0_@@400G0B0Km@@gW000eumY0Gx0y@lee0002cebZ0@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@Pk3RFoos0W00W0080gzE400GZD6u7010m@@318O0Ga2uLF_9000O
-K100y@@L00100020abW10WG4W80000004200GD06000000120800G0W00G01400000X00008
-004G0Ws0C008Wm@@600e9xCW4M5mWE0O8QzAU18XJ2auSy400W40G04fHU3kat0402400008
-X00000000W02001008Y80G000200020000W00G01WuvD0CW0m_y68400u@V30heWSPU2pqd0
-G00WSpD00W0000GWcpP0020004G00008xddmG06KXk1N1O002000W0008m000W1CMd1W0W20
-820000GfM230OW00G000YHWW004G00020804W8002m0G00040038G020Y0000000CUGd1000
-mu8W00W00OcE3480001000200ur290G00001Wn@@C8W00G08000W0W6xIOf13g9mWQxC0000
-4002WmxP00060W10WxxU00020000Yg0OuE03Q@@1000IZv@V5_@@@@@1_@@@@@@@@@@@@@@@
-@@@@@FijteP6CqM80066U5xa@nK1000H3KISpC6XHPmsK9SuS5WtA0_@FA0040THBn51FyrS
-20120000GCtS27N91000OQ200tEVo1qvy@Fa000GkVp0W0000100100020400W0WmKs6y1W1
-hlnm@@60101OwD6UTx10YA054QJGSOilz300010W00K5E3ZSdmhR9SD732G00_BWXcnDWS80
-m@@9G050eBz40W0000100080Gp06a2N2dg@0040WXrV0G80GxQ600W00002082001000G040
-67t002G0040000018000eVF30800GW00u@V3000C0108W080m@@C00mEhUy40001G100ORl4
-6ep020000010cCt004000800IXpW8@I8RW4YM730W00Vh7o8xU0y_1u@@@3G@@@t@l@_@t@@
-@@@@@@@@@@@@@@@@@@@@@@@@@LVjR6AQxaJ1nWs00mwqCy@VH4100_9yXJoJOsR68W02aS09
-00ePZaZX@@J0010G8S9C7U27nPmKu9O000O5E3QYZ1200000W0tLFX2zJG100GiUF0002ukx
-4_@lYDuI0Kf1m@@680808lV6G00000400200Gdu6qwl1d0OmKu60006u_T3618Xt1Cusx@hD
-@@Pt@Fs_@Vz@@@@@@@@@@@@@@@@@@@d3wsWckP0WG0mpuLmS00u@VLIf2ZEmVO7S3ECFXjmh
-8GS300800400PQRF00WL@@FLpWBndvO0G000G02m8x6i2E6WuF0_@NbHnUObWDMNEaaoJOCU
-6YB73C300@@7ros647k1DPpG3y90e00OlC980W0y@V5006G_@dXSqIO3S900W0yeW4hX7202
-004001@@x1000300000082AKp0GW00W0000100aUV208A40480_@l10G046Pp000GC010100
-00G00G0G00000GG0000200GGW00G00008000048WL@DG40Cma_ISwc1tib00O0W2pP8dz4AW
-Z10800D0O0200WJ3U0000EM90G0220@@R04800300000100m4GC1k10W00100000a0000800
-We010G0lhR04800010000W1MfBX1wy8b@G0000kTk100402HtWK_J000tL26dCJU5r_@mT6L
-inXAb0Y18u0WLp@Vl@PE@N200I1vmx700WYwA218T3Goc7A00GO85CGE00qilVG400_0o300
-In@@@V5H@KIK2g400_@@@vNXv@@A0180y@@@jcnS3PR000000@0m@@_1WP0OuhDIFpWqUDu@
-@eA3sWuWt8ojG_@F100Rz@@J5800YoWmukO3ECcXZmU89Q3oOc1m_B0n3jH5HOy@l7000G00
-H0KSr395W1040Y4vge9x4000GG100u1lDclkedmj4200m7r60H00evR30G00SIj1RkLn6r68
-000000W0000nTnV0Ck00000WN0CO70300010G00eiQ30108CKE3F3a0400WooDOzw4MBdaHl
-DurR3g9CXblDuuD3ACtWe0OeoS3q400001086W7_5C1G000J7wH_wdCGk1m000cJtW_qJuHT
-3000O00408oR3W00045030000004V3000G004W0W0WrmD8qS3gNm0G0802000IMXXKHIO4W7
-oUGYm9Jepz4_X7ZjtV080Wn@@F0MU0ep06AcyXj8VeX_D6gdd@@@l1_@Nm@@@@@@@@@@e1c@
-@h08v0m@@1M5c7000GaF00y@@@nmzVX7@Vhi43A72ut1WcNbOpP9QTxXxOL9sv480WIi373L
-cdmKSCaSk1H1amZu6000WNp00Glt60800iyx7Y@_XK0euYxDIDt00004bNR04W0ehrPuKy4g
-FFXK0I8AW4YPt0000qO600A4pWKyIe303UR@XY0Ce6W4_ZRcrsD8zQ3glcXreVOtT900mw_@
-FLZWR0420mr@OeCE3MyMYnvP0004Gjs64N13WjA02Td10W020010MGC40002d3OmUugaiD30
-W400210KPk18000whtW6xD080002000W00ejod00008I020ljBHA0KCLACpe@G7U6ink1082
-0WO00aWN2PyRG0Z60G0GG0000010dRrD00G0000066080Hup042001010W800ASqZjYDeNIC
-sVtWdvCen_4wi7ZEXVG000Kx@F00e3eDKUYy_@7p@lnz@Ni@@4@@@Zz@xe@@D_@FH@@ll@@w
-@@V0v@xLVlgwPux@A000Gw100e9WGMSTla2I8Ix@R4@@5r@FH_@Fq@@@@@@@@@@@@@@@@@@@
-@tn@@@@@@B@@BZ_@ni@FCy@@I@@ku@VB@@@@@@@@@@@@@@@@@@@@@JuYE5EXTXDuOu44000y
-@V2000OGF00K2W1n0mGA0K450A@@J2080WE0SegP9A2810008Q600YS_XMdP00W0GrpCK3G5
-j3mG_0Cy@Fm20008000yfW1T0a00GGWd5Iux160Gk000200080m@2Cq1G28000J1WXe0Oe60
-3_J8Xr7ivVYAEdu1000aO000_@@@@@BYls3GF54czm@@@@@Nz@tr@@@@@@@@@@@@@@@BQtJ9
-Kya12000Q0mWK0UOCi4000mv0008B0FI1WYe02v@@G0400G0000G00G_N9a205@@R0GB0Wi0
-89LWJQ7WX@@t00G412HI2XW82849000Y80Y8000I4IA0IGYG08f03KW4Xa5G812KHq0Oy@@@
-@@RPg660U00u@@C1W840a0100GWp@@E100o110W3Gu40000Eu0000GE4W3001dvGGA0K45G8
-8GG08I20008JELWbG07004E1u@@@@@@@@@@@@@@@@@@@@@@@@@38zy@@@@@@@@@@@@@@@@@@
-@@@@@@@lGz@7a@@0z@@@@@Br@@@@@@@@@@@@@@@@@@@@@@@@@@@l0_@7m@@@@@@oz@hi@@9@
-@@@@@@@@@@@@@@@@@@@@@@@lO@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@D0080@@Z40WwW@@p7000qb0BvG00u@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@h3200m@@E1eL0u@@F100no
-U00u@@@@@@@@@v1040m@@E10eMz@Vd0002y@VK0QF0_@t90020HA4bj00W@@T2300m@@E108
-0y@@v10H0icXJ000WC900y@@y00a100000200u@Va0050y@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@oy@di@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lU@@f@@@@@@
-tSz@BV@Vw_lDqU8000GuD00Kl_@TtJoo@X06l0Om_@3y@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@VN1400y@@900w3@@lE000W@@h2WF0W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@Hb000G70Ey@l7000ub310bgcV@@720WwWZjnx@VL0qH0y@@@@@@@@@@N
-w@@Jy@@@@@@@@@@@@@@@@@@@@@@N1000X60C8vALO208y@@@@@@@@@@@@@@@@@@OyC00002G
-00GTy90X0000000Oy1WNLI0010GMAx1080u@@70010C3W1C408_08XQ0O8506gXn00001001
-0EVXD1WG0000000CYzJZ1G000000800400004mZaRG000epW4wNCA000G000G0200Knu3WGR
-0If4Zj2aeDdnEVu100200008r800y@VZ0090_@d1420008MxRHootCO0SV1m47Bz@@F0004@
-@N2000ua500Y1Dd@@Z2012mihI00eR8fW610000L00u@@4000Z20000qj1Gs3A20e0000000
-0GA00G2W00e0501S2h1_700_@l50q00000005K0CUxIHrA10828000000B0000000O_eX2R0
-00PKT6LK044H80WA81G400G002000G01G1000AW0y@FC0500_teYEsAY000oTF601W4u@V3Y
-KOc@@x2ib0WGOl5080021W3000m20060xX000WLXMZj1i00W00Fa@@j7n400pFW94JmU0W0c
-000910086000Zd00W61W100GGIJ6WO00u@VopUY4WuB0j5y9000KX100DH5oGZ6SqO2FOLn@
-@jF1c40DK02ctZjpOOzi4UiadHsn8sU30001080W000410X0000G0W0000000RM0GO7CCERx
-XY7su0j4UWe5020000G00800000040800004Gm800G001010K0280a400010G2000000ewUY
-B100020W026Zp00001tnP00040400084106mB10103phnpVQ9ied1020Wcrp000W00240w9r
-00600Y0K0YK81mrC02000YBr00m00vq91W000000C4000w3m000000O00oZxXmJPepKRoqp0
-00A20W00W4G004G020n000G00X000000ujC00a1N5G000Mip00400VsnGHOKz0e420W00000
-aEY100iNoZGb29C8qCjW000001GeO23W00201100W20000001GB002002Fn30G0000400020
-ao16@@Z4088O01000A00010G00002G8000082000Ca400o7Kh@@RfsB30W00004GegG32Bq0
-00Y8fbin@@l1G02m80YW0241GW8W00W0WO4Z8W00GW000eG70W000Lvv1040WjDD00m0GI5I
-aYyI0028W0W4008G0084X0X000014hfPWC100000JpRIIIoQ100Wgq53804000G000200000
-H08W000W80000008N2K0000W0000500eK0_@@10aH200000bK08Y4000G1W000WOvC0a0050
-00oacY200022000W00K01000G1004G000W1200000IC0L462G00C0000000c050000G82041
-m@@E100050000004200020X000CW40000020440G30C0000000LUWS0020eTqA000G0K0000
-0mGng603H0OKW4_@dA0G0124830800W0XW0H0W0000m6ID00GQC40WWv69Pk73_@@A000A00
-W1WK84v62W00E00X8000G004YeR8018m1000qT2B0084i720JyOm@@FW0G000000w50010_8
-Wj0002uj001000040000400G00A40_@NT0G009mP002KK34ee6G7HDWE0O0T0GWw0W055000
-z8000YH004N5d1@@@00C@00001m000IWp04J00C@04000O002086Eg0000I000Y000400080
-08G00G1k6s0WKA0@@@@@@5100dE3jPQv@@@@b0000f910WKvWviM9Y4_d9jJ000010W0XQkD
-0004X8280040000mWF2sZNnDONJ6W00W2002O3x7Q1K80G00e000Q3t08000hcRmjv60QM4u
-Qh4G010W02WeUR3801400200Ga080G000G0002020000X0208nd4oK0fxlD80y400G0A008u
-@V34OW8A00200001r30W0nCuVx7gOt010I0O0004m00EZk12000002006008OS3UwU900020
-050sNF10084@@d000wu@@J04140008WvoC00800408Wg0Oud03wNB1G400HMB4000G1000Vg
-RW000080WW000G0120004400e00000mh2C0CC3m@@F0000hTw40008UFj1pd@0100XYshOJV
-L0001001010200080400G00G00041005000408002G00008002Acrt000Gqw000_@l200XC0
-G40G40000H8u3U6000Yabb1G80mwWNeXyV00W8010W008080W10000020K000W0000oX0uhW
-001maaOS9l1zCNq@yUqfH2WTU0_qN20002I00GG000iCk1W010w6tWxxJWGY82000alzo9HV
-30020300G0040uU46G0040Y200b006000100801404Clk1000W0C004@F30O0000W00W1G00
-GC1082H0C308A2X000Y0G4180@400018YPA00m0mywpC5w3K2000W0000100300420G0W020
-8AW28K0YWW2809W84W8X4O02000sBlmk208C002804104bVb40iA00WC0000Y03GXG2WK50d
-1DmPm@@60yE0u@V30GHO0000WKI104Z0000000220000IL6d10040000X4Ml1bmnm9EBbi@3
-000W00H0000W20220000On200@@R0G220000801W20600000X0W005e0X8004X000004X0G0
-008i_7QnrcCTDudV3IwtWD9DukV604000W058hQ300G000WjVxy40A00000801000O0W0104
-00G00g_t00G8G7qc0000G8e00nmRGTls4sk1dyam@n9Csl1W40002W000000G00HDm90wD1u
-1N900G200800YG004G1000000O80QhdX2kDW000nzeE110000W0000W9X0000080C00004G0
-m08520002Y2009mb000G0qV0020yN0I1000WQ91E60K8W00000GX00000fl6Iu@@Sym31xlP
-2McP4CLLP6ggoCc10W00m100000W11e@22WGpIGG008W0000038dekn3p98000CoN9Qst604
-00000808000G00K012e0m0m306G0O0e0n00128W24m000n50302GM0M08040P18086000xx0
-a0N200W3W1000300Wv0000300cV06040C0G18000m87S30004Z0004080W0G000W10pPA41L
-L5paP6Uu1LCpC2QcP4ag018LL1Wr@V0000F610WRPn001OGDWL0000G0108020WOp2lNN6c0
-6ZOeD0i50m6lCyUgJ7yFSdq9CgC69OR000jezfPuog7M8Ofvwh000GIWu6W00WOOx4w@E110
-H0BWR0e26WhtJ0000HHqC020WeXO3cHB18004pmRGLpFS_i1lnVIoj6020W00G0000024000
-W000o0m0G000dgpmMt60000Zn00m@@600Y1020G008W04000BcRGIw60G2000G000809004G
-jzR0200etVIe2y7gCbXZrh0000oyw6000408000101WhtD0m200101eSyJ000200G0GO00W0
-0G000C5qgV206020800MAI2HAO0010O10000900W01080C0e@E3IUsWqzPec532KtWYuF9pS
-3002WCCH80GO0w_dXSmDOP_4otc102041uRG2_6C2W1twJ5300WJwP00004f00W5_buY@441
-00KqB600086ao94000I000wxN204P8d_NX010W9uO0022G0zIq0oITPOGry9ieX1t@d0GE2W
-z@hePl42o@XBff2000qy_6810G0090mAT6SnV2000ufE00yas9nrh5002ch_D0010040O030
-30000Xk_F100DLJeM1010WYmD000WOk@O00098N@b000C001O8Eq40G40000mu@V30QU04xl
-400W22@tW95DG440GG560080000GI85HzJU5000100100G0000005j00W@@D0042Gdu60G00
-WK0m440000850I0101Y02W00IecR3000YKAd1zoPGv_Kj4j10200Mon0000000oFBOyXs@DO
-1L3020GnGD408W0mHu6Knl1W202lz9A0W00PRB1088Wx@D0aC3GC0I001W0HW3000GWR_D0H
-10Gnv94ak1DfRmWz5D_l12008ptV37000@@BnSy60090u8S32mrWB_D00I00008000G8TsJ5
-001WdyJ0020W20000m00000fB@@150000W1wT21W0yN0W04000A002Gq10000u08043d1XXx
-400uG7000EOcP4y@08u3dHm7U0W@30p0003zo00n1WV@R100ylC00000mCbTX400C000G0m0
-m0X1W12G03CBE3000O0m100000100G4000KQ100MpaXO0C000Ok30mS7WX86G70C04000C00
-0Y2GCS60002020G0800WmmHAI13CZW@301FqC2Ue30yF0C30nnm00WadYhFfwCdIMj5GV10B
-qNNUfg000Wdu00mwqF42_9jX@Jmgg00WFEp@wUgj5WB30JmAHqHaq6UE@jR002GW8eDOpT30
-02000WG0I00GBp6izE3000uuB00qvF600Y0000W230WOLM3s4pWx@DG002GQuCq5E6V3mm@@
-9C_i1G000UAFXLhDW800GVnFq6k100mUtAzXFVJ0001000EaiwD000140O10W000001A0080
-00W02100GDL6aFk1pyLHC0LqoS240W0000043a100W0000n8WG08IX4onD11000WlI0oMEXz
-yDOGV3Q4t010008E2008W10G00010Wmxm602000W8010080O010@@R00m0WmcL10C0G306i8
-T2t3w10002M300F@Y1000AK000XKR0018mgmDesL30O004MFFNudm5r9aY@600UqkBXahXD0
-H00G6o6CRk100OYAEEde_b0001GiNO8Ke1uO@AsyE100WW0W0000O82040002000GWWelDOv
-wP0000L4U21kR088G00G004000EwV3000efxiHkUFipl10W00G0108200O_@VQ7n00888JxR
-mtr64tV500YFMuK5000G0G40_QCXFFv1200GTz6eG0G0040004000K00fuZ1ul1W_Kh8EO60
-03000W00W061020HH00000080080006008L1G0SpKDc1001W80000YW00W4000G0010W0bGR
-G6ELW100uTKCQNt00480092008Y1010G480004L40HW00Y000Y0bXiIx20WX6e00XIwD0010
-00W2WQwD8eU305H4100IWK2Gm4Z900J08O9R_h@an@h0OP3mqz60020ubV3ExX1000K000G0
-2042A000070Gk_FyN8CjRoGN1g0000KR4GGlyIqzl1000GW0000W15e0L60800qrV2BI3Jwg
-6C3k1TDg20WyvDrhuJV3UMr0000eJ5Pmqw90WG00210orCvqwV2401023dXo_n0SN0vvw64i
-V2vWp0200010WG8x2040GlCMV2@@RmWqvG50000FyWWLjA1dP62EpCKbgguX700G00SO@Rax
-967@Z102C00000Y000h_t600G0G0W0JWZ10606040Co7V300sCRlPm@_6C1W1vWd004T080m
-1q100I4t0020004040O08KQP2@@3Z@00W4uOm10Ue3c10080000L_3xV3W6C095fIHe64il1
-bOd6000CP000DpXqDTg01008uDL000Mzz660010YLlYmZcvuwAsYsWMq81e93mbQ5DPGK90o
-G7o94wj45URmMrFq@lA00800080aTD3JORmbv6aaj1Y00800000040SQ0C00W000Gre2QF00
-0012000412GKw60WW00104mIuCW000OGT3MWoWquC0040mxr6K4z3W0006Mr000W00G000W0
-80040W04W80400000G4080kyf2Wm00zUdGkv60080004000101000201802Tr00W000W80e4
-0W0828AUS3G20G1800O7@40000100W0001mAv6800000012002e1uP00W0000AeUHJ8LR300
-010022G4000009W@@P8eL3w3s0H10WPydmvvC00200040080G0008000Y88000000a00GW10
-20WVnD00H0HEuC0080G0000210WXvhe2U3MEtWtwD8yF3m10K0002OoU3oz6300z1peBnQFC
-0000f0V300082a0000050A0000W000820sNtWi7Ie@UI42H000X00G00GG3600001G000HG0
-00240005Wkh53m@C0hMk10Y4WViJubV34120W000KaG404G4G000W00G4000C20000W82m@N
-6im890900k@dXrrC8dHC000nnE00upHC820IW0040YY00040004X0r_dGT@600H00P00m9_9
-qFO2@1xnQsF8000W040GcvLizV200cbRCD4e0W041000100G430MG80011004XW80000W084
-Kgq6jZw1408W2@DeKV30G080400e5_4kv@1Gs60vYw1G000I0000Y000200KsZ10080002Wi
-W23fbU200801200zZRGCn90008208008G000f00naN1000Qu300rzB18600022000W0020O0
-0GGW00839W0G0G4HW110G20106W02e0I0H8148G440f4000L000001L00004000C10@@l108
-1400GX00H8GG40G0W00400WW4404WW00e81806202G28j@A00eravF620W8009J000Kq4m0W
-YG014500003405Z1W420G4CEW1000H00LdiRW040W6@DGW00GdFvax_60UA0Y_N2005401G0
-0002000I0400000200K00fhaGeXOGAfmG0D0npwdyDl4hhY1000Kh100L2N120000020l@R0
-100WvrD8_@7wRF1942000AG020OrTg195xHNv6KvD33cYn@@600euCnxA01400040GG08mfz
-6W000O4T3080G0G008V530W000400W001000o400000KG101004Js6@@R000aea@DOjU360B
-XGps0qT1GwzO0100G310000W0844W000001n027X1G00IW00080m826100G00GH00IX08W41
-0000042hEn3000YB@n00008p10Wc@b0a500O0bXMUhe6vAG08000040zd0W20@b@@t00c@70
-0G5rJC0nmzW7w30G0Cz82W_2@l20069P_x100W8100WK00000y020000Wg0W00G1A0G00002
-000u1000GL0W00U0WozF97S3000O0G00C6E64060AGC0GW02GS@L0_s18q@AMXp00606f2Om
-AS68000K0O0OA0C0002000608C@0O0m1m000e3004pG5@@p00_V60G0004WP8uV0Gm3FmC7U
-W@100pCZW8000z700SYT5s200Az6300GW2u100W200m000W0C008Y802006m034001OW108H
-y400U0000KeJQj00iSETPHnSFJbJXi@V2W8N020NbqwnObTd2nL2h200NTcJzwc10OWUYyMg
-4l2000GvXlX000WBjJ00H0IhxIKsM2tnZ1eo7WD@n04001W00YkvPOBz4010008004000maF
-6yHV2282GEvtWoFCecV3YNq00G040400W808W0004000820000800T@d0100480009SRGd@9
-aOi10000qB044rk1RwQ001W00I01DuR028W0010m0W8000100A20000048028G00000e000G
-0KAj1000a4400000G0G0GWWg0WMrD0100KhT90W000001msQ90010G001mPv6ibl1FaR00WO
-W7MJO0x40020014000WDD020YhpJu9060040020044G0W01008084G00000m0CFl10020000
-80G02OV8340000090CIX4MalYNiC00y0qZ@CqvV5tsB1Ok4WO@VG00WGn@60a0G0I00m6_6a
-Yl10040pNp0200W01G000W000080WK0m@@6801LA@_70W80C_k1000AUVUZIpz0000d700W@
-@zO5y4000G0002wyS6G400i8l1Fs@m@_I4MQ54000W000irl1nwdGGiI0Wen8zVI0W0GiSk4
-0W08UttWZ2D8yY7kqScozDe2V90AB0KtC6Vyd0W20W7@V8Rt40020W4028WH3sOpW0Gn0040
-mXkLygR80008omnZnzD00008400nfvP00G0mT26SeW1dOR0000W5fCuyy4000Yy@l108G08G
-W0KEl4Rfc0024Wfqn00muoavX000100G4m@s60W00WC0008000W00001204XW00H00260100
-1A2800W4400C0004tk4zEQG9QLaNh1tLu1uB1W0otet03402080G0O_V3Eem0482000428GK
-001I0WA2000000400400W00G4WysM2Pz@GU46izl4BdR0f00W5xJ0000LoqC000W5D00Gd@I
-0A0I20000HW2045100G00ciF14000fsRGiu600eW000W000G0Y008000185490480eMz4cDw
-44100000G0004Dok1T@B100yrlxy0O00GCf6q_@300820W40SxW100404I0G10G01004rYf6
-0200gSy4kvtWUnbeVU60KG0CIl1y004G00W__@30PA0Ezl2Y0A00000GW00KKa4HtOWG000G
-0W00142003204G0008000CWWzhJ0X08GG@9060Gu@V30WG0igw300008410q@l1W8000820W
-0W0uEu7000mQF00OlTI000G882Y0004nex6WH80200A020082028G4008000010W8uV30200
-00a21000mW6FK2y60001WI90u0aQ9FWA00u2spL5000G0U80SCl140W20000aIR2nYp08B10
-nGA30G020224001es90G0GT0WZ0V0m03GBss4@V20mR0sI760000Cx100m30000_FmV3S700
-Ssc400WaQRAXJvP8f@7W000SZl1s300YqpWy0C8pV6S20043N5800YH0200040m00000m000
-8A0t@R000C080G1PnP0Y1004N004T0kO_1w0W1m000eJK06qSg40my@0000GN008F46KzV00
-00uUg@G00SV7Jz904006st00c13O0WPsz@10010_100000F0m@1OTie0i90SEcGBTxnGWLq2
-797vR00006W300X_N1820WjvsOOVRkI7cK@D00WDM1SAszF6WfR0_@lYEUDO7R6IjcXexyec
-z404010000w8Q3olt0G00GLh_00G0m1sDeNc7YSb1n300jw@Gfy9020W8X9300KG02000000
-X0800G100W02001000280CyUCW840110000H008WG00I208000G00000014400080I0K0W08
-00080040G0O010G0WW0WruV040000W000001BoR00Wve@@D0040Okv6yyl10GO000J04il10
-0GI10WG002L20KW520W0WG1180828014qIh18200849000I82000000280108VZR00000W00
-8G9000O01y@l1228G00WW0Y001210GGn6acL21bOGMZF4cG2WKK0_@tW_bC0010GBn60800G
-5020W10G000409880A408eA006S800m000W1YHAO08000002W00W06lo000000428000008W
-01020GBf600GGw4T3gQt0000GNDa04000CW00200GVs@XZRJ8Wq46RBXX@P80t7018004000
-0002GG00W01A00005G08K4l10410Q5DX7uJ00K0000WC8000Y11q810H0090Ox@4G0W00100
-yn@M00WsDhB6W4W8UnpWp4C000200610000000WCN1lYZwD000W801GmP_D008200G00G00G
-48000200Ga80SvU3M57ZuanGaF1m0nI00200H00008Y88E310108g_s09Y0W0W10WC0G0H00
-1Y0WuSO6ilZ4fsd048WWW@D0W01201008000vJaGCsLSYE600085B00y@V54100000800004
-00020G1WytJuxO30404Cra1nydmMpF0H40ekR3kSdXwcC0400uoujakq3h_d0010bX@D002a
-800008W0WG4W04000T1f1hYpm7yF000H0LG40414HG0YW00W882X4Wq8WWK4008400O00082
-04000W0009ObI3ou@X4eh00000O23W@sb00048HG0G0G40GC2Gm8Y0W486IG0Y8080010Y0c
-1080GLa0080G0H0mtx942D300m0W0400002GX85849AG818042a0cFt0458000GX0W000900
-8a@AAvk2000KI500wxN2320086504X84G810XGH5100KG800pGGOHn080O6YG40GWe0G4001
-H0g000wUW110G0000880104dl1I0000W02SkD9Lmb0100WgzDuzR600SuUsD6BepmL@L0020
-0K0a0000000L0081000KG1W000000oY_6040820000G0XWX@P00G1mH@6WGA08OxA0008iFk
-1xep08G5WexO08000084WB@U00l0004000GB09_pGy@6qPk100B0ghq0O100000010080001
-O0z4gdnWGqJ0W00mExI0W0X8TNC000WIJ00u@@4UnC100G02000MrtWFyD0G0082W040100G
-0140820iul1088290e000100U800Y024XY1Y4H1X00GHCfl1010K10H00O0Gvl4300000090
-00GW000YeLuV0008Gm_94jl400cD2ylYf@D0H0a20000K21009G2W04210G0020G08010H00
-8Y880000001IW005080400G1000GW40W08008OoD4000G00210GWW0000H10300O2S600020
-WIG010mGZwF00060IXGKtG6y_@3Wd20gxdX4uUOHPF000m20010WX000G40024000M408G8s
-Md100000tT0000Ut@0ylh0W0000000GyX0010WdL40@@xLoj00009Qc7Uyt050e000G10002
-IW0000L10030W8UD0W@td00K51000XcnGA_IO000r0w0e0q101e200G50our000G0G0W0010
-30200WC00mmh6ykS200Wa00043004n000m_uF00e0wsV662m00820HWR080CWmmh000WH2D6
-0808409IC2OYO4a4G8W9WaOI09HYX70P000mK7rZfUUbnYCm302gv0qPV0eVV1000m7znR3W
-M2Wmmt0204G0g60004G10W200010K0000G01G010G140W0C310002_70c9pCKLLgegg4qCp0
-8L10WSmF1I00qvz6ioj7000eIlZXCr4QNyAg1NbiybG00000WHiKoRvfU34008yRU20004kE
-F1000WJsNnkzLS@86BgB10u5Wu0W9JzJMPe82000000Gr1004QkAbrR0020W1UPOZN6oPdXB
-dD0400HVy942l1PcdGUzFSPF600crJI@aByh8Ay4MKt00404P0a08W0G0440W0202ap00100
-W028000G0G8001G0mWz9KIi1RiNH5z90eS0OzV3w4dXssJ000H0000A000Kb@R0e00100147
-HdGlxCyvl40060080W0201uY03W00W04000800Kl_9ijd1jx@GctI000WDgG6gvN20200002
-0000m00W087U30200yuE3HAa0800W8uDu8030080100400004002YlsJ08000W00ac6Puw83
-2aq300TyrqRmbuCqAE3piQGHj6qYl1H1KHZZgCv@RX40PG2r1008100000W0yU6_F3cV0800
-4Ki1u100YkOI02009yd000WpAEi400GwL@604000eQ3mN8M_Gd10001000mIV008r4y4000s
-UE9000tRN1l5Wsuz@70WZ0isBOzZcGKaIahC600A02QcXaznOrc@Jzv@JZ_lqf@7jw@Gl_@p
-i@xSZJ000ec500cpdaKwg30o2g700W@zt8f0IpXwdTIFf9UI0BC0K7m6L34oigpSxk10080I
-YFXwhJeaQ3At@1000um000EUF10400Zldmi@6Cfl1toZnE2si5BC00E2wh@X1yP8VsJoOFXH
-xJ8vsG000KKOU2fxd000eWn@h0Ci1Ga2FqAB3xedGvyg000920008G0W00B2000AGAwt0004
-K000a400000W004102422aarP8Xz7gKb11000000eEWm01000RjNn7_F800088V6oyFXdqP0
-0W02W2W908G0800180841Y08G021020G0042008WW40420220000001WGW@@D0GK0GFyF0G0
-0004Gmyu60000000EKQy600010100008WWk@D8_P30W8400W040G00000G400000288102G0
-08AoV3_st0W000Rqd00020000W402000J080062014AO20K00W0240a0000Oc00W0022G204
-050000000430yvl1010G2P_1W000082000G0i@W10PD0EztWCsDG000GO_64Qg1000001G00
-8000005000000X2G08W000YW4Nl1T1a0004W5xD0W000000600XWW0018C00SVW12000000m
-qSl18W00012100a0AHy4w4@Xa@DulU6000mBS0GOqV30420Cqy300W840W04uy3PV720G04H
-00800OGW0G4O60000899004520G402089101y2F302W000002000_5U6MwcX@@D00W3ng_Iy
-aU200n00000G8400nC0GlVm0000240000400G0010K400040O60WOg1600G04Yl1h6ZnN_98
-Et189x70WW0z@V2Ga000000G6W08mO62P6Z5yP0G00040W000W0W0800042000GSHR3gVtW5
-0JeDU6MbU37000ByomWsI0120e@V38000X000wrzG82Y00004W088041000W90prp0400002
-C0fVR000042100toWHhsC00mDVa5CkltW24C00000410ebTUOUQCG1000GKY041m80082831
-04X00Ha00K4l108G08A00qel1004e0GW20WY0ure4ci6ZwgD0400G0Z9yCY1rzR0cH000We2
-000000W820100040m5GF0400OC@700Y89q8024044I0A68AY020G0X590A610qC0MaYB600G
-520008_Et0GK0080400800iij1jiR000Wer@J8vU300009T00OSP60030alX1JtR01100401
-p096L1000840Y8qQ36rbXI@bWK0cW89000800@Ja08000W00a0810QCN2000K5xRm2b60A02
-eH_40002iCa100QYhgC101000G0f0089qN933XR000W00801hIaW4000G1G0VzdGpbCi2j1W
-000020200e0uSQ30000100200G1I_@C002W20K0GWz90050OdU64000qTV2We20svt00eW60
-000028080Y01H000810WjpPOCS309G000G4eUJ32pt00090VnRGH39000G00080G888G0W00
-104Uus01000hzR0G00WayJ00010200WpFIu@V30014Cyl180G0stt0000SOG02U@tWqXCWWO
-0ma@60K000008G8x6040G0G002O01aa@J000YGOLO0G000H240G00008020W000a20000000
-H00008WAlD8vz40042y@l1G201wbd100048000G000yhj100Sgl@tWdzDe8@7002W0008XG0
-040W0080104040EPmWswt000GjI0000m004000001000Y0fb@4W00043@300fG000084068k
-13GA0G20021f00mLz608YaeYV30edW00000IW0008040C004Ww3000Wo1G00000KEo6yzV28
-w22000E00X00800G6460K009A090000Qr@0O@V300AVuV0gN10_2H1GKd0ulUPU00mVVLyV2
-0K110000uV00Oc_Ge900Sg@6K100000F0W0000c1GsSgG004r0K1e0q101e20003000W0000
-300060C000g000ZxRGA06WO2m0n4a400Wf@@D000GC20000ma860000WC0C@l100ukEdr040
-WvE890C06000C000Y2mN0600030204GA060G00W8300H7G64J06p@pmh064yU200W@10200W
-pAmgLN5dLuCF00Wq5609Ay0G5004cwDF008ApV700000K50t100rd00000U0Wb@31KJ3m@@F
-eA00q100mKoC0003ObV300W2I00000AeG5pHz6t60008P000y0jMx2MndmF0800C@V3W008S
-QN500Sgo@t01W00xt9Hf@6q7G2Br1J5Vj00040020mxUL0k61OelG0010q7W1x9XHqVUCmd4
-lC@GgEI4xu3_00000000W08eSjG_@@400G42010G00101201400022200G00080W800G8002
-G10000m00804I8G2000000G0Y00000000blxU8qS300G0140000GzqsWFCJ93LiPmNb9aMf1
-00W1Ucd1010000W0Ahs0240052P0002054KG0a90800G008000010e2001EG02808KH450m0
-0000802BW0100W4000G0418W08005000GW00W0W8002G01002080W0G020100000WtG0G010
-G000004G103W000W080800010W010OIJ30040001e0040o3w98000g2GF0H480G10mW822H0
-CWmPC04041W0041e012GbX022eY00000CCY220000L0G40000100f00G0W00100020400800
-W00000002084GrZ6GE02G00400080044848000m080G00G00WmYZCaFk10O0000010008OYj
-40080yIk1fDPm1S6S_c10G10GW0H00000GX04000000214W090004Kdd1204K0040i9g1001
-W0W04KBg12000szm000a0G0000080080000mkJjaR01004040400018802FkdGyOOCX93220
-0100100KWedH3040000W08Y83W80000a0u@V3GW04004000W1m55IC4d101W800000qf0uzo
-AkimWysCeBC3_@lYN7JuFh4001100A0OPD30W01144W40WC40918G440jCQ00010082004HG
-0000G800O653UQz101G4Y00000G000Y000047Q00W@@h8BJ300200200000aHry6Kts30004
-00Y0Kb@300G00W04000I0G00000WWTAD008400GWXJzP00104800WUuJut33gynWiFJeHU30
-0W@U5g7JXQmkdUyTA3fqRGSj9SJQ2000G04W00G08000WGFd6001W080200m0WHxDOwM3004
-GiZX1r@p0Wv5WDw81028IHgd00Y0200W8C420101W400I000G0022IfI00W1X8OG0Y5pP00G
-0084HW00e0KL0100004G0080WWW@KD0800824G00008800000820WP00000VqCL000GSfV30
-O00GH00W000mIg6Ksw3D8OGi@6iMH20W00Ga000W882200Y038GCY200b2000H5G3081G000
-XK6000GX040010082201W0GC300031Y00tpQ020Y0Y04G008X001010I000W00082000BPV2
-l100W9000GYO6000p8A202u@V948008Y00OdK6gar00100a2001800G00000G210WI08GG00
-00f000X000ag5s400X00010etq4000G9G8004082e000G000W2A0G20020000yr9mej9a686
-NcO008000100Z7_GEl6GA00uDG600H00000104G0000aULD009K40300002HnrQGLT6W0082
-G000G00WXVJ000W0b0020G40A30HRPq00G000000P680ayh7fyPmLW600Y00800mJV90006O
-e53kxZ11eW00W0m0010001004000G00400L20400eW0080000000Y00000W02W0000442i54
-3x@Q0G100O20440000Q01y@l100Gtsa5Z@YJuvk4Ic6Z3ID8_O60Q0GWG00W08008108G4bG
-I02G000002Y08A8300000HG00G40Gnm6002081g4UHs00080006000Way@l10WQ0IkM20WG0
-jxc00GXn@@z010Wm@@C00oc010WaK008000460010G92W00O0i10WK85bpZD0020YI0u08b2
-01oP0100YXjJ00m200G1yiuCG0000O1003mU80008e4H2CQG2@@Bn4C6i_R2fqPm@@900GA0
-0000M0GW4ch0GV@C0U_X@@D0Wec70V41E000_@F0_@t00C0WkM00MKY10000k1G0b1Wg0W@@
-tAT0md26000000GnHyodC5jA00q100n5G703mQ0C0C000w0004500GS100e2u2W0C60E0100
-mU000o1000A004j803oYB10GS10Wu2W1n503080N00Wa00043000FL00W83idj4040000080
-G00001WG0T6C1h1N18104T00000Y2002I81LN5000W1W8200WL_tV0UZR0SL000000mDz70d
-fW@_10CqLFs60mh500at74000WyV3000003C0c2M5000uPA00y@l400mC00000Wgm0600006
-OWVQa8aP6yhE0000_HD00mRpUaqx6xY@m7q90080048000WO@@@ZAvQ965dX1wPOQS9oXxXA
-cD04210020X7qR9Yx7Yld12W00W000IN@XPyDeSy4Uo@Xry9n300m9TKb2F93k_mp@6W000O
-BQ3w@r00910002xN9tWxyifgT6000000440002W00020004042GY6F14000Y8W0m0440641W
-200mcy6004W05002000200I40400Ajt00012ded0200W7yD0ui00020000O000000W400000
-G4H0GSwCyPk400G0Br7301000GX0KmWHeg08GWX40e222C43W814Y1p0804W00W01W0000K0
-0000025G0040k14X02tRF1010488G0G100GO10udK30008000WMF0000020G004zgRGaj6Sv
-V20100015000048sV60W00y_y308000C100000211CW20G113GW40GP04003G07WK008880G
-3004G41011e2EVd10802800002f00I041432eehCG00010003Z00G3yR0040a6pD00mM4000
-0G00K0040000migL5G000Iqc1000W24W0Yj_X1ZJ88L382HG0108410G0G000W400m180m12
-0W0G800100022W_xCOaV6ccZ1040000nGA_d10I900010IeE10000mW30IotWy@D00m0o@@X
-ykd100000Q02O0Y0uQV300504Ri1G02G0H00SBd18000Y9tW@@DOeG3MvN2WG00xzRW80000
-00WTjQmg@I47d13nbGgtLKoc1pTnme_6W00W0YX0000100800BbdmcK6000W0Y000442mcmP
-G000004G0001W@@p0010X9TD001JHLOLy_@90004Mxt000020082006G000000910G0010Y0
-WG41W000000GO0I000K0020a00O00000202000240O4G0008000bclHX@904_0udWA00H4Sj
-E9000O0K000844OaU30W100a0000000K0I00G02018A1G02SEk10G0W000GqXi1I40280G0K
-2F3G0000202yEV5000OP400qowFDvp0008G00I0A480W4Y8egXaGKr8028W80W2520W000G0
-2WeG4821AWG2G2104Kc800W0000Y08pG3000O00GWufy44000001GOkV300Sxdc@3hwQmJx9
-y_W7010C0801G480W0H8200Y00GqG0WW980n00WQeWG40001100W10G0n1HH00W81cX0b022
-8101KWYaOW8K320C@F30820W800i3M2XkPGbw6W8000Se1GTTL0043AHn4UF2ZZ0D0EG0W08
-100401406040002AfW42W0uix6000Ww6V30G000090eFR340K0CLl10002NhF12000Dwp008
-2WouI0000PI1C00048wU3ER9XB@DOgVC00020G02I000b80W0082KWI0710010402G000m@_
-9G100OLU3Aqt0000200W5svFXV@D00002080WsnPO_V3kOq000M@h@d021000028000Y000W
-SCk100001G84L173fmA10G4XwBJ040008m2WW_J02010008000W23@RG8F64jM2ZsRGGu6qX
-G2@@R0020Yc@D024400000mV40LsdG6zC8000000CGW09q@F60050G1AW20020002405a310
-20420000020I8086_42zt008C2Y00000W09000000W81I0WxyD0W0GqBZI0HI0uhy4000mnQ
-00ur@400204@Y172h200W04C008040W09001002Y231g10WMmPuL43o@t0000I0200008009
-000804m5y9000400b0020WXISVevD300GNLvB30G8YS1000068ABE6o28X@Fh00042000H08
-8G000O10Y01mG_0W@@30F00@7O0_xBLy@V0w@80qh00e810G@XtCCI0e030AGP00mV0cIzF6
-800000@zpLPL06O0u_V30VP0iMzF0y@z0WYg0Wd@3KK180020c3000C000O0c1m0m005W100
-8g5zDG000e0W0G1W1020300GK0itl1X@P0H0O08000a100ovC104000O08Y1F1K200n@R0G6
-000CWC0008000Y0004n000unD6i6d1jxamDyF0G0I0n5W0YB8B0L0N0Ani0KY4xF0Dd00kg0
-_FG1yn7Uu@@0u@V0m@1000000@y308c00Og0m4G0u@@0qg00mv@6G110000yX704WrvVm100
-004v5008000U9xZnZepy0200404KLg0GcouV0aHLLHm@1WWP2GJuvSRA60lU0wk1cbjXPnsA
-gnFXA4CuGR3Y00XulJecV306V04UWG5M0pWnIStv6000ejD00Kl_LjginAs9ao_3zHRGEv9G
-008002000WIgUv9f1FIQtF104W2000Am0480Y8048021020200A00W0008WG000100W20008
-G00010G903co020G000WG020GjzE3hsRGjz60Ce18cT380400050umF90001y1k118vHd@90
-W09I0020Y40G00K100GIWG12110G60a0488W054084S0800100G0e042G00000i0210W0290
-G00G4800C0W080WixD050804002W0240W20000mJ9008zT3wIsWSvC000G0100ezuC000000
-80mYvDe3V3AItWfsbG000GL_6e4000ZY0W0K4GG55520m00800330422G881X0A302C000GW
-H8WW1401018300008840G0004080G404OD@4000A00G04W10WG00000gLlQO0040O00m0hza
-G4t6igl1G004W0m04xF3dzbm@@I00281WA000Y0010140010000I80200f00080001400d1o
-00O0G0W0W2000W02020W4220W1000X8@D00e0Gp@6y5l10X90oB@XtuC0200mSqICkU5fddm
-1@6qld1X_R080080W0100WWW00K49k10W20G000yCD32000wNxX6rJ00e000010000KC604w
-zN20O005aa31W0WtlJ0G000G0a20000040AoRtWd2DuwV6gFeYYqJW000002XZgjn0a00mlb
-j4uU2000G000eKkk10G4025t000G00018_iW1004Y0Wm8_U@XyWb00000Oy2W1IT20W0mHL6
-0G80G20WGSo9000G1480041000A80401HsVtWCbDOyH3Yxt0400W820YgxdXu7De9LdC0800
-1H0G40W100G42000400X0840120020H02020H080004300G4000020Y00CY0GW37Dep@40GW
-45DV20200GC0000OqgdvAW000K7G20001MZ9anzOW008400W8000908GG41982H022220W88
-8G0GG4W00C026100C2000W004M404G408O0U9pWh@DGW20m7_9KqO20SC023NYh@buugAktl
-208W0W400G80I190000005e8XmnrC0W0000a214280IG0eQ@t0124G00W08500WG0184@400
-a1000a201G8810AI100zjP0l4000Y00000e0004_nV2a20C880000f000H080WI0G088XKq2
-211000Y4VUpGn@6041004000G0800050W200014GW8409SM905800400000020004G00000Y
-U1204Cz13bwR004Y10G400X8H0M6410G00120njlj00X1epz40404880800WIGQ@60002QVU
-30O00K7d1B@d000GG4000000W08G4880008E2m@@60_6PezD9000GW40000860G00WFVX9nV
-3_Wp010100002W00G020000041008oJ_J00Gc0I8000004viQGy@60048G4a00010WPsDejU
-3000Wh400OmU9kOt000K0xoiHxzOWi98000Wotu6a_j1GA4G40000fK000000060020P90W0
-0m08b000GIB08mBO6Kzl1f0O004W0X0m1000w9003WDH000W3Bo4W000q8f@o000WU7G080@
-5080100W0000a90020eY0COaxJ00L_1000W@@V60000510W45000000QJ108CS6y40Yw400e
-NG6000G1m2x20000k10Wu@P0av3mYqH1a100W18301OC0K0H00Wi0004V1k1A0101mQ0O0Y0
-8HS300W100pF0600Wz00WRoPWv701nFOlmmD0000C000Mp0004P00GC1GyME3000pT00cx0C
-4n0O0GD0600Y18qkM400hAW@@30@@7010000G10iBi0000WW30000SX@@3GAi30Yy90bNK0q
-qu6n@dGun6ynjJ2000NbrWMuKvfdAQ@F10A105c5La7645d4W000ZYcX1ihuwQ3wDw1O002x
-Xnm_@6804089T6MN@11W0000044021qQV2ZYPGoz60010OIfAUGtZXyt00m3tmPFaXJ595yG
-A0KSCA3W1G0_NN2001400442isWjTaeIW7c@d100lENvAnMz9qYE6noP0120WdTV8NT30810
-000W0010080228000W000e82GKuT26200000G88000410mj@6800000A8ol_F8080000018Y
-020100WVE0UztWFhDeSr4c@tWBBsuCyA0G000WG000W0WW14004008I008400WeGWG004X1O
-0000008WA00100G412102W008W001008W2O840500I40011004G04BW040008050G0280140
-0080WyHCuzU300W0010WO1V6G004yHV5jJZn@@6020504082G080408g2CW800W820G6002L
-W8W0000G0GG50000O8GU000G0mhv688GG000C0H0002200200SgStWzcD02040001000TOpo
-d0W0WWl6h8ZRFQ_tW85IOqR300WGK543u008wut0000mbkR000014W00G0G00G000H01m004
-uY_60102uRU3WG408W0W04G4100000660pGOG0z6040G0208Grs90300PaqPAImWu@D00012
-004G82000C80_@t0e0G4000CAbF1G015W8202uF12080G050Edd10008U300_@NbzmDu@VF0
-G0800WG4020mm_6aQh1@Qb00W0H0GK2000000YW00020W0W0000100Y84X04@JCXatJW0000
-110004j1ntPmEcBLeE31oWH1z60208OHz44200000YW001011W00000Y080UBF1WV90vTwKI
-s90804OhV30G044Zl18200gLd12W00G0042lB10W0m0821W00W0G0OiqS6000m7sJK00040m
-KH0009eJR30W00G0200000Y01W8000g0Ge80GD00WG000GCW0GS0001000Y0W06000fYG006
-10K01W2IXKbH00W051000We000010000EytTGozFaqwV2O6G0_tm000008204120W08H28Tx
-400900W20WW00W0W02000Y282450W0204HK0008I0O60Ge0HePW804W@7J0em3mlegqsC90G
-00012800GaI24Ge0IG0G1485md00W2Wm@IW000rf_6GA0GeOV30G041000uaV300X0000aY0
-0GO_n6mOe0e_V3weqWTz3frx7AwN2WG0GY22080800G0m2KW00820gAzDedU9a10W0A0010I
-2G2u60B00uyT6Y1t0000000GL_kDA406WuWH040CKWW00wVT304000088eKV38004iuk1400
-00008G0008453Wm00K1U2008010000WE0u@V30_d002e020000C00WqR21e00G9@X0040L0K
-0089282241I408Uot0m000XvRW800X4QI00H000W80W008480Y00aXM7A3Y0302VF1000yMB
-00g9iY@Zp1PtG00Gw5084021W3IWp0ao080000WK8000040030ms@600OB10200K0G040008
-00XGqD0W00SuzU300040040002HcR0u08r2000Q8190084I184JI0008Lcl1H3amyvR00tG1
-000WuZ0Wn@JWUY0000WRGT2000W@T30Ycxl400Wh00000mkmo@0006w2Wz@J0eS0G0pHHq10
-Wv7e3YBOF0C0NHWd000WO500008B0400000C0eYU300e3W8H7mS0C0T00WiuI0W1W1G6030S
-WC00Wz000c3000Lr000Y900000JRmJ200c00000I200gZV3k3Wuq3000W30yoV500Wk0000O
-aN1KX@0e@t00KvtV4zizzlBw260Nmk0O8H08FH0m1kJU00mFFa@V200ciJa_gzDb8LQFUztW
-tyh0ym2GkrK100100040820WLwg8cL62N@XT@DO@Q3ALt0000ag2006z6Z_pIeqy7Q18Xgpb
-OJQ6IR7ZDtPeGEI008HVM_I000WpLd100H0T9pGYfUK7k14001UUdXTuD0a40G1KOq8N2LsL
-He09aJF6Z0cW0W0Wo@D0440GIs6SNb1Z@R00W00G0000W086zEXKvJ001080100000SU400g
-gVZvwVuPU3_u230G0005010b2H010205G080W08G1GG1WR0G000000W40000G0W000001400
-00200100800008C08020004I404000G0W4002000IH4b2010G400012W0000IeG0104qU2h1
-O0100000W000810000002GO4R30400CZb1h9bmh@FiWe1800G1W00801200000004aE4C00X
-00000100y00000G240000Gm400Gr@90605G0080aW040000LiR00G1208G00010014800000
-OA5Gp@FapU2xURG3sCqBu60W00400300W3000m0G8000048W10W0040WG4WuL@A0000sTW1r
-7R0000YywD001004100G4GX00000O00W0080000eA10X@@POnn4IoFXqrDOlV3041000G000
-O8GfzOaLW1@in0W00Wa@J000W80G0mH6COAQ6c8FXO_J8WV306001C0000G9pQQUy9KBp@dm
-KL6y@F30801QzkYwxJW18204000410W@vR00GGWOkJGin3Gl0FKSq9vckn62Ca5L2ZW62000
-244107@RGq@C000W1700GSUdagl4BsNHJdFSnV2JpdGJV9yZl19vRmXwC4Kf1txPW0000008
-5peYqv_901400082W8000100000W90001U6l100000090qLV2G400AFd18480W0100200I20
-000008000104XW90Q0ms3WM@QP@@48400qi93VkMnb@9G000OuV3000208049iY4I0r00000
-Y00H10080Y8q0010ql168G8000X0W8G10000qm000IIa10W80@0QmUzUiZW1dLk100084100
-0GA008X04s63HuB10G2008YK4002a0G0GA2G00C000084C5200G0fG18GA00000WWUuRC00f
-00040nTdF0010Cxw70012G060OPS3IY5ZgsD08000082241009rRGw@I0008a10000014004
-H000GsKt000W0081002808WG0000008K1WXyV0G01GD@F04008ry7088WStR80220000110A
-0idI3000eKeo3BVpGQv60EGmBnI300Y0W00008m10100G000Cq3000400KSk1DcOmpS6yOK2
-vnN1040008000W02whIYykD000K100004102frAHEf901002100W850WUyD00W40e00oloD0
-0A000001002000G000ek9000OG@400O0X4008JPF410000001A00ntvRKol1W000808b0W3G
-IBW0GCS64sc4hnRG2S60fNec44C2I5000HG8000084rt0028b3000eA4amtO8L03q200SsR2
-000WGa40qkV2JqRGsS6004W4004og1Iyn@60ukPS20000YUA6kAUV638300bXxqrx6a0l1E0
-00oTpWOuUuKC68w080W3q91E3000cx00040_Pk3G003u70nYR00WxlmtO8L06000000H6000
-0GfFF0a100038300G60008JnPGgSC000WgMF3oJ@XZ_h000cly0mGkjxk08W1IiFXP_C0tGX
-50000A20Ww2000PZ0C3dMxyZ1m00Wu_L1000kl00WdPZgqy4kA@XPtJOiR3QLEay@D00m5VC
-u6qtZ1@ddm9fg41797P5IW@U0mq0eOWJIAG50020RzmGEyO4fm9000uh9rWHsDuXS6EBW7G0
-8000044010Ckl100040040008G0410GMVLaxl10408010O4Vl1W0000141SWl100oSdQW41C
-0000W0UptWJsJuuFCG2X0ysk1084OYSF10200000011808000efQ30010040G004Gmu_6080
-0uYU30G00jm@30ZE0wLtWqvP0100mqz9aWh7R4A1000HX0HW00004022aJb1W0004G0G1000
-G002480GWppIOKV3IbFXioDeOU30040G00XeYH30000R600eyR6EvFXNzP80V6kj@XlVzO_L
-600400440ux@46Wm004G0TJd0000G020WBodGv@900OyVdHFUzt00m000004oY@XbX9f4z40
-01WqtUB9@p0uE5W9HK9jxA2H_100W0VSRmKr90000X841GVT9COf40100MD_XOvI00100008
-2e200hQlnz_6yft6XXZnRuCq@y6zudG1zI820W8IO300Wt6QcDdnhIzO9CXl4jl4oGw60wz0
-uCcPwORZXoDODz42Wp08A400400_LMYHFI000KSb@I005100410000K7300vfNnhxCimV2Vn
-_0000erab84U60040qKl1XPQG85Fqzd4JvpW800Yy@D000Kzq@L080GOPU300200000inR32
-3lYw5buwb4kft000083UNH2u946k10008lw@1I951@@R0OJ6WXrn87S3EAwXmmPOFS3kuL20
-040R_R0010WlqJ8l7C000666k1Vb@00G0aK0C00005C10W@TzOE_JcD@10440hfPmXu6aSg1
-to@00G4WSVC000449W1Wj@J000O0100000Y2W00600G000WfvcMCk8t000800G102atWHDb0
-800qjHLqLl1W810Y@FXO5Ie_t70000Y80000W0mFzC00810000H5z90Os1OhbAG004Cgl100
-0GQhtWxBC8q_G000b00000308mB_C0I008A16W000000W4004mCG946U2R@R0221000W0e@4
-0G09g000Wo900GEuL00W0fsP30W00e4088sV323@10090nmzmjMd4KcStyB104P00080a100
-sQF10J00RTQmVnCW00000C9IYR9KhQ20Gu20007WB00mS000m000Ws40vWl100880C009mPG
-OuL43d4T@d0p50000m@Y0G02y81k0000WedIzF10_@B1@l10830000KW2Go1000pJ00u@@D0
-G10iktIzrQ30W8bSuZQhx4_syXXL3vnV6gyc1mDD0G0040001Cz@6bedGCw9KYS2HfRGTuIC
-Ij4J0pGBnOakD37ipWY0GW5tDehEFkGtWHqJuzT6QvMYYtDuB9IAsZ400t@dhHoSwOK6U5Bq
-dmCy9SAh104240140qfh700G0_QFXenD00000m36Wc3_9y@740C4000001G8Hff6Sk@3vFB1
-80000100fKNnA@6000W6r00m@@9000e8iV9AjkYchn04000W380000209400880Mex320G0Y
-JGYG_DOxU9ccF1001lDy@GK_Cqwl1LwBnV_O000095xAstn00010J9BHo_FiUi1r_@0OI7Wo
-0sOs6KRBmsi5_@QY@hsu@fH_FQb@Vcv@cT_VPeyVvzXUvn000WW0000001Dvm3paz6axQEjm
-E3Wy3WNeheDuGEYBXkmP0040GKvX008000W0qC@diyl1Y300cH7ZjY9Poy76VCA200000WZ_
-ClesrDO5R92WNYHuP87U3Ea@1000Whodmdy60gh1eaOCwdFXdvDeOS3Av@40G008W00_rsW@
-PPuTU3IY@X9wJeHL9EvtWGVPOXYJ2pFXcxPunw7W00180000800GQq600G08u_42uEX@@J0W
-10Ga2O000000GErlpXSoG5J_Rmh_9CFgAPF8H5qRS9l10D806ANY_xh0200mtrdCkD3dfA40
-00Sk300TwppRhZ1W8YyUwAAZjn85_fjaYY7SO001ufgp3024WR_huh_4Q6k8WU00dB7IDyja
-3U5b2y3d00WoC_9Q3m00Gk6zz30002x1Vcg@POhr4Qu490Z50@@p0088Wq@LfBWGYZud0gJm
-700mon@Sl@31TBnFt@00eGFCz7K0000060u3_G_st00K2000042rdXlmHDeRC_Et6000S030
-0_@F10C000008o5FXSzF1830000GcbQ3FtgAs@F1Wq20hZdm478TyZJ000ef500SIk4ZgXnx
-mU0042uRZGArkYtkz00GXyKoI4SU27k@m93U0000W010m98ay@FC0zN0_GFa3xd10W0GIzF0
-002OWEIEiEXSsJ0000AE00W0pn0004m5z6CIl11Od0G00WwrJufQ6EVt0400040020W0000A
-0000Gmk_900090W01mBy90G00002G00400020GZnp0080mH_P0102000FwFvJegV308W04Y3
-3@zRmSRFaeD3FwpW000gl4C0080KR260W00Ok_44K009200OWV32jt08W002000_PFXZzD0I
-01msz6G000000W0W0000KF0pzRG3_90402e0V9G000qaV2VvRGzG600W88oU680C0K@V2@2O
-m8HmqzK5m500_@d10W20H_pm706i203nv7I1CjSiF9002GQCNKnQF0Nh@Vry@FjFF000Iohh
-n1sC300jt@@EDj6I@@p0es2W@@T2000NmUHP1008@me_@t900rq@@x400W8IG00v8pp@@I00
-Q1u@@vNOBgehd9DyshPc1000O3MBHut98Q00OUxDEv_12020rXp0G1000W000800010Gi9D3
-JURmep6Svb13JRmKQF0W408okD0G0Gqai100wS0G000100G21000G0Wo1C8Cy4w08XFohO8S
-3G021GW00OOS3gv_Xa2U0024mntFqKC3f5B1400WAdD0K70W00W040W212KnsnFicD3FWb02
-G0W0qPu_k4QHV30001000G0001q@P5TKdmWy60000C8560004CkE3T0um@@L0W06000m0001
-001W0pjR00001000G0260_@t0W2G0Thd08G0WvrD0020Goy6iqk10400Edt000W00200000W
-0Ke0He002G11a0IDGd00m7zCSxk19nR0W02WzWn8OT600W100052e00W00WW@@JG040W08WW
-9mJ08000G80WvuD8kR300001000450000000000LW00000G080W000001G0WWYmC00001080
-000hm5hP0W0W002000002IZt04010020G02H0a0V20002001000W00080nn_60020004Wmxz
-680W000000G82WtxD080HO0_C00004000n2S600000WG0Gtw600W3OqU30GW05iW1W040008
-G0e006G800e004014020000ob201000000QY160W80001000G01010W006WdvmWjzO0W0000
-0100004040040008010uaS301000W0WmW0Gm6V9002202040G10XQzJ0400qE_9Cxl11Xd0G
-0GWsyP0m000W1200300PA_Gs@OiI@3fXB100G008eGZ2OGHvLOO00WW00G__v00e1EkVXACN
-Y2b9vj0L4Uk0ySqFr@R00Y0W@@D001W0AW0o10F9@uJC000i5MK02009900aahANox10WnZh
-vvX810mCX6SMF3840W834p0200uaR3MQ5Zy@Jux_G00L04YFF4004100Lbz0308W0W1414ok
-15oQ00O1Wiua8pqGk@F1000OPpcGN6syNU2Fcd0eG000i000GA0000000eWQ5VX00aDMv@L1
-TpmAt@0mC0O_Vd00040I00W02WmWo60K80uMP6M5660000000uP600avlJG040100GY81800
-G40000X0iD0e00m@@F0082ePtJ00uQ@@FF0005_@@1000i2120m0A00002H08000W500C0R0
-X89oYBauyb8J@40GS0KdNEW000000IS2T5U150000mtjFIzmomy@vq@F300001000A0c061x
-102O200a4000O06x6302007o7200eZ8j5I00000m0W@@D000C30FFW85C0Cm0m@@U000C300
-000O6WM@z04K1Gst5zbvF000m00000900OJyA4F00qEEC0080YrtWrwDOIx4ItF110004000
-t0811000PRpmAv9yHb1@@dW000GG0042000N5@100RL@@R080WW0zPeUyAkyoWdF2vjS3sB7
-Z_fJeGz7k6pWy0g0O53mpfLKm_3jZRW004Wk@z01A0mQSCCTk10010oV7ZCpV08020800WWp
-V0000eb00WGED86_MEOtZRFC04000018WgFJ0002GFrCysj10W000A00G0000e0G1X00WS@V
-00X00000000oAxobGVeC0W0WOQU60W000080Op86U@F10104002004404FQ24002g8LYgiPe
-9V30008W08WOqU30101010100G0000e0G00WWW00W00002008ED30ly010188RR3000G0820
-uaV3IsEXCsP0100uO@6qWg18000G0KWCRF3ltR00G00G00004G00080SWX10000W0002001W
-000mE_60010000e0W0H000Z00W0W0W04GOI0080000K0800482001000W000G10012000AO1
-822010W000020100W0e000ugwD0Y04GS@FSul1rmbmsx60GO00W008006200000404c_@XIN
-V84@7gedXNrs00G6S6baazW1G000g3qWYUl200W00m44G000040G0WG00G40eWU30Ig0C6kM
-Xpc3200GI00000W001000002ZJ00GKwELDo6Pn7280W0000YGC00Anp0020000H000WpM_SK
-fCQmGysG004G6Y8IY864LV203F0_ct900440080IAm608nW85aHDpC000G0G8Y001Y10W0W8
-000G2DBgvJJ80vP00G88000001H204a200400G020000008uDP@hU3t6O2000000IbpWvnJ0
-u73GCSZTE_CpZd0000OC100HAe82200000GjeRGXx900mtiozeG0000W80u8_SW00GUZi101
-0000W800000Oy3m@@EjLa1pbMnvTU000004WK300W9e0019hQ00g100002000uu200KgUEpE
-RmpwI000LL600GePay@@3Y0mFO0Wl0bk@W1@03KwE20_3C0y50000CaQELhHRmPup000300W
-V0C080O0m0W000010004000ap0mywA2060ax80ey3u700GzA0002nFXvYSAjDI6_S309000G
-007l_100P67cA10G408004hXBnm@OiWw3zjYnQu600WG8W@40014Sp661ZRmK@60A1000100
-0WWWx_J000GGY@9W00000W0mpRCSec120144000iKk100W0wucXXqn0800miw60210u@@4W0
-I0KKj4hadmuu6000Wp_00IZv6SNT2001Y@Bd102G0VgRGMx6G004000W0248WpLC0020002W
-a5KRvmrJIGt0000000MCtatW4chuKU3cMA7W000PuAH8S6W00004G000800200000018W400
-084000414000W012Y02801018Y000000We0000xF000W00001j0V2tsR0001G0080neRmUeC
-4Ll1vXc08000000eXQ_00W0WPlD0008Gnt6K1l10400W000yTI2G4H001000142002010B0m
-HhC001W0804HI000e010QXm0000We3G00040G0GGW042Ggy9000W000228W0WevJecV3wxt0
-G00WR_p000010014W00000W0z@l10204Eyt00402JBoGwu6006400400004O41W8DaRW002O
-300m9SR0282619Cg00mG004e0100000100WtZnpD0C04mk_60100080000018W01e010W000
-000W3OZU6kkbXJlD0m408W01acZb8xX7sg@XYzDW00G000G028000101000CCyl1G008000C
-qYl1m0000A5W000G000W0600aSY99cU303204rx69zs20W8000G4mO000800O2W3W4018000
-0006m2G01W040B0400000CS00WrrYQqxP021004W1201800010000G001000A00940W80000
-23_owyBAU300002020W100000m01OcP000100WH4HU2WoP06xnl_pD0G08G1@60808000400
-4001040W00202G4100WDGgegg76104000HIWrW1HG2K4e1M4A0Y84100Y84X0G120cXW0W00
-00WGburZ2Y00mCyg0008K0000H0a001002540G4W8Wn8YW0WG40HWPG022041cmC000SJ086
-E295082000G80WOCS9KEk19IRWo10WOu2iNy7YXx100V1TcVbW00W@@L180GW000000a0008
-0khBXVRI0qQ2GjU1200WCse40048000110000014004080410000GfG00e7Ud0G90000G002
-0mCbg000808r020O25eK300WBKYXpWK0C0Je0000WYGpy300u87000QCp30S_10ec34Qc1Us
-T4KV1fueZ0q9d0eK10WxUD8Q@e_1lYBln000S0C000O0000700YXp00606f0a0000Ir000rm
-vdFC0004GtY0mQK3WvB20tX80cgKwUKZy5KGfZE0uNG1mN000GyHUTujfT5VxN1Wr1Wl@4wz
-8L6GpWu0gONy4M@F184000000000WI442e7S9W020y@l42004UBF11W0000000W21qG73zsj
-Hply008SuVS90002Kzk400040010qDP2NmRGTR6yhF3LuwHNwCW0408KU9YKWXOuI0SMBGGw
-OiTv93nRGC36S2XA02002WF10G0204200080G04004000400mTLO00001uW00G000200800g
-04NU5@EPmzogKLk10002100282000W000000G4G80ntRGHK6iol108000104KDk1W00e000G
-08G0080000XW800CGT0O0000000BW@@p080gWyoD0101000am@@V000AGt@6afU2002O64dX
-qzD0200040000420001I2vt000K02200YwF110000040W00000001010200G0X00C004G008
-1028040104G60001W00100hyt0WS607@R0018O00080a000204y_l10280MWtW9uJ8FE3000
-0C6d1nmcGLy9y6n6hHAHm56a9V2040080W0CMl1W000B1mW0xDmLG0m9@CCPE3l@@GQzL0GG
-0uTjYsxV300qF1aguo@O8Kh1u5CU00000004v2W42FGqnr5Qn_A00GVcK0f1sJLxws4f0L00
-W8Qf8XGAI00G1GR@E100WuE2dcp0L020Ynzx4WM1WorLijVCi400qilJW4004290Kt@I0020
-3fOU00000c00000Cbt@Im000QsMe6XSAVae000600000030G7jQ1QR0ewjGgXoZsS@fMWG00
-007lk1HaNHuuR4Cg4jnPmNEpSyR800kcF2sclxaeiRLoz66mj90PfBHHvIiMkALrRGjy9CAl
-10000100GaC53dmdm2zCC6630001Vlt0000C4300A8E108845qR00002000WbhRm@@LyRl10
-028UVpWVwD8z_4G010qO@3B1cm@@C00248fMI00W7bWF3Zt@GxsCSND6fMB101018080bsdm
-r@60G4GeeV9MvJ200G0f@pmh@60kR1u@@4G00G4Wl1HAO08W0Wp6Uu_@700002001OZ_4gKC
-aCMOeb@4IRd10004lqdGDg9000Wcq00mOz9Cod1lQhoLy9i1NK3zO@W2@78n@@PyVV8@7skA
-jw_0000CS300vkZn103KyUBTzNa000W2aV00WnnF@O4uZ4HoyVqXnMHz300mT2VZ48000HyP
-@6cCdnj1jcKqwPj4fm@1nxnKWjCQ_3tOk100etNKBA5_VYJkqvxt0000T6EOSC0Iv3B40WEi
-Z2gxGk@Bqx@11@FGn@@Zy@_C@VFq@pJz@xO@lEt@d3_@ua@@Dw@Rp_@rm@FDz@FZ@@oy@@@@
-@@@@@@@@@@@@@@@@mx@@x@@Zl@@t@@V5x@J1@@Jq@l4_@7n@@@@@@@@@@@@@@@@@@@@@@7F0
-00u_700_@7900G0@@@@@@S200WtV00m@@81004u@@@@@dJ00Wli700_@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@dG00GE800S02d0000m170000oX0S008uC72I1WYe0s00
-S0m@@90087u@@4W300aAGEX4aGn06y@@@@@@@@@@@@@@@@@@@@@@@@@1a_@@@@@@VxH5R0Gs
-3000000W00gBE120008000I1WYe0G9IXv10OwL0z3HG@GA0K45m9@@Z400A000000004_@dX
-E0C850AY2ua@@N20W000WL80000000I_@dXE0C850AY2uaVlT20WA6000000WW@@pG706a20
-5H1So@@@Fa@@@@@@P_@@@@@by@600C00000W7m0g0mWK0I0006GA0K45m9L2am@@2100411W
-48000Gu4810X8G480004Y41100W09G440IWf0GHK0d8G00u@@b0W80000W080GK506y@l1T0
-OGA0K45m9@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@wy@dk@@@@@@@@@@@@@@@@@@@@@
-@@@Ru@@@@@@@@@jV@@wv@d__@dt@Vv@@@@@@@@@@@@@@@@@5l@@mz@7y@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lG0100_@V9
-00rG@@@@@@S2800u@@b00K3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lM0004_@l800C8
-@@@@@@Y2004u@@Y00qWz@lM0800_@l803E0@@pVa2@NN7I000YYA49h200@jav@@60Wm3_@V
-d00011W8000H0Gg75z@l10wG0_@NB8200@@B4000YCSY204YX0000140000H8_@l800vK@@x
-4008G80000820ISP90k00@@x404W20810HuOW400Wi7A2700mGgH1H0W2KW0m_88bKGK0000
-WH10q94I@@R0WU6WzHZ2200mN68zPg1_200_@t94T014007gKO900qK@@RFH700YE06000C_
-@leOFe20082000GPG0078Z40WyvnU@40000es4W@@@@@@@@@@74000hIl4000Ij000HNV500
-2e@@B200Dv@@H1010y@V300W0CnUH0m50_@@A0004@@N4000OG300@@@@E_@@@@@Vv@@@@@@
-@@@hC@@@@F0gT0u@@@@@@@@@X4C00m@@gG0G0u@@@@@@@2LXv@VO00qLrOcb@@p0Ws6WBkvl
-iIa00WEa3@@tu@V9f@Fow@Xq_@7l@tHy@h@@0000CV300f6jtEq@dZz@tW@VDw@N27Ru4000
-0ES0000GE4m100XJvG00m14EJ1W1Wm90@@R000070000f0a00Q2W1x@Fm@@@@lH000ec500o
-n7Z@@340o2g7000000enhpPI1_TxhA000ez000y@VH0002cISc51auyV900CpciVTxNW1020
-Wq@b0uK1m@@sB000f9pS_@N200DC@UgrpdFqdQE0TT0otEA0W10BaQ0012WyH@9WK38600y@
-@d00_y_@@70800@@@@@@@@@@@Vx@@@@@@@@@TzpC000UZ0001W9t@@@VTlt2004oyF7001oV
-XTLITI0820u@VR0vw0iiV_9qbm1M6W00400G4m@@s0087x@@yxcsWJ@C8EH6QeU6000aO600
-_@dgN5DuYJ3EFZXvzCu@@M00aCy@@@n0_Gmfs000WmD00Gjmfz@lD00ue_8Hk@@X1mf3m@@Z
-1H00u103IpO6000qg200IAmC0002G400AmV6000vZfac004WZ_j1m_0Gk@@@@@@@@@@@@@@@
-@F000010002040utkP000GO100ePVm000p3Gzr3WZEYv100F@C01NfOguB1yx1u@V5hEN200
-020008jD00qbUBG800oJKhbYVW0000080000oF@@t210GWsnJuzOCAukel@P0GJ2mtQH90G8
-OXx700W0anj1ZSRmgPU8000eVT600001900u@@e8002SQU2Pwx1200W4n2100Gx@@H1W009@
-w7_CtWD5C0W00G6@9iDk13T52eK2WRzY2080mL_60G000040muwmKzo30008O700ayFODs@3
-00Cc@@l2H00mJ9Cy@FFYr00YKaA0440Pxdm8Sv4IH22600EK8Aa00H000000H8aZVH00OmFR
-DA00O00W80H400G4218IXY0iR0y@lJ00G88YW000400004mch6CuY1N_d3000Ib200J_x4eG
-8XaCJ00G1m@@2108tz@@eC000abHH@@d0WX3Ww9ZY800008020000010GYwrc4lUmi00m@@E
-92000A000G8200002fKam@@y000Fu@Vd000G2041Oq33YRr00C0IhUQJ6EQ1000q@x1mgi6G
-L008NtYmE00y@lJ0AHYL000A0k000O0020000a4000O0_@d700Ld@@x400eA0_700y@VK500
-OWL1u@@Y0vv0y@@y0040EDp9001nl9Q@3WB5fGNRCBHZPFy@lA00g6_@FA0840TXpGoVRy@@
-90IM0w2Nh3fbuUV3MYl5000am600Qst900GWbrRm_sv4a2R0820_@l8G020n75rCuvyzV500
-WuL900y@lJ220002004S5I0000000K@htLh@pmfP@00t1e_se008000c0OvJ66bAXqrinR00
-m@@EP4000W000800WqCG20GzIo_Z101WOhGU0jL0K_@O0100cht000G2HkEZ310WPFYwyV9_
-8X700PoJr750G8Y@@D0eG0mWiCivVE0mN0MsVC4901X2PJo_68I00u@@e00W@wF0000@yJW@
-55I1L000000W100000600m@@9Ga10O0xS0SU0KOELAy00EhxdmdP86E2@Ot300JArVRMhq6a
-BD3f08Hpt9C8@30o50ANcaamXP6w76ugYLkOW000Glr9iAz3000uSC00aKGBvQLKm4YcA4XW
-000ImzXuzVOXVO4D00KdPKrsxHcOm00e@@@VgIiNYdKv1W13mScp@5U2vrX40Yr_85oVwXI7
-iBXd24DJHBRyad0xnmP00GRlK1AG0OlVa00K74BCL20G000000030Om@Y00C0aiVK0000080
-Gyd9I0008D600iKGKG204gxV9001P9IJ50l_00000U5006yl8GW00JNJ5G40000W8@zZqmSC
-yoTx7IlHEpgquS2WCV0I_wgXcbOiv4Iak50004Q100cfMYRrk20800080WAfF9Sw4000tNR9
-3HKdGwk@ykk1rzYKGAHjzW7x0qHt@O0gu1ufubsDlYWpPeaT3AmUZCqb0000ICSHbSe4vcpG
-@_6K6_6HeB10W9oi9nx8ULoU8A000WG100EgtW4fA2000DU00WnCgxsVL00qm7DpLG400wx7
-90zF0HU4LlcHX200ePVd8000Lil10G822yl800u1nCH580YWPcGAx1gEK5rjNT20GzRScv30
-a08l@b0A00KO@LHf_Gc@v00eXCP@ekmr0100060006yl80m60jHH5020YVmp9mU9sE0fpQbE
-mvM0Yw0yLNKh@@0400WqoteXR60041SbS543002hEgns2fdKC2Ol2003JLdMqq8R0010eCrD
-QXtWorDe7R9_@t0mGC0H5osFSp0000q900GlxO46B30W00YmFXBjzels4_eFXkmP0040m3jm
-000Z@@VIW820KVg100W0gkr3000GW000AD@XQkPu9k7A_d40GD0@@hI6v6SI_9jzUIR@a40C
-60001o1E10G210W00kytW80COQVsIzsZvBbuxM3000G4T3I000000Y1y@l72B50kTVZVtO00
-0W00100020000m040040001G092mF2QbsB3U300_Lu40010082000GIW00800000103mIf@1
-040GoTa008IhIIC0GY00000400Wo20500004000400W80G4G00G0014Wb7iGG4002atWjJz0
-0mwx@@L08410W400008G000400G0sZr00280WWA802W8WGD8G1W0009OYu7@9iR3UkF4WFE0
-VVZX800000G4fGRW0000G00201W00G0AY5800Gm030b8aejQ1a00GYuC000Ww@@J000m2E00
-u@V924mW71C00G10082WK1IW00000W402858xwKHmAX0021OrVO00Gbc3y9ZvQ0000400G80
-0AG0I4983K0u@@J0011qAU59yQm_kX0620ezRC04G000000101GC56000010e000m004W024
-0000C044xxFPYT2000syZP8sU6YBc1080080m00W00040001G021G40G408NAXH_@Le00000
-C0GgzC0081u@@J00q8szH5@6b0020W0rDG8G60000GC000zvR0g30000e3R@N40K5We@z0y2
-0m@@I0WP0u@@A00W2I000q1F000a900OW1zgt200G430005Op000Y000G082002ap3000mQ5
-00AMk2500m8WA0MUF10G800WG00WW000320204mgs6GG00ex@S0GL0wmN0e123U_t300M3HA
-K10LTdmXC000G60000b200CJ2000G0w@76GY80000O600m@_3G0Y0qZJkVOcR6I1WYj@n000
-BR6cTD6E3d0wHB@R0gM083lbsu_XcrDuYS3AZzXVoDOfy4I4V3000y83002rgel3@7iX3mFw
-8rflDxe52000Sk100RlJ2002YwyWffUIogX400qIz@7LF@H12Y1eyVI080G4dl1viQpfhdSM
-Q5000mL400qVyOzcVI3wL0WWcF9r@@fsLO000b4c3000uGS@lEZY000mp000eryq020000Y0
-8V6O00uc4l_OnmLn@@I000KOy@A6mSf5V3PLwGEHsWfOV0000XX00W2x7J900GCS6y6l70e4
-0000845m30061ZVcgu_lD6E30WC0y@V80P00Y2W1000GK300A3@@av520000027W4vEPs@J6
-4f21000HcRmy_XK9g1fdp0000gScC8DPI_Mr00002hbpmEuaiSk1xtHo8x600400100mLr90
-009TP5L_KtWfz2v@VFQB56000006G0cL@dVah000KmQuLaVk1ZmRGgtF0410u6T66@E1000e
-y100wn@70048hmJIhz6iDU5DGZn@@600ORlT_Dwod10W0Gb_72804WxTseFO3oWIYOXP8CT3
-0004CdE3W@20YlVZCyP01G0Ga@L00009l_44200i_V23nln_@6SU@30I00285Zia89v@JsE@
-anyX10m1yqamS_i4820Y6CHbJ@dHCm2GzvdSZl7pxR0100XLsf2F00mIiXabF3Zhl10W0Wb0
-pfw@D00yni7VB0404IsdX7zbuebPM_F4G070@@xn0P6W8000080npf6qBT2@sR0000H04100
-0206AWgGSD8ynGoUW1001024006N7304G00828ALDA00utvpV20W200002000fUwM2005000
-200WKG1WCW8zLg0F80qOgAW400G4804ST502000200ytj1vq6bU10WMl3100W00020GG00Py
-QmmdC000800W20100000Km40400220rdRK00Gdxyd4Ga00thRG4vL000W000W0Y000W00Y1@
-I5mx3Wcb9100W0O900104B0G00wWEXHwDOf730000G0OB107WScoX4q_CO200_yt3V0000OW
-70Oc0SWCU00ir_Pc4Y00001000800Gy3OQgp70000X0002111W38200i7000S0_SEAmD60T_
-V2G1yVd00000K0w@@10W@10myCm3F0Grg08vCLguM5000OK600_yF4W100D0Om3sR4eV2@_R
-0008WtoLfbS60020Crz3008zwAlY1pD8QV3G0000000SrS6_DtWDwD8nU6EScXa2QfBy40G0
-0ioH2Jjd0m31WXsb8oz400W0awU2vkdGfxXSCkJ000er400ScTE0400EZdXH@n8SR6IWdXhr
-P000800400G400d@B10Wh_OvzuH160K0000W2G000001001008@vR080000001vkR0W04080
-0000m00020yil1Rxd0030W9_beOV9svFXd_P0G_2G82F010000C000140008180G0onF11WG
-07IbGA_60002101080HW4G000L4Q0080G80000G006vdXuoD8eU3g@d108a07q@mUuL00004
-e00O4@I010010000GG8W8OP01aW000048W4000000022040000K00500444100001G0000WW
-W02eGmbw6q0W1lgdmlwa00100010qVtI00GNBez70W000004eFe40001qt_30001oId10300
-0042kKlYKkG2WG0G0d2DyU5z68HCSvuH00e3TRkSG2P000W02040080200CutVM@d100jCdg
-B4000180004100000210K00000002GG4000nuRpglI0Yj1OO@S0004H000W4000Y00ezYJ00
-100W040a4W0000IYLU600m4tJBX610WvNRv@V60W801004w10300H0WB00Wm00000W08000W
-000wXT60400pQA100Ny6@v10H000m000001e100018000G209a8mHR90I40Om@M000GX0414
-4000f000G200x@d0uG1Wv02v6WD00000W04XK000bW00I9L0GWK4kAnWr0CePtP814G00001
-0042210WRuJmR00m@@aSsD60008W00W00080G002G4mG1022jyQ0a01Wyj39aRCW8004YD30
-0KZ@@F40A00@@Z122G40WeG00W0W02W2110000G02385001080GW0000ayX1vYRJSkC0ay0u
-@@V024O2080Y8A04100K004HWWC00W00O4008l@P00i500G0NB08G7pC000W3P00mxyX0102
-00W0GOuI00Oh10XmlM0W004206000GV0010IVHr0Y8t00WKPhu@VR00GV_@FFU0m3T0WPAZ7
-M6DpC3QOU60OPQ0OP00W010021W800WW00W1@X104J00C@08000nkn0Ow2Wwe3100WGC2O00
-WB00YV0k0k0P1G0S300C600Kej1GL50000T3Q00emVX0000N_EI0O90000pWP0fvLbD2cF40
-4003tp000G0300000ug00G0Swi1R@cmKzO00004200mM@6SFx6200K_8tWSuIuCRC40000X0
-0OFB3EotWxgC000400KWW@mC0W0000u620000GWG0_YD10400G4200G00004I001WW020WZz
-J0200myZ6W000uVW4ktdXSqD0022oB@9ib_3z_RmyR90004G00002G0WicbeYv4000WGT008
-v@7401048G22GW0_RE100W00Y02kKFXisDurv74000auEC9hp0280WKuD020WW0000800280
-8000ug0G000020qQyC00Y0SQz4st_104000G00katZ_eD0000A0W0WrmJOV_40001iLT27md
-G8164SY10020MTpWNvJ0WP0m@@F0WWW0W00001880G40000W00800020eKu400W0Y0G80000
-000WG025000808W00G00002W200000W8A80D0W0G0000WAu4V3k6p0000G2002Mot042080a
-W200WCe820G01m040804GG0OW80G40000402JW400G004G010WGW00H0W0WG00001g30280G
-0008200111008200W0K08010K0080204G00W02a010qX3680000W05400002008J4O040200
-12808820GC00W02000100880408000000u04ivl17UR00GGW49C000024e0480000W00X00C
-00200004W00W0160W0000G0W420200000140010080Y0040u00008Ed009GAU6SpW10GWP01
-W20G100G0080100Y0K800G00000Lil10a20Met0G00000GG010W2G800520mUV6020008o00
-00GYtvDe7U6o8_130082O0000048020010006G000WG00080402G008800800400bs@J0ag2
-Gey6000G0100Gzx6G0000W0e0481WqyCOcE3005000G00002822I00G000W02lGs02800200
-X00m2W0000G27ms@LGm0YKaG00200GOY8Yl1Q0002G002Wr_RW4GWWvdD00006011W9uC8XU
-30000100WZc00G6_Oilz6800I004002W0OqU3YkCXQECe9@4YqF10G00Wm0G030001G06G61
-Wn40G40200G02000800W010101000jxEC00G4HRr9y6X108AM@@N210GY8000030G4tV2002
-8ccdXFhPOgR3000O2008uIH3_@N2040O0013o2b10O00HaRW8Gm02001W020G000m2800120
-mHw9asV2WxL0Y3zXVoJez_400400WW0ulU3044000W02004400WWt@J8h_480G0Lpj4t@d00
-08H8000zbO00000G2GW0W8Y02080800I0420044805004100x9nW__D000A0000U6100@@pm
-EL600W00400mw_CSrV20040p@tWa7JeBV300E0S7k41YR0008080a8e80400oeW8g0H080W0
-108022I0IG2W8YG00aK61C93Yn02HCCG01ce00CWGa9YO_V3mG000W80000BoTwF08000X0K
-1200800G1xqR0000G00ae002000020W0X0410803411Y009sO04100H00004We050C001WO@
-O6MQt000840G4WDH41X20XX02Y00a084ZCH2WH201408a05X85m02080G0000H900G420120
-10J00G0008A002000010G00000iK2GIiF000G20002084uneP0010mA59020200020GW0300
-1WRKQ0029000G0G028WG004jF3000e0000col100800WK00000e1030100W0000008IA06GA
-0GiHP600G000GaY04G84100000CC100g@c1G100XlQGd06008X50I150WamVuI0K90001000
-0505nR00W4000WK00G2G402G0000f04m4ZRCg93ZtRGA0I4sl1W000408W000A00G1000nm@
-@V00b108W2at@De993020084000K00qW_6000o86U3kyD10020o080008WG402Obz7001000
-0K0G60myuCm0000020GA0C040DG000000eWKoCuj73CW32O03a1O000WM6Wj@U000G8q4002
-00C0000L00000050WG4W2e80100400000Q000X00Cm_40100O51000m0008821100001WQDd
-XgdCODe42Qn0822020006_mWK0C0002uEL900WG040G0A00002080W480G110000K@00m@@F
-01G000001Y80000040W1qWW00W00021K0mkG60000PNT6K10W02Y4G000000221010G008Qu
-dXrsD00X0YQ000CX201gQ020f6W00JG1aQI1G20K30002400140090002Gc3080Gz2000SIE
-pF1X000000OX002WrG8p44000U1001G8000000iX0W3ONBm200W0GX000XsR001020090090
-0000j0W00WW0020YW818080m10_@N2082WUf00fQ6tv5KcHLEKbP0i3T0eZE2mT82WfAbZ6r
-8rNWKgw10Uo90Cr70u760GDrmC00WVW1Wz@J0Ob0G@_OuLL0000KbhE0WEhDO@VC4vV00W@0
-GLLTWggAQpCJqE4002Rx1000H0000500Wx0C0K0O0W3m000W1000S0I1W200O000a1W1W302
-0E8A0308000Go0u@V300m400080J00Wa00001000P008K1o0O26306mK4u6C3k180100GG0C
-Fc1PxR000x000w0c3q1e3e1G7G3ChR60m900000000SgP0yGP0uBAegCfo6RmX7g2Wx610Ty
-80Ew80CrKSaZEuBemGLp0egU0GV000z6P0tL1000G0DncWs10W@@J00p0m307000000pC300
-00ym30Ntd0fQ10BJ30Ey1uz0GCW103uyV3000BZ60000bg5X2IG24aW4420cTMYAYJ83v40W
-0W4wS5rXRmKXF0Ih0uwx4wKE100GOTePm7u94sj4lH@00m000002F7pm@@CWW0800000540W
-yJC8kR6UOo0G0000004012000020G80mms6STk1000eX920iCt6vmR00G0WxoDuRV3UIF18W
-00PcdmQn600W0QHzAQdTc6pJ00W@PmL6qp_38000sFtWWeIelzA8000qwk10002@@tWhJCu@
-@70m008000W0AW00004020WLad0W00mjqDO5R380W2000402G8G_t94mk1WN20Q1tZfvhO0Y
-4Y4FXYsJ00000108WqgV8kF30500002000A000K0WexOW200naB60810eBf40W00G000eR53
-mee0qGV22W0018000A000W00GCy6005800010020m7yDG0m0Giq6aCl10E00W00108200000
-24000048400G2hoB101000W00A_pWefD000040W0eWxD0001402080GWG00004001180G000
-10G2840G40m0e20K000Y00G00084G10003G08WG00240a2200000W8e013202XX0eGG094IW
-0108401e0b480G400K000eW22W0b6YW210H40G2O0002B00W02051421A2W8iCG000GV1600
-02G0G0Om@60120000000180808000G102WC100OO073G0408W0100C000a020G04W042G050
-020004K0810W02G00006201000oB900I002G02C8000009Wn4I020fW2IC01142G12000nG0
-G14Z4000W08000O088GWm022G20YW080G000180904C0A8Wu101000eG000IW180W2I000pC
-NnnH9q4F35zR0080Y6@D00G0000410G40G0006Lp00001000Gj1m2CnV2G0000080yAk10G0
-400200014008000O100WG00001W0GYG00X000W004G02003040000080006uM@G00Y0ySh1j
-u7ohM600000501G_@600u960000800ehyD000D0000G000m00800q40KfN2F8pm0C6020020
-00480W040018004sOs304100002kKp0H00YG400IxlYZ_VeZ@44Jk8awV24000W00OK_l10W
-280059Krk18W0040AHW02000900000H82OY000800084eQ200000OY0quV51onGb2I4xl720
-000000100WoF00ms@9CXV25NP000K0GW0000004G4H0400uD23_yF14010ZZPmMz980G0G08
-0GWvy4PG2rGOG@@600WOl@_4O40004002W00004W000400004100400aIWD00mH@60020023
-0m_z6G0000G0W0000G400WdLP0W88WG3D8p@AG402W8H4fNz76q_1010000W8chm00WOW040
-0kmt0W420000000W1000A200GX001K08000W800aWG9O821I0I0IuW44KWG808GG0102G10G
-10200We20000082G10Y40m03G08G00001GG1G484G8mG0qW168240UGtWGACWW00G6iR8000
-ef33000G000WZT1200040100WbfR05H02W0G0WW0A0402e828W0W4002C004H4YWY000228a
-0W00H4340040W50voP00a00GW028W404m00GW1000KGm2_X0A000a0a00W20A0000G406atW
-nlb08G150K20000000EQ_0t0005000H000KG1W80000058W000008W220W1000Ae0XP0000W
-208204004e410G200a2K0088080G1021cR00C3W@@D8813IfudOyJ8pU30Hy0y@V2A000000
-20C0K0000001G00O40450X00H0Spl10ee20000G00030X0000100a1G0010Ubx100WaZZV25
-0O402W0e8AW42K01220286G1G400Y100ViPmF@C000Wn0400W8200008D0O0200000208W00
-8000088G10W0GmE6W0W400e0020G0W02o00080e118Y08GG24W00W0014WX@R0001av@n009
-Yo@@C880000802010W6_D8w_4g5sWJpV00WoG0h6qKl1TjQ0808WgkIu2030A20y@l1K4008
-8004Jl1I008oEqWwbJ0408G9pa040010S528100XG209WQGOz6qhV2rhR00W51024W21000M
-4m21410WX000G40024000M008G0A4OH0YGGPA0600000iGWCWWC4m20YH1mW00480s2i00H0
-WO80000GOQT3Ma73m0000300wmCXxzR1000HCSF0002YW1W0136225C82A888K2G2WW44m00
-u@@C0003ODU3y700KzV20KeW8O01HmWXuyTC00010201040Gmqp6WO000m40000W90J001Op
-G6F6W04001C1a5I28R2C000Qm0ac0Z0D061C1C2I285m4GqCBWf1m0J3WB00460k8k0P1S1u
-2O4a5G8WOWG0n4W0YBW94JGM0Y0c042Pb2G2AW904GM0908040O0OYX9y@V5Ey000G6Fu10d
-nC0cPk@O000G10X760A0FF0lW6UO1T0000kfoaWX761Pc9PAjK6UumCym2ApC5Ku33eCCJ02
-8mmOZD00VLGHV1W7p2W7c505z90UgILpK5yCpDcLb04vE186U2GiR0eApmC000Az10000W4W
-K0000GfyB1003WIwP89W4ENo00040xYNHyw9m900ePVRM6tW4oVeaS3U9FXkmP0007000000
-0H0vfP04101G0G4WC04_@t0GW00v@RG8QI00eRPbDF2z7cG4s8bS3oWOZk@n0GE2GYtUyvFC
-TVRG1tI0000AXT34010G00000a001W0012Q0NmR000KWAYDuzD9000GTYZ1BsZqPuF0400O7
-U302004Dj1W000028G00800004HXB90280yBU340W0kek1fod0020000lzNu@GBc6iLE6TbR
-GLv9020W00120800WQyD004G000W001000800hDtW9xD000X0i028400G028002100008200
-XaG0W00G40025000G00100I00120029001G000G_9t08100BFR0eF801002FcbmK@60400vD
-K3_8rWG@JeCV3oKF11002Dwn0W000G0H4W8001000W0W100W00002ew@D004H88W0G101WW0
-2800000280444014302O00We00401W2220002G000P0200820020004800Ge8J33000GvA18
-1040meM9W0100000nPq6W280000001W0mo@J8iS3ohE10G00hWR000W00004000IGY8W45Q5
-jFa0008Wv2C0W40mE@C022040000800qTjb00mPMp4mKKE3W000kRrWLBegL0hoAWrO5_@LY
-@Rru@LH_FLb@32EaNXhuQO96GcXwXz0000gw10W0cuP2w7Ynv@LW_FmxDA_qWQ@D0000Kud6
-yaj400yyg0dX9puv4U6UwsW__P0081GouF00800I01GMO6SMU2lwM10A3WPh3v@V36mRZnUb
-8BB3QFZaLsDOnz4YBN2000mm500MhBaIoXPrS9000K4xV2XeQ0000G0G18VlpmZy6Syk400m
-Cl_xaPyyuQz4gX@X@wDOnU3000200W8W64f0500WLxJ8uU3MlF100a00044000W_lE3W9G0w
-ytW0TJevT30C00A00048G0mrxCi__3XJdmEy6qdi1Hud0W02W2xP08000g00WptC00120000
-80104DoPWY20G001406Y0IXp0011000WX0000004224O00000Kx000PvRW000800G8G00G0G
-G0sMh100m008400c0000GW00Y0WNzJ0WO000200003001000G400B0000300801WgfP8wE3g
-Sd1000A000W90W2HW008uz40020000KW0m0000040G00000OYXt0m0000000G01WW81000mF
-sb@60808100200eG00018a04000CG12000C203G00A20000X006osW7zD0H0002000G10K00
-80G20q0000G1002O0000800ZSN100201010P4Q06W80200100000H40080000G100W000008
-022WEXtWO8DG500Gzr90ki0ug_DkTrWVT3PiYGgZRZp_UeoV9000mp3008Q8sAvLYE_DOurD
-0GSfdX4RrTd000080080tE_Giw6CHg4WP10kRIEC000JjRGRz6C_f7000un500yCuOz8Rmh3
-94uB3LWMHI@C00OGT2nn004Cy@V228000WG100G040Y0mud6008004G0G0_68000OKN901q0
-qruO001W0000G4fK200I0GWIA0410m00f0WI000041KG0041We4mD08000800040WK0000hC
-E10008ndamiaTL@j10X00G0W200G00OX00e01WAvDWe0KW400W1dD00008000GG120Ldc000
-0000l2hNE604W00W0100G0X72100aGaCGW0HKG65Ge02YHn0A42m0K80eX802H0KG8b12000
-a0GG8O00GG40Gu@90sS0uXDp041001000K0X4W401481201m002a0140WW0G2412220X0840
-12YFdXsgJ0000AU10W@G13000YI0000q204WH008H008wb0W01eHeN2C20a610WI0t0m2000
-2pG0I1000WQ1020mPyC00WbyUhYQIrO0aWuE00nTmU0X0@0IY91a41689A_1I4O2u7m489W8
-0J0beW00H030000000u10000m8HQ6yA00S5hP4CpC0GcPuV0KHLL9e7UGGg_WWKL51Tu1Fum
-3gIL7Kbg4KLg2uX3zN100VYDRtxxU3ADcXWtJuzU60rR0i8THfaBnk@9Cxl1LWpGy@6qlV20
-808W080Krl1DiPG_y60001040010008j100pt3pJ_aifF3Hi@GGTI4J56000010W000uFQku
-qwV@XnsP0W20OKy6W8010000012002000Xnd08O5WWlg8scGosR30080TrR02000G080WG00
-6HmW7vJ8Y@AW000SHj1lgp02G100004Y200Qlt0004G01000W022100W0020000mY_C0220m
-Jz6iza7npdGTw6StE60808G000ijN2VrR00eWWhxI001010180W00400800GK0000000GwL6
-_6000W00X00000008G4XRd0W00WK0C8fl4008004068wV3_TZXb@Pe9@40W000001iDV3010
-Gy_l1404400We4mt3G1002vt02200W04GgaF1090800000N20y@l10140G3800000m430004
-0YxnD0008102GWt@D8yT3010GaKW10002MucXdlJOdR30008yqV2LIGoqz9C@k14020000G0
-00e00100000S6100ZmRGX@60010eoV3EetWdyP8xXJY_@400W1H_V2006uv@9vtV30008sJh
-Mfv@GgAC8Ku001000400WwwDObo4A6a100000O40c8zgLSP0100m4N6i2Y11qR0000g02J8p
-V3000HC_79F@gr_bF0001000aC00200180@4OGpaCa5tOpr9Hqd6ya3300000nP0W0002000
-W82008000W002sdCXH@Puao4_qVfcoI0014GW@6qci1W0100001Sei1W008oJm0G0G4NjQ0H
-00WfBJ88Z7G200C4W1Pnv400HAA1040K4e050800000K0e40000W054080000KG10p000W00
-00p8I10000000WK00W0Y40085021XnLV0KG1G@g9a5NK404267r00O8000A0001000e400Y2
-0i0WeO2I0y312o00WfND0PW00001W9MP00009000000WcBDKnLKpy@F380D0u20020K4000G
-a0Da01Q83YG0AW3G8G8W0K4A41G92G6I1YCG3f0000m710000W0e070100009WHksb1W2000
-000X8000W00gVO3AInWODc9CO900200080108000100804G0W0W008G210040001000I01K2
-0200GW008080000O_d7600GW00AWKUmCaYC30212AFYaiuW10Gq2040H008H000O10W01mGW
-5082003100W80PnP0002HX0000s5wD1100vN00G40006000Hj400009080000GI800002103
-000W500C0xX0I00G8a2G0gjy@FI3iPWgL10000yU000op4iLsP8BE30C1x182OABE30KY0ZW
-4161C1SMSC00Gn1000c300S6O7OEOD00WT0y@V20WO200n489080J00Wa00043008c00000C
-z@@I0GGLf20gIb@1WApC31Vu12gQL4CpC8eggegCpm3F0W@1GGm3FW_3000O6Q10yF00000j
-Qf50000KjA0_@lzFfPOOiD0G0000028Ay7Qeb100gXvav1000110G0H0OGxuCCq53f_MKtwC
-al_3W550240cKKiPqTCALUZ4sJWx00GewUG0008203YKWXbXyOO_P0W00iui4NsR000ypmpJ
-00GWGTvCSXl1Pcpm@zC0G10OtpMsn73000100W2Qht040001sR000G0G4040XW0_@t0mlG0@
-@p0GW00I010@@R00W100004W028Ah_am1zOUUC0G004hl1pkp002040044ZxR0000krWC8eV
-3400G0202PLU300002A0000W0mbS6yw86HoNHuzmG0040020mK@6apl1000100014rl10022
-dWBXcfh8fh4oUWdv@P8F7IMptWjgI04j1Gs5yqhfDZzlnN@98000000040G0000GuK300kLF
-XIzDe@nMAH790H00W0008200yWF3TpR00W8o3BV0O00G5xT10800a00mMyRSCV2000Wojt0m
-a2H0200M64cumv1G00uUVFqtV20W80Ajq02000NzR00460000GWJ400005KUP2005000g0aG
-gM0008EtsWR@V04100820WW@O00Y00G020100000YX0080ihc11Uomy_N12000008rCMIqxc
-1Jsd0f0004188WIA0eK0080000m31L@v6GA00G4000f00WFCPu8Ug05800021QzV9cQ9X4_D
-01200G0W0W012000ubF00000002002000C4208000W094045VN0WW00011yzV2X0PGju9000
-02410IEe6000042210000O000800WW0E00000GSdV32ScXSx7hbO6gcr00500001esJr0G00
-00WV00W00q9k1001YkhrW@tMg4LC0GB0iEq30G044281000GIB00GsR6WU00m0iF20004a1R
-0084sB3m030W00f40S0Ij4llM000LULt0w200z_N100O6p0GBQXvPEWB100yq0000000cB30
-000CFJu_Z1030eJ29G0000Wa0OyQ300u600mDmQ0G0t000910006000NQ000W900WR0c000h
-1m10000i7000S00eUVji20000000Wg2mq@9000oOzV3OE00K_l4000ejE00a3@UpqRmnQCS_
-l4006V_@@gffnOHM6sfMYp2OO@@40KP049d4v4lHG@9i@eJXYl1000Ug300Rf@mmvIi@w3pd
-@pxx6S4C39nR0040Xdlh00G6pqk5DrVB0048_7B4A2W21pR02000mdB01hbmER9q_j10500Y
-4tWWTJu_jGsHdaqoD0W000010WgkD000I120100IG00W004013820102G0WA00WjuC0000cm
-2e00K00WW020G110W2A014G028800G107epmWdB1000100040108000G000W040W00000Ge4
-0000aXuC00102G0G00DGG6000IZp000c@0010000096008hS380000010uGQ62fgYsxN2800
-mNy6W000002W018000000WW006kt040000tK1800A0000G00e000O034G020X0000110020m
-00KD_90O00yGVIkGZ7040000204G00WW00m0040000200G00088000mY2008su4001000W0G
-000000242048FVo60u0mfzJ00010004G0000040A00000W8OI00G000W10000G0W0Jdt0041
-03vdmK1f1800W0010W000003G808C001W10G002GG00000mkEW40W18100PG0008W00W820W
-04000G0O400m8C0fDQdQN7ZRFP8w3300000W080000040Y0000aG404004O08000202000W9
-00020004X20006000G8200G0XRpD30C300400GWOC00084GK1G4000X0I10AW20G1000sU5G
-040Y0B1oWe348A60CIWG8600WA09YP414e24c10002401i3c0000100200Y000W1000Y0YX0
-10442HWOG60401OGu010000BI5Y2f4a0W0600840112G00401208Y0000804W20000ZiPUKz
-@B100G428502G904W00000200W800000A10K0008@Jn0h10WZwd000G02000G0WG05000030
-00I1GFWc100018002200BW4C000208080azl1K10004K000ej503000IEWi0I0G0OOIV9040
-0G641GY2W1000WY08841X9m100W1000190200000C0E00420084G1000MO040k100W000002
-00WX00W1YH20HW00W0012WSC000HFdQ004W0G6000002401GW0210G00008000G0X000uX30
-0S@l10080002A05040G04148120H208H00ksUC4400000XGzD0W02T0Hb0000m1080002020
-00W008001X000WcC0020dVR00K00080HA122WW3mA0000Wj0Geqo1mmy8vV300019183G2G6
-CG0000a6a262X0C189XbfH2G2WXv10000008D0iCD0Ycbi400KgI10Wrox20WT000O0_100q
-1W00A012K124e3W103G70K060Cme0800100WtE060038G06060L0C0u0g0W0r1012A000G10
-0W2WA00mO0ygEOcP602Et0GA004KG88aWGGeWWWG909QI0I02@30OP000aC0I1000221XI04
-15aW2A189OG22GGI30BJ30Mc6M200fxvqr_FCFs9XmtL9@2X0080000lT00Wz@Duc7300010
-100uJy4UuUZunPuxtk00Cy_aU53rHImRC4zx9X0@GFmXaTk101P0wR@11G00F8JLunIC4k10
-00WIatWvwCOfQ300m4CAl10W02000GPS00040HmPy90008uoQ6_y5cvaz8Qh4W0204MO2jbP
-mHF60a20G0W00082ehmCW0010G00000O@0940440000I08hD300G1aSk15vRGNpFStyIjwdm
-bhCKjl10008gMF120000sT0MBtWMVD00000181WC_P86W4QAibnUnerS30814CxU2G01G000
-08001000m000K00824000C0e820000001810e000004W7G10We4000GOpR3000GasBFDGs20
-01WhUDupT96htW2rD00ms_jz60G04ekV30200SJl14004kdt08000LYZ4220000K02000m10
-08002ww430044_dl10002Q_C18008RzdWWe1W1_J0200mGJ600m2W80000W0H00W860006Qs
-90000WG80000W0002ewV3AAd104W004000O00qYC3q7G06@t004000040hzm000200200wxt
-02008TPWKM26y@l1I00W0G00W10001040WG00020GP@d0014WE@DebV300Oe20G0G0000400
-20G009wpmK_64Xk1RPmpOvF00X00H0A1W0W0W00GG101801000W0wlV30004002GW0000080
-1002GVOd0u2Y801i000000W88000028W040080004800Y00802100G43400W00WmuB2008m6
-Y6000A001G0G4W02448WQ0080550201O5_40240240200G00008020000008f44G000000X0
-000O00GG0400001122G1O4040YXY00000W0Y400002i1OHdmR0eWI0820020A08408000W24
-G4100GAI102W4008502H80010IX84002W8bK0G9b0000000XYK061H000DAL20G9b0WKI1WI
-81WW8rzc6GA000aG20148WzuHYe000082000G10004000O00682008AH13041280mmG00KW0
-0Cm006H4GAmGQAg00140Men00S88G0C0000004Y001400G010098Wa248oat000G8210G020
-08320u@VX000e4BR28G410X44000408GG00808W8WN0mWS0GW8Im0WXG040X3e8W0B400X0D
-2811000pD210n1K1104W428GC20GW00011G820888WI1000200086_lVKWG0000200G00040
-500100GA400000W00G0G0YK0W09W002G01402W4000f100G08G0000BReG0080K8100G001G
-0004W00801GG20028s_nWlzT2Y0000000m20W02mG0B882003100W80148041z20Gm0uBqB0
-404Xl0W8G4G0260f0OWN000047zY0H01uB08041C60300O8w5w30002eN082008001W8GK80
-040S8VVa4K84AWW4KmW0fW19803XGIGLL42X0uV0Yq3F4j@08QPcHq_3W@z70pOc3_70H@F0
-Y_G000mCHLez70JAymKr1ubfC3R6CFzm6Uwm1@aXBif3NOF4kmU0UXDymcy1u9Tu0KllG00u
-20005WBWJGM0d8W1EH0NGY0k0k0_1P1u3y3u9k7mJ4SWY8uE81mTWCWxWz0F503a8u000e04
-G92i2I4S7mKuEOFmCWVW9nv05YX2A02x004s1iBa1C7e3G6G1WCW2WG00Wxz5Ygg000KP6p8
-u@0GGLDZW7w01@30pSe3ggAPyF04yX78Ocg2m3FWW7U0LLL5LLr1ggA1LLL2wG000WJK51@3
-02cP6gApCym30uX74agg2e@A5Gqi4WOQ6j000F0U00Opwn_Ss3y300jc@MYpIa5c1vEZ10WU
-bnx8vdR9w2Fg@ft0yl3mAVXS4cJJmRm9sCS3l70008WB00q5_RRvnmSu6Kuj4top000YgElb
-uUT6U2tWEN9PFT3AZdd7kI8KQ3s@F1GJ60@@RG7S6amD3lyZnO@IanMEHa@GD@90024u_@40
-00kapY100022RtWbuCeoVIQ@lYEkRff@4wwtWwyVOiy4000dFnl7PF0JnbS6K0e1Ay@V4@td
-n@xXyVUA@V7p@rvy@SG@7dq@lHzVm@N1WS800u02E1000W370000a31S00GuC50AY2G2Wm90
-RWYHr@6000mfO03I5Gby1I00000E00Whm_lAj@dYx@ey_@9m@RIqFnQF0FD_9000Ct300LxI
-LD@B1WLmxlrVQBOCUzC03@t2600WzU1x8KQ10OgVFHZJ_N1mA1W8Xf2100GLz600048pP3I1
-WYe0E1a50Gg0OaF0FlpYQa29a205H1W2000000Auf2WnwuOaF09xT@mbt6a205H1G20WHbK1
-muCyAo7u40G20@@pG706a205H1SIC0O4@V5v3S2044W@@Pe303I1WYe0E960CY@lYK1KPhv@
-pg_@hk@lgy@dQ@@q@z0W10000CWE0O0W10OA0K45m9f2iHx0FaFWA2a0GG48120001HYG000
-8G482109m0J1WYe0E1000952aWK1g0H0100004410000W0H0000802CVWJ00H000H0u@@4w0
-mWK0e8AWJg0GXK1OW80a3d000Wn90@@RG706WJ08AL0L_@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@3w@lW@@@@@@@@@@@@@@@@@Jp@lqz@7j@@G@p4SV2m@@g00W08P@wyD004_lAW00
-0ks_@gn@VQz@Zc@@dz@@@@@@@@@@@@@@@@VL@@Mv@VL@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@dJ4000@@dZ000WWcJuSPjY6WXa2u10W1m@@@@@VH000ulhU60200@
-@@Vs3@@@@@@@@Vsz@Vz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FC@@1x@@nz@Ny@@ht@Vw@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lJ0000@@790Z00@@pFG00W@@B20GZq@@@NIHH0
-00e@@@V0040@@N4W14W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vf10
-08yBdJ_100_@@J04hz@@@@@@S2080u@@b00KV@@FL4000_@V9Gz70@@J5000gM6MAEoYoU8M
-0W200050_@@@7SB20012000000G0@@N400Sj@@p7m40GRF81000q100m3k@@@VH0QI0_@@@@
-@@@@@@@@@@Ts@Fd_@lv@@Jz@V2@@@@@@@@@VVq610000eL0u@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@Ix@Vq@@BA@@Xs@Fe_@@v@@un@@Tz@Rd@@rz@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@Bk@@X@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@r5400
-m@@2100W6m00m@@y30G0u@@Y0CG0y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vb@@5t
-@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fs0W0
-0_@F7000WK50000000002u@@So3W48000@@d30WKz614Au0U_@tO0004K100_@@700W00000
-000Wy@F@0V90_@7f85k2000lm00Wi7Aw@@@@@@@@@@@@@@@@t600Wxl6XqqCQbKGH00G0k5w
-@@@B5uJ1mOFY_@lA8FyWGAG1XYYq2A1u5X4X8WP01yW0IDTM400Wm0X7HZ20200GW10WWp@@
-VF00U100e280G_30WWNb010080W30600400W80001000o@30a80a2W1@@75mk7WYZ42030m@
-@6006Dv@@@@@@@@@dKE400S0000000m100000vW0E008S2m@@y008Ow@@@@@@@BBX4090mkb
-5z@FL000v0w30000We0HE1WiWw1000000w@@KT@O2800W_@N80T70@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@lG0020wrle@@D0ah3mG_@dT_@Nl@Vrz@Fz@@@@@@@@@@@@@zr
-@@U@@7S_@@k@Vlz@lx@@@@@Vxz@l_Fj00u7QFiY44Bw@@7QLq080002000AMi5m760@@d00G
-WW02uv@VF0002q0W100W0o0mW@@F10003t00W41IW010Gf0Fy@@9f3qoqx@7T@@F@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dg@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@VLmts000WM800m@@Z1020u@@S00ms_@lP2000AxE7GS90@@@@@@@Fz_@Ht@@p@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@t4_yzYp0mC0W@@x208G0W00W@@v1000DA00W@@xY08Gu@@sqBU200K9@@@@@@@lB_@t
-o@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FJ00G0fhz3n10W@@@@@V3G000y@FF0MK0_@@@@
-@D0800m@@y00Wbw@VgW800y@@IW0406e0i85_1000fs10W@@Z2001m@@B10W_y7bF10000Ov
-18U4E1000000YBD3g_@@1G000@@p30WMw@@xwcYY0DX0aC3O00G0o7v7s2003k0sfG@aK0OW
-I00Ucv700000mF0_@lBW00HrCz30002U200JuU5000000e0W100_@@700CWbZq5500W@@52m
-O2mQKQ10002PI0W1GQaKX_fOu4_@VU00o00002830089000m00m@@y02f0u@@@p2Fd@@P000
-pImvY26u188T60000200WuO7OoL7ZqlJe8EU000mZR00eOE600800080u9DOo8uX@@Bb0100
-0000140000000O00q8sF0kS0QjYAG000xwdmEJLCsaA000WL200arpL0OW0000000828RlV0
-0Kwz@FL0940UYpZxuQ1Gw3mFXQ10H0O8bYm500KGvL000WW80Wy@lG08_Kdo4i_H@100008h
-2W@@T20H04000uNz4w@V6m900y@lJ4X000G80Cge10009UAK800LwNUI590W0000000eP_@l
-80pD0@@x40a200002200f0009830a200GOkd25QMK0100000800G0004140010000H@@@300
-w@GuY26I0000A28I000W10002G0400uwpV0Cf0aFQKW00041G2qHf1G000gF_7000qq400_@
-t9qF112XzN00G000060000Y00000q20@@p30WWi@@f20cd10000ymJB0000m3F0aKmF0030_
-@FAWV000t0@000h1030000C6000i@@v1000eN00W@@rY70000W@10u10pm5EGT1WY5m8oSXw
-CFXMpp10040000QF300veZHqtR08008W0Fgrp004W0rh@30G0000PBTzX1W00WKtz8uF3Y_h
-e@@z0uC0m@@pSSx6004000G101WW0001000C00010W100002WKN83BJdm409yEj1tJR000HW
-cnDuBJ30000gD0000000W02WWgVOhw4Mh_XZoD0G00GLv900G00000oTv9Sgl1000400GX0G
-400008mYT6Kk@3pxNn6@L00Gxxu29sxNYQ0aOtV60021C_F30G00WG0W02001WK0000020W3
-04W00oNFXXND0800mge6aoU202006KmWq@b04o00000000290000000aCZF3HAmmJ_C08200
-001GM0CW0208I138000SiG2400000m3ynz3pSJ200IWqnDu6L3000mG400emye00W00G00Ou
-QX_@t000Co@@BgOj7W@@T204100820490HFm8KWz9uE00eP@eGa00qfvI00oVIsFA20eK00H
-0kxV90j70Bxx40Y000W0E0Oc80008cTHH000Wn100y@lJW220Uks004011_N40WWtowf2S00
-0G00080803_N4e67W@@T20XW80G4W_MDeSTX23tWeLAw@V6098H002002000000f0@B20GDO
-I1H90000WC0GsZ6CuVH0W20_@FA000Wag50000bgK00eNNaQ0CA400e4W800WA04uVH00mR_
-@t900Wb0acb0moqmI00Wbf1mgxkUST2BYR04G0WLibekwA000Ww900e4sY6@d11eG0080040
-21aRE3Jvd0008000104000gvkYofDGG80G_@900WdDAT9W0G0qc_90002oxK200G0O010400
-1qTj1nXB122000G03jiNHwT9S@F3Ws80w6@100W0bch20G0W0XEv9U6IJFaKrP0000t7iC4Z
-_3pxc3W020W0080L402itWslC00W80002400082040M5p00042j3RGXw6aqk10G8100000HG
-087K300W000uEzqB600W0G0105W200W04f3oV8_B3000100K1Oey4AAtWLmOWW0W0808010G
-GW0W00I000000W0W400000GWI000000280000010400G0WWPnD000G000aWquD004020004W
-010WW00_@d1G00000WG004G000GH010HDw6yOW1W0800280200Y0010W000G00G00040cdZ1
-040808WG40G0anC32W00009400800020120800000WW800000G22000003O000W088200010
-200400220000G000500xdd00W0uvpP85D34C0000A008W0004a0402000011000W8O00G002
-0102m0400W40YEm00G000402ood1a0304W22gLZ14011NxP0X0280B407IR000G00020VlPG
-c@6alV20204Q0mWpxC0000004G400222480o@F100d9PFy0100O0A00jp@00000m000b@R00
-26WCubu@@480040800O3_700W0m4X300G00W22080100040Yil50vB07_x400q200I0000W0
-0028100AZR308000025W018G9dp00029OFXUzK24000BX@GV660000GW000000010W1t_h20
-WyyazZ2000402400I00B0o0GG00000400W0X1000000X000Ok@g0231OPVd00240H09G112C
-0000K04GPnR00KK3eYG08Ga8Wn4Z010008140AG4WyZLHS00Gp_E1GK10AW010GG00W12VmQ
-0000O01Y0a0Y01000080040020018WR@L10GbTqrH100859000100I040X4G0400f0000m40
-00qbu6000WACJ6A_d40Y00Bxx4001C0408000G0G800B001800Go_9Cy93@StoBw2zy@3400
-G00C08010G00W081m1e5420083gknWxuD8WVO004u4OVK0G0000W2H280208G0D0440W0000
-W0000G00019iO3Qt760a90Bxx408A00082S0W800WG040Y0HX0000m1WK300WBK00104Jh10
-040m480qLp6nP@WG10WczToCHVe3YMLgCpXEuV0Sm@08Q0_7q7g00FK50gQ6_200yQ10iwFC
-00QfJst9000e24q148e3G7G6WEWE0P05WtzD0W100mF0302060W0400080000EtVL0CZ0iil
-J0GG1H0I02aW220044110W@@DmC0kZ80SIJKLcKfg7CHtH0mwB0WJ2Gpbg8A00eSSmw@DXRn
-9fOy7W80000udexRC2ytccnbW0G0G9o6S8k108G800000Y00uHy7g@MYCmD0m000Oe0WXWnu
-AM9QK@X5eEfNuJ0030iK_3001000H02000Wk00GdvL00088sT9IU_XRs9vUz4W0080000000
-W2010WxFDuZTI00G000WRy3Ua6PFXDkC0120GUz6G0G0W000480400204000G180000G200W
-000228A0042000080AyKl19E8HGz60UX18yQ304G4y@l1004W_htWVyDG400mL_9SQV20000
-hpEXR1OeuA30WG00g000Gm000800mG412G000G14e040G040004W00G00802YJxs0W0000W8
-8E8E101G01upGDt9e30000000004411302100000G00G0000018018G01020W0kCBXvwD8D_
-A01G0ChV28000C10IH8144m100000udsC090A02WW00200W1G0000W84G11000YGG0200002
-460C00080000C00OP_90014uSH300000006x@V30CCqG6044000000140300004e086W0808
-00J0mcI6SoN2W000_8d10030000WoYtWL_De2@40008000Gm0WW010011W8000W000010102
-uc230020G00WO@UI0Fl0CVXA3s@GJwL0W15G0H0000W010W4e00800010008060W0G000022
-Y000008C800G4fY4329@4k2001D6oq@j004000G08830WFSD8aV300G4C1X1040000GIA040
-eQ0L0GaNy@l4000HI0WW70CubTO0040G0110100nY_C0080OhP3gOd100103EJ2000Ow100r
-hxqE5680W0v3L3gjt00100N3RW8Y0WuGPuzSI00uMa3lJ00Wf00Y110OK0A0W08440O000m0
-004W00X442W0012I040004G4071QktWPMF10G2mC@ISIk1rYUIi@F000AYGK026WI2H00J1D
-R08002G41042W00000G0P410G0200P42CG0VScmXiU000WYt00m@@L00E0en@S0WW4y@l100
-A000W0000W21c100I00IP60Y00085K0e12140G10p000W00K00WIV_t300ti@@l1e00WJsc1
-W000Z02W3QJOsy4000W0000haI6Ak@4Wv7035VL0b9W080WH18008W0208AG8W28086X2000
-3000Fa100040G100Y5t3000K6500_@l20e00dapJFi9W000G04002W00G0800W0W00082008
-008200J0000000021o1E4004LXLfIk@dqjE3004008GK86Oh1Y00eK0W0820W02020RD0280
-R1i0W80GCaH091ud0mp0L000OI800mlxp00136421C84A800G813cW80y550uXKPtNKGLp8Z
-kZ0WLD30F4WHyLHz00Gp_E1m01K012e0m0G0W1W80104OI091W1IY0NW41@0S1S1o2e3m5G9
-ABWIau0b8W90H020J00WWU_t300CA39Z108cWcvCOp@M0004IG2GYWG8aG098XG80I0I0LLL
-2wlP4agg8eCpO67UGLgIbPCZW@301LLL2_700yG7K500WPcZ_t3W@10@@B10U0G7U0WoCZ70
-00p4uC3VyZqsij0000E6kAQcHYWDRfatA23tWPoJebB32YpW2qt0000000Lhdgh0000W088W
-xrC8bU3YklbDlzecQ32QoWBrnubT60Wl0qul4XXRGrr6y@l1t7gIOuXi2F3G0G0YcX4t300z
-0ZHtS6CDl1Hbg20GW000818000H00G0000e103oYA10041800W0300aWj12000_6q014001r
-dGB06a@V200qK@8@X3vCe0@4wU630100TYd0080WwvU00003420Y8oDOgG34G001aW00K0W0
-W0000001Jad008000C00Lsp094GWDwCeL_40Y20000100G01000080040800004G000W00G0
-080201014PsR0800YUpVu2z4000GSz_340088WC4W2H014000040012200002HW4GGG00502
-0A2400W050WG00Uqt01000A0004028K6T2G8W0G408qpV20000v400080008W0000W000208
-10O02G000G00800201W0W02W0100EhpWMRPuJR3outW9mDuYy4E3ca22O8KY4Iz7300HRHmH
-oHFjixF6W02004H00080G0W000020616WOq0G4W10K2P8W590Q_5cdXoX020004H420080G4
-0008010G0004Gn2oX000Yrj00G1fW1000G010G2bI00WWOuSI00uvcKmOHzQmQeF004I000W
-oHya0Sm1OIXn4H0000108TT3480H0W00W08G24Ka104I1e000AAr3000yC300wUOC0410Y0G
-04GW82H4100044018GG41m016EG1G1jjV800o8kMLbE_v100aGLu6000W200090I1W@@D00I
-1Gw_X0un1ePk4wpOikuI8HS601K04Ol7e700QpmijMDu@V3kRr000025h_Gu@L00eukOSOYY
-BdBpPu3O3I1m000YWH@x1eW5WaZdvAkPISBXmmD0JX0YQ000Cr209YRGywU86G00000qvz6q
-LmO@@d00W1G7c1WQiXV0007v800ew@D004WauYP9kP0010XmmD000GC000G10WvE03000604
-zl7W8O0000000W9ekLUIzF4A0OtG0mCTmNPIbPUG3tH40tO30su00ymC0uEYOU008IXGe100
-qiVKhV@GsqLa1jA00gmg@Eau7X1004Gsp9Kqj7h2bmDv6iil1JbpGYu64GQ2RaR0010WE_JO
-eS3s96ZqpJu_S90000c@j1nY7obuX010000001t00WkbJez53khwaa2sOTPIIst002000200
-EUtWrsJOyz700O0yVf7DcIIf@F0800fRz40080W000W0000W0000080W00094W0KwU2LlpW0
-0000040008Wo9pWw@P0492mVx64lg10G02cd@11X0G@@p0800e5vDOsq40010qAF300WG2mt
-0011001012_s0010001000G0004000010q3b6aHl10088WG00i0U29rRW008WTvPOr93004G
-4Vl101000140020W0400180800408JBd0080WCWD0010mA460020evK340G0CLl1000400D0
-040400G08G0020400eG00001000G040008204GG82WrcRG@i90GG8W00000200W00CxlP000
-WWmqDu@V300axy@l1014H0Y00000aW0002G00800480G8O0010GWW32840G5z900W1W80002
-010G000XXR000X100W00500EwtWE@VujV3ck@10200rtRG@_L080086@70uS048bDPNmm9QW
-n600epV900WH8240el7swzV304jsfsYnOIUqF4R0ZT0I0Keo5gRUS3kXaXq2W400820G4nZu
-DOb221Wz0y@l400WW100CccnX000uo4R30850XXFJ8_H10m4hiQFscJYJIa3OQ0GutOW1G18
-Va3f7004@z60041kS9G00sSlaNHiSCCTcMlqpJqrWrCFCG600sylYOvEfOrn65sW@@b0W@10
-0LL5@300ggA0617iA@31Gd0Gt@F0804eah7sozgf9F10002M10WQrZA1OIUdN500ti579Qe3
-f1G000002Glap0000ne00m5qI0040uYS3MQFX_vDO7V300024bV2JpR0004W4HDeF@4000W4
-@U5XrBnGpX00Ojk0x7QwlYdzU0000KzyOacV200208000qp730008_wJYCU91m02GpVF42k1
-xB_Gwz6CRU24020gwtWV@D8wy4sQbXt4hOKxP000GfU00uzR9_vNYIQV8vR9G100CwzL00EO
-M19drNJJ4H2my4R43tXW5006W9dhnD3000Q9_Fi36XBz@0807Wt_P000eyPTGczGf00w3_@d
-108b00aW80G20yKOZW0M0A7d1W02002C8Ut@gVtj1000hy00W_jO00044881W9frgq@P00ev
-@@F380800008aKmLR_d3GJ2WBaPWGaT30E0Bl000nxRMFdG_zl1XVFJ0f90004n000W3a100
-i700mS0sv2_ajJ8C4R000GO200u@@h40000100e5V6wytWK@X100iJ2uFKjV20020cIX700G
-0BPRGT@9iFl17qF3ef3Wz@1Rkz4_yt6000qu000YKe2000WV3AKPtFqCV2Dap000W2010G@@
-p000248200pqd00W1s0yzeJGL0200KTl4xmvnKz9qKs600000MM0SeF31c@mD2USU99njdGW
-xCa2m344800500KaU50000000WBM0WOzUC0200iDdD@wZns_9aze7000W_wC1004000MC3A2
-fquDOk2XYzN2W440dM@9008sWch8go@VwKN0008zlY10002K1005a_@@@d00e000G2mg@O02
-01um98N@@1000Cg6006@@G0e00X@N10W0eGS@@lfM0G0094008xXAa800KBy@VIh20G000GC
-0Z@N1O25WXc@FewOtPMYYuE1qj3GW0yaHNBhI@GU@daKGHF43PQTpS4U8Dn72Wz5WGHPxVrP
-000mn1008QrVIAJb1pnepVF00aetIdDXxxKq@U04i08ustIzlwOuWyM_A0pg0iNt@b_G_@@6
-0ar1OiU8JqtWmfJ0000ep00WG0dCkR600a76@Fa5EB1G_1WofpCry4U@lH0K000006YPz100
-pfvU_@@@sGa10uwU6000W2E00e9r@lGh8WeF0DYaMNRga8F3000WGE00y5ZMTjbp4sC00W8P
-z6@_@d4me70DnnMcuL4kW7000WOC00SZk1lYR00008000W000G6k_XrqPeAwGsGFXTzDei_7
-osNY4_D8ZV3000G000W0010W000WU_D00Gx@pS81200ew@7_7FXcrDu6_4_0@XyuJeVT300G
-0KaG2XiR0ma4WZvDOAW7YrUZ8wh8UT6Iv_aHuJ8NZA_ZF1000yK300wIN2000GPFiHDs@F3_
-@ne@@By@tY@@@@@VK_@@@@@jq@@BtBjpD3tSZ1000im7D8EP62nAXa9lg9yA6QhYjkD0001U
-xuLqJT8v3SIFSIatTBpFQ00g2WApjfcE9QGNbOxIOVo40001aT76000Oe000Czy3lhPm_p21
-020OMz400040008O6S3UnCXww8100000WtpRnbuMJ38810C2G2X2eo@@Fyxc1vPSoezO0k@1
-ux_GsnFXFEgursD0G02CV038001YKuXxRDOUz46Ol200WJc000_PtWy3VucX4sRdX6tD8pTF
-wiFA006nHDY1900WeVJ8xXS2Pdab_Je0X70000_tl1WJ00M7cXO0C0000OfaRC@l1bJMHuk9
-0100uE@463OZ@@91000ie10WZBtue@4EBmZzdJONZSQsyXJSP00WbokeNTND3Z6@GAl6CRlA
-0SA0_3DauDyeORI0020Cli1d1R0G4000W000200sOc12000B_aGUf9000G0000YE10WMJVun
-P30008qvS20G00kTs02400Dkpmtp6aA03XK8HLgIq2_3Pgk1G4000000004Stb_1WG00TOR0
-10GWHvhOPU300G2yFV5TySo6f6SIw30810Q0mWNkV0G60GCcdySw30400UgqC00041ddm@@F
-00W1eV@@@@dpMrDuGAL_@tWScCODSE100wVnE3xKm0000msgQ9hVj0z_0SSb40001EkIey_H
-2000iy100000XG200000G82000000X8849I42YGWGaI18X@u@@u@w00W1KP13T0OGA0K45m9
-20000cs0EqE300m00100A0030080uqq94DGB28G202HW8G000849220001IW880a0J1WYe0E
-HW0008@9G402H0000008410008L0X48a41WW4H200002IG0000Wa811W89a205H1SY4000WH
-6a82WW02d00002270000Wa8U2W8I0IY0GUY8100001vPg0pqDz@RR@Vsu@Vj_@Lp@@q_@7px
-UVaso@@L00Wn@@Vjw4LY2IDu@VO0DU0y@@@b9Io@@X00Wpx@@3xWU3m4C0NKSLmn9aJBCv1y
-GGT9aAW4H3KHK0danD9vAh20WVl2A0RPx4s1@X0IV0800G7fFCqT20cP0od6ZGgh8AWJM2dX
-UKPeG3Io3u1000KU000I5eYe1g8AWJ000ay@F3T0OG1vC4GQ59bRGU0I00828L0CI1WYe0E1
-008u@@Cq1W3@@7IU0OaAW4H3KHK0dy@@@pj_VSj@@sx@j5@V0fv4jx3Tx_GA09aNg4fcIL0f
-O08008Gq400001W09850A28jYO0g8wKU00H000H0u@@4G400G40oXJ000mu4W@@De303mPEE
-b2W4v18Hb0643G5f0GHK0dSuz@5c@@Wx@7O@@@z@@lv@tx_@xs@Vk@@@k_@jp@@w_@@@@@@@
-@@@@@@@@@@@@@@@@tX@@pF@Vyr@@_z@jd@@wx@dU@@d@@@@@@@@@@@@@@@@@Vy_@5t@@m@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@Fy0800_@F4W8E095Gw@@@@@V80004_@730040@@R000qr@@V3000u@@m0u50u@@t00
-80y@F9HAa0000E7300nUy@@@@@@@@@@@@@@gZ000O85IY2u1G8007pysrHa450395ys@@@Fs
-3Up_O0000E7000@@x408FS1HAG2YYYe5A1mBX41HWP02yW0aETM800WW1X7Xc20200G030W0
-d30vH1S20W8W@@T2000k2008580Gy70W0Vb01008u0W1000400W80001000oV70a8f0OGK0d
-00008fWe_@tWkGg000Cm@@6000Oa900GK0d0000J100m@@@@@@@@@@@@@@@@@@@@FC000A_2
-005KnG_Wcz@Fpa5q7000000G1u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VB@
-@ZF@@tt@lz_@N@@@@@@@@@@@@@@Hx@Fq@@VF@@st@Vz_@J@@@@@@@@@@@@NQ8000@@Z1G21W
-@@@@@VL0W00y@F600cmoX@@Ry@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@ho@@@@@Fu@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V@W000y@F60940_@dG020095iX400W@@340
-002040W@@n000Wo@@@FHnF@@R00006_000nJSu@@O00WRu@Vs00G04fmC0VM0_@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@WuA2000ZH00W8wI3000o@@p000Tx@@e0004a5MEv1K1GR0
-W@@p702000008002GJcLnv39i2N8000000eSt@MT5nr2090W@@r2G00oI66ibX4zPe2000O9
-200@@75G00WS4tumE64000y@V800ye_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FOcm@@z00
-GBISv_1008w@@G0S00y@FOHnj100000W80HA42110W@@JBcCR00mXU_EO0280AksWchhu@VI
-0y20y@lJ08W0EptWjoC00002G004G00G0100028Wy@l100200040CujAy600_@t94G00N5Q0
-0800G000006O00W0100X10001OW0WJ5JeLHL00aL5O@@@z@VNy@lb@@@@@@@@@@@@@@@@@@@
-@@@@@@@hE000Mx200Zenp@@Z10GtPglY_@@sMrO8CS30001amTB@@d0J10W9klgpS6008G4N
-03Fsr20W0v@@l20G0uPzC06G08PV6khJ500000mI0sfaji7c10001S00Wom@VCz@3Z@@ly@@
-@@@@@@@@@@@@@@@@@@@@1RowG6zAa4YLP@Qy008__@FOhbxnAxa04F0u@Vx10W2y@F300400
-0e0q5kD0000004x@@@Lfpd08W0WZmDe20323V6Gc30@@J500GWoBI08002000OW008024023
-t6o100PF0MZ@@008WBry@FT@@Ix@Vq@@@@@@@@@@@@@@@@@@@@@@@@hXJbQfD8OQC0Zk0y@V
-W2000wR_XywUW_00m@@s7Qj10080Itk2000e@@t2Ws0W@@x2800K4SCSmTB@@R0000wa2eAO
-Y4000m00010000Kv4Uy@l700I0_@NE000mzeFJQu@Vc_@bn@@O_@@@@@@@@@@@@@@@@@@@@@
-@DElc4Wv30_@Nncfh0000Z210W35Qy3R9000QkAn@7GWa700Wvwewnk7_@d700P2@@7rNvFy
-LtC95CsC15b083U600A3@@nq@FC_@@o@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Di@F3y
-@lG@@Au@V2@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lVxPYw_4Wb00@@@VyyP_vF6L1eIs3@t
-Z_@xm@VE_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@mW000O1W2_@F1004800000Xm0y@@@H
-Ay30004W0000021_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@R10008004W@@h0480G
-vqV200WXN00m@@@Vy097UdmYtO06B0etDg_@t30800Xmz0400Wdnn0000QI1Hz@@62000A1G
-Y@@310Wlm@@Hru665B8HfU6ygW1Vjl17w5W@@bxn092LO3000I0500_@dm@5m0200000yfT5
-@40000Gr5Wbz@VZILIlV3000AfEeOO9O0mj0OPV210G0CFf40002wUn0a000xZgOvGL000V@
-@@3BfO3mq90@@@@@@@@@@R0008BHoWMdg00001610WHX94020004In@@h00Wqt@@_100G420
-00W0G2X0H484P0_@l20y60@@@@BMjSa26000_@@VF00O0@@d00W0WE0Oe703A2m0WqD0@@l7
-G24000004X000000G420HW00m@@604Y00000W09GaK0Iu@Vda31007Gu40000Su0000GE8W3
-002dva205800S8I20000mXW0000oX0U2W8I000_@t00440000009H220HI0000ZjoXWK1K9V
-0I_@@@@@@@@@@@@790W00H_p3000Oo000@@@@acd4zl7WMM0_@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@Ry@VnibkCp0G000vJA100IW@@F1Gr0mH@R4Qf4lzdGroRW00000410I00Wb
-@J02000004YyrC0001G_@600G0uZD3E5W1000W0008Z@F10402000eO2W0its30004o1mW01
-guA@4_A8Xc4IeA09AKmWL5O8BWDMG0300uGd9toZoCSgW1pA@00002040000W08GW04kV202
-000000cel10000tVm0000WlsXHOz90008020000J7Y85gW010mI2ICzW1Hl5Y000Yt@DuVU3
-_@F10080040008W20001W000GR4CC6U20000RpqWkUD000400006h200PVQ00140000140G0
-QwtWu9C8y2308000010ulV3880002140000080G0300G0W00G410W0Y000000W00A0000015
-G0200040840W0WG2140020004KW288060006W00G0004GA0004H02800410veU3004WW408W
-000mf_60440W00W00u0WZzD00GdD000G000G00800000108W00W0qC6684030000Z804GW22
-00100800W00G0040010W10WG0e0000005W020Y004001040W08404K000000GGW0W8280102
-0W20a00908400G080WG08800K00W0042010W1Y7000WA28010G0010B6Q0Ge8G0WG0Xkc040
-8WorDOe330P5000G0000212W0G00004W88c2n0008W0I0000C21KG06W02100G00080840W0
-0D00A0XW203000408X8G0G80W008040W28W02O0200208W002_@F10003@@R028004000006
-0YbDXvAIO_V90204100001082000040G0000uSA000000001010000G0080000011004008h
-z7MRaXlvD000G0100808004I008W004JU5000nW020q_E30040UgmW5JI000W0G0CWdGU8A0
-3_@t000xZNYaGg860810G000IQ16y@l18040ob@1200001I0809002G28e53UDnWiMI0W00G
-k56Kph13AO00W1000010100I@t0W8000010_Tn000080X00o@mWpNIuYb4CuV0aUI2FQO008
-2G000080820080SsE32000Aps0000C200080103000W040qTn6axI20W1G00110000W1a101
-0W04000a000YQmWl@Ju1U30004G0000060sBy600040004GK06qu030000b910yRl1CWC004
-40KTl1xra0040YBRC8LY40W1aX0000040mH56qMi15BRGG4FaIF30W0WUrt30W00xBO0W00W
-9LCOiY4004EY000eKS30004ilW1e000W800qr@6dYMnpe60002m00G0I0000m0n0G6W9GGA8
-0f010W00606000a00004050000GGO_V3G000040O0m802O40meNC01C0CW00WJfDW001mrv6
-0qOG010m000010m0n4G10G0W0A0DG50GH4606W@@D0K00GM0601108A03GC0Y04224X08190
-04Km0mx0P00W0040C0rBO002002e0000114m00GG80G080mgG6SBa10W0egFr000G06008YY
-m0004120u00080zCZ100W00A00SHa1000Oqj000000W02000G00mCA0K1800Y00qlg1WX000
-G000020CCx4Y2m02WXY0G8WWG81CCa100G000010400004500000aW000WO00G90000000bG
-24rq94g40TRRG_x6C_Z1Jmp000W04GWMS00bG01A4Ao3000000mjW4000003Gg09e05KWW21
-m@@I00I08A06Go0AG1052004Gyp9iAh100WWW000840088930OG0000I11000GK0WGmV0GG0
-G3j900W020004480G00W2@@B1OMFeJNO0W11029i4C0044H12_@@Xe0aG3C000G0WPyb86gJ
-_@@4000OY000wMA10210@@x11002W000HfRGWJ9y@@3PDLna@y00mol_N38400000WuF93C0
-004sF600a0000006W00000GQ06C9i70003000Cw5GDu5d4_a2Ze0aO@@40e30SKC3f2iHK0X
-y@l10eMhfD0MBRjwKsQrgMjgLjMD00WE000N00WMjMsWPjivgJPpLhQLhMrQrr65m3@@RGK0
-I000WGOrQTg0saF065cnmoB9irb4TUbmtP6yvr37ePGjp600m0fwv42lt0G400fFNHHO9Cec
-1bXP00W0XceDOP930040ivl10204_@t0014G000WgZ9XKfXfr730@v04tc10048_@t00I00r
-KRGeR6W000eO06000WKE038000YiE100810100xq7ZB@tOHC3Q6uX8vC00G400000e200x1P
-08420000000403DxXLrU00m0moQIyFa1W00O0040020000G0K0t600GX0W000801YSkbeMQL
-00alcVt30G04G008izj4XIzGMU600K80000GIA6ylG2000G02001800e783008G840000G08
-000aS8C08G0HL9FiZa100000WW00G00000108800028WJvP0ex6XY_C000012G000050A00e
-402000a1000010XYG12022004@sp0010000100WY0EON201G0kip000KG00000CW000G000W
-0GpV60400vxF3kCAXMBUu226scAXR@C0W02801020200000GL0G1221800060Ga0mq@C0X00
-8GGW000100420804G00001810501WG00084G00120a002008G02Q8W00020G02008WWq@Y1H
-4Q08000W22W028000002801CBD308000G008eG3EnJ2000W800WYKmWNCC00012G01A00C80
-00000eg11I002000W80Ge8K820000m0W00Gm001W8G008011200K0W0Y020W110W008W0110
-K408G1W800244Z1010001H2W0080120oTm00W14V7MH5O9000P8BD3IYAX@4U8xm40e00SRX
-100000jSG0GG0uqm400m00040M00G00020000W00OP4000000ueXU3YQq00W10lqp0020000
-08huPmaZ6qKL2GG2004G0G00000G4GdXICNd11vbG3a600080005GQxFOT008NH6020000W0
-00040840WBUm00020040000420000404C4sL2TwR0240WGuD0180G1b600001240KmM600O0
-OcI300GWi6V2W000082108100802mWb60W000020mXb60W1xASo70G04m8000m40qDY90100
-G000G3z6aHV201W0G00002888io4__tWU2C8VC300808000eDp4k4CXPxP040O0m00Wmaa8y
-F3Ysq0Wkc1XcbG8_9CbP2NLo0200060000003G6101W08uo56MmqWW8b0W01miU6Sx93000W
-drxX93Ou_p4owC1000qi5000G00arl1WW0400C0q3g13YQ0000mNNIOFK30001q6e1fIQmRc
-FiCX180G0000CWO060W40CGGG2200W0808k2D100802000000G106800010606102200bG40
-A100AW80G20001000W0W0W00Riq000k_BJQ000W143WW01W0100300005010nxe9yOf10001
-001W00400353WH110H000408000G10YA0uyK3cQq001m000040W030002W0Gf20K00044000
-8HG0G04TX10602EIrWnqC0W200000efJDG00002G0G020GT5Q000X010W000000wJA000289
-D380AAY0XW12WEA00064043002100080K048oD3e0000104ucI3C812Xq0Yo0005A8080004
-4000W0C0G0G00008GgOFW02KY61g489GXt4beIH60010G170WG0mc401W@@J0050mxh6eDI0
-8qC6Go0EG1152048I3ZF4Qs3AX46eW21I80Y8jH60G100W008VC600WY1480K040000Ga@@V
-0001HJeC088W2GCmmqOL00eaAqi700G4g1600408I8MF0WW0000CGyPF008I08C0GBhRy@VH
-0I10_@FXqzCOlL9cmLYJMDOBrAAKrWl9C00000a00W@@@1000f21000I009SQmEg64pR5zjQ
-00XaWyGCOBK36k5ZGpyu@@AYFB1Gn00@@@00W7feoE9L0C2DJt@@@@@@@@@@@@@@@@@@@@@@
-@N_l@c@t@v@yZ_@_f@hlw@vl_F_i@JL7fPhhOizA00GnSZz30010YeMbfrn8DQF0000000H0
-0002002YYgJeVw7wxF10000WfR0gQy100WW9ld0010m01y8CR3Y28Xm0CulUIEutWszP8f0C
-000mRR00uut72rEXq1yeTx400m00200CfW7gfC4100G0408wAeYT@V000UVztCaKl1LpRm4v
-CS7n97xR00a0G02800K010C0000004G020002WBqP0000Kqt9W080G004mCx9Ct033DO0000
-0GdW4xzRmqp6S4w3p9p0f00000804GG000002014ezN3UMt04200ZhRmLq60G800400mHr60
-00010G01G0100000814G00CW20GW00W020104W00etnRmKi604GWeL_400W022001000A001
-0000m470G00W0ihk1020G00e008400002OW360WG140G0Gsy6000044000800GG000040108
-00qYh1010018WW2G018803080W_2W100G000C00G800GW0C010G000YW0G00Am00GG00KG04
-WW0200585tR0O0400084W08000W0SGh1054G00W008O00W00000GB4280010000W0SXl1xtQ
-0G01WjlDWKW20W000200000O000G0300000W0480040m0GJnR0W02G002G01OW020004W100
-W20040O00C00G01URt00180twNHbw98002uM3F0Hi0Csl1fHa00WWWazh8pT380n00000002
-GmkxCC1Y1LoR0048WutJW40401040080000O0Y@tWI8C0000Gkx6azk180300021000auAV3
-Qnt001G0@@R0000E13W0l@d010W00O02080000060C000W000000aDbP8TU30W0090180020
-0000X1sD8413grl2000PDcpGivCqtV2h_R0I00W1wVumV30meukpT20G00040400001000pj
-@6G000uXO3c@dXujD00020m020G880xfpG8s600080400Gqr60028g303QmNYKgJ0P00000W
-80008800000206fl1Tvp0GfWWyiJ0001008200802fO@G0@6aFG2XORGw_FC_U2tlpGs@900
-0I0a40GLAO000W8EU30040I0G087y7000mBP008@_40480KRX4lpdmJw9000W8wV30000818
-1y2t74GW0W0W0000m0Y1G40804050W00000G606200oUz60001200810G000GGG0000m0p2G
-BW10E060X400G85306000a0000002020m0x6000D001002000800386WH141mO000Y000264
-04000mtjR0105W2@D004820200CGC1K4O141H001800W8508000G1000808000G104001080
-208048W20004806A30b4G0Qe30W2800W000G1IssWhpDW000G8s60000m02802003e00G000
-0400G000002G0G5s600000i9h0008008W000W08003205002JW20W028080BrR0G10WhwD8L
-0380000100X208403GKW00GeW0W8400G00000W0m6z64TD30002GI1AGXG52a1eG_@C82008
-bQ3GC004Yj1AX42eX20I883W21GGK9F000WbJa0Gg0CW42KWcCAqxu6awF3000I8000GO008
-PR3G291G15HaG04800W0000HZupWWG000420n@R004D80G8X8W10010XaIT24108IfsWe0I8
-lQ3GOAC000008C0GAsF000LCFR60We11021G8C00041XzbV8PR60001A80821Xm00WGXy1I8
-l@7gZtWiWsOnf7I5Q30y4000004W00q5K8lMNHx0L8100ubV3000IC9r60900Y2W40004_40
-0000I00X08Px40m00SU06000XQdoWKmzOcV3WW000000W180GqrICaj4H1KHr@60083Rx@4Y
-k_aGiz8wQUIf63G4GjHNxagZ00G0100000014GC9a4NoR00G0000G0@ad00000020C0000A3
-8XYuDG40000000000u6B00sSF4W00000Y0wldXxrDOWz4_Gp000605kd00W0WUsD8aU3AMZX
-CxDW0020000eSyD00020W40mXbC0002HexC0400000CFGW0WYqg00G0m1_94RM2zjL10e0ms
-wJ0008200140000014G0300avc10G00MOZ104X07n9XG04W7DC0W01mI99i7d10KP90200C7
-N5Xen00044200012aGLSpaAq30802@hp0e00000000240000WuEV3Id@1000qE2002wmZN@3
-100W401GmFrO0WG1m@@C0200AlV30000Q0000K00080GWx_J0WW000000200W2GW80010008
-Y00020000mxjC0W2000Wewt@D020010W8mWaC000K00G00G04Gr@R00W200X8m00G0002O08
-00OdA30800ail1208000H08010G00000W0O01884000san0088GfzR000XW3uCuvC3000020
-2800008104G001W00G00080Clc1PWP00W0GH00000001A40000008y3Go@6G808b00201020
-W28GF_b0004K422000200e02WG280W00028W002118W020804g2004W008G00G408W800008
-W02G1G050YW0W0G00800100W4W0q1e1W8002Jp0GC0800O000e0OW0000WW0G020033G0100
-0X0000G4ObC3040G00W00WG00W01A01e0000WyB000000008400G6183GG400W0200O00GuG
-9302Ge0G0008043C20880G82004002X044GW00020GY4104800480085000K00002G01OHHG
-mt_PGsXC0G00wxF3wBS3000520W0120W000340002081Wi_J00WPHWY6akW1TBOm4Z64iX1W
-00000m0080020O0W000G01G0ZBQ0C00Wq5DG00100028X000RCQGbU9aHY12G000044yHO2z
-jPGqCF0W00uWB3G0000W0WeJD90wb0y3f100101020Kkb10000W0WC4tc1W0000380qu835F
-PmcP60040CdD9sZCXgvCu@V300600I00u@@402040300u@@40GWG000910020202W@7JOjI3
-000JmK08uaI38W8000200WC40I000GWX0hIMnxV600I020W0008G800104044_Kx14000J7Q
-008GW408OKD3UoaXztI8_C3m60e0008unI3cfCXVUO0GyHGaY9000100402001m8BPO0J300
-W0W0800100040m0a1080W00G00WKm83homG5dC0400G4W0mza9KwP2W000osZXfDJ000GqKb
-C00a00000eS10W8YI0W02m@@d001WiDH3Em91020000100060Kob10001C202240m101C8X0
-0A0G0G410010008001OSX4YFB12040W1c0e2200GW204C10G10WRbCeLJ300Sc9000014000
-0G0Y0W000We8C221000aG00W21080000204000CG900020102080YupCWA00W0bA021C00KG
-00WG00K0000104000G41000005G0088016001011GI328G400440000010140W8GgO60K0G0
-0o005W0010W0K0004W0uO22601001I0040I0GW0002Ym04030G00A00000qh502040G4O00W
-GW48uXHW080W884001X1W1000X0jza000402G00GG018000012WmK2G40082C0080O0O6Hq0
-00108040400K00IG8qi4000b0MoaaG0A400BXV@OG400W00W40000vZbWI8X1Qe9W420A4I2
-C4Vs30008vC92aA03Wb2191W21W8GG200GBiC0108X200GcQ9e4ICW3QW8X0009802nWcGcQ
-64L6300m0002C8560040aGpiF4Qc40856g6mWEQV000dNePC000304CWa2O00G8009fbGg09
-4503420W0K8C00808SJ3Akb1000619cGFYFq9h4psI2WE6WYKJuW832ZDa7ry00810W01000
-0m2800000882G0u@@A0005y@@9000eiE00Sih10002400000088vtD00W4qcf1ZoI2f00WGp
-IW100LWSFiQs30m14YFJYzWD00mKIIQF4Qc40004W000CES2l3RGg060K70ecM3o8s08000X
-cLQsJ6y@@@@@@@@@@@@@@@@@@@@@@@o@zty@_F@dVq@tFzVzL@F@r@ndz@xRgqIS89Wd0000
-nsaP84Q3_zD100U50408InEXN@ye2vJ00X04FD3VAAnkz6yTl1N_Q0000eO2O8jQ3Ubt0010
-00tO0o0811000088008004yz3HNRGc16000W00G20000oilJ0002HYj60080eNL6IPSZk6V0
-40000W0WCjDedU3030Wsxk1000O000W2GG004000000c9nDWC00uaaL0200u8y40200Sel1V
-tR0012ajwD0800OXvCCkT2NKZX040000800G00g@FXMmh00mEnL@U4hl1L7OGrsCqn@604G0
-ka81021W010014000e008x@4QmcXpVPOTy400K00A0040G18W000G1000bD000K0GGe00K00
-0W0000854tbd0000G408W000100020011002080400080W40G00022cWW1rfR0a000000G02
-02YX8102042408030G0G012e0200104000e0000Zar0G0AW0040100W000X85030000G0110
-0G00W10Wp8C0408uc@6000W0Km0ux@9000W100WOc3600G1OGU3008000O01W0020040W020
-0800410004000eW01824WXVD0eG080000X0080140040G10G060014006O00CG02OW1A0010
-01e113050000001e010012WTfD8503000809004G0030008004C006W0HGm0GG060m0000cJ
-032C000O00C000G0000238010021400200300G01W040009000438L00G2020G0G00W000C0
-0024G0eW0O0020G08000000CW020000WnwRGR_6Kel1F0RGs3F0O00O5162qF4W120TXa000
-000H003tdmc0CCQV20W0880100W0W0000000XWOyD8x130412y@_3JyRG696004008400000
-aXfJW0000200XDuJ8ZU30004aXV2xAR00006GB00xop0120WOlVeET3Ufm020000001618XQ
-_V0W08GJzC4il104WG2oN210W0d9RG3@6020H00G2W04000m80JIdmeq90WvW@J@4000W1C1
-0OyP3O200Stl10080EytWwxPOzV30c000C40edP30000LpI2RUR00CWC00000WWGcCtWqkDe
-PQ6Y281a1W00002gPtWKfb00000OgbWK1IG000008000044R@pGN76aAW4@@dW100WThVOOt
-40C824hY100H1ENFX_rb87S3000W00W0AVS9000mJF00emU3ALt000G0G800_@t0GW00Dfmm
-@@90100Z0G0sas9ydx32800G010010O0n48i208247W0a1001030B00WX000000502010G00
-20G01202020100686027412ag080900GY408410W10004085pR0200000Fd2000G0W0000O0
-p4e4018224m0K108GW0WCCU240G0W0000W08005400000CKC145G040W1G000002G0W840W2
-00080G000W200001080008008W000040G00J0X000n0000Ya5nPenU380H08024W000W0480
-22WG00We00001n0W8bw480W0izl1WhJ10008001K0000000S8484G00K000G89080000H000
-80W200zpRGO66O00000040b4GAW00o400W1020A800W000mO66010000a8Gqr9000A393e8D
-1K804G04G0000i0y7V2000Ie0000P0084R3K293GHD1aG04602HXB_V0000eL91WyiJ000G2
-IAGJQ4eG08C08000inF3R0OGos9e4I6W6Q28X00C94Y0Txamz@6aID300WW0040846000W02
-400WerP8bw7440G0W2O00GeG8xCizl100_FZkc104OG0000923000H0vLR3o7WXajPGG0080
-2XXyih0G400000Y1h9PH8CUx@10l40Jop0010Ws0meuV3o4QZZ@J0200000K200000009MnU
-ZkaCG010GM1U000W6Z0000001003000090WG4quX4F@d0G21000080e1000025WW7B_pGqr6
-4OD3LN@GD@64Yz3rcp00WdXOnV8wQ3o2eYb@P8A0CYksrnrPuuU3MON20010pCPmqAFiTF30
-008T0W0yt_3x28nmQm000X04G000000001W00GGkit00210BIymxE60400efV308G0SFc1lr
-R00X40008W040000OlVIc1vabGTCFCYl1bvRmwz9CIc4Lr@0012WKuD0GG0Gsy6SGG2NVqI7
-@606@08IUIAvtWDzJeF_7IgtWkyP8QW7wWl20080W080Moo0800000280400yfG200Geoqt0
-000CW7400000280WeyV3EntWPyPOmaGAzH20010ruO0G20000H87EmGN39KTJ2Rx@G2F64Ud
-1R_R00WNK0051jtb022W00000G001IrF100GWLsb00H00000000306ynWRgI008200G080W8
-W040008002K004500021004200@hP0G1400204040G1800200040G080X0G022WG4001W200
-01940028084H00WW001W0e0H000W0WI01GL000g2400000CTA000f18403G9400oW0014G02
-3001H140m0200HK0088040I0000GG2100Y00001KKG11W04280000G0f0DW02G002W0Y0000
-G1280008W08W8000240008000K0808100010004G0060108W04G00eWCOc106OG0Y8m0GG02
-0W0W00W11X200240W2800WG00103W00100029214040G080G000004M30O4W3WG040000020
-5G8I13m2800K0100e20O004G4O0000KW4008G820WWY0G08K0YH0000W022WAG0X00W01820
-000H4W20000C02m8000G00GG4W_1D0G10000CWJxIuV@70W00CH53J2Q00020K000000000K
-200We000000WJF200G000001K110WK00G018WW10804vBc0808WfRUG000GcZ60440OxG300
-60040000O0p@@F800W010G00G8000403ePGOZFq5O2frP0W008000082002Oq000C0XBQ0Ou
-3WKBC0008000100800jLOGQNF0W80u_g40G0W0G0010002800aSlUuXI30000d7e18W80Mcq
-0G0110210wwwXuTIeSD3000010W8euh40800K2f100WfP500CTP200G100210W1000C1GTR9
-SAP200W0YTq00020000XIbq0000G00C00004iWO28000G010000C0P00100W0G8000400040
-0a4f1Xcn0080YupI00KWGNCO00OK01G0G_PC00002400KePC4Of19fz020GW36V8hnAczmWp
-CbusG36zC140G03iym@@C0aS10000mZS60000A@nDEWa10W0W0004800WKDA3G040gsq00GW
-G0010m0n0G201GWa20j86003A0P5QmsZ608408A03820800686030002G408XW00O0808400
-W000040O00WGpC00005IHGcGpC035J010b08200605002W2Cmd10000001m0W00WG00010W0
-00000W1YHW803W88003e20W104044ZNcGic6G000020A010008GGGKY0HY2r04C0C400G800
-0800001m0000W20802ndP00GG0meA145G442G00W0304810840WADCu@V300uuH00002W805
-00000Ge600HGG0WY00WG110HYQ601G18fk40G08GW100080W07G021120500Ypp00B000010
-1W002W008qC3GC004Qc1AX43uW6CI80GW01mmP76CmX1ndP0046WupC00bG00Ko15A40030G
-whI2Gl09P1mWI890Qe1W420m0G00aAG23VQGyPC0028X0Ee00520W102@@@GKPF88002G1m0
-0W0awPP0400GyPF0GnW140M06002X803vZz0000OS540HbnWW0080D23000H0230aFW4Xcb0
-H3200HaAO0008I00aks3JJcGk5UqQhA0021lfDXC4VeXtAshrWNLt000W4008anOD0020GW7
-CqGq6@@x18y6WZNJ0310Gnk6K2S5K200400000004184mjlUiX930m1000Zl0K708qC6A7s0
-8002ndnGIPCKJC3000Gz5084Qs30WpN0g100AE0unuDI5eYGpYz@@@@@@@@@@@@@@@@@@@@@
-V0c@3mv@@V_l@e@tVw@yh_@_h@hFx@vtW92zD0040Cvl1h5k1000SJ000TprLQYIatU2HNRm
-Gy6K@f1pGN100lxtzPe_TFgQcarwbeSL6cGTZsvhutU30_o0q7G85Be58020W00000001240
-SoF6000uL800KOv9LNUoLr6G010W003muiFa7k100G008W02G004002m8o94Gj1@0OGzq6KO
-k10400008jtkf7baRm_@60A00ufJI000GiXH2@_RmQw6000044001G01WhXD00G0201C2G00
-8200G000GiKi1W0404I00000014W020014002400000wJ104G018W00G004W028016G00010
-0001C00200041020002G028W00G0fpT300e000G00WW00G01a9mD8UU3sddXDhD0G00yOx90
-0W020010001eBfD00G018000G11e010000W24Nl100G8000W2204a400ur260G80eEP3i91G
-020X0400100420214W0200050000X10G0000K00210W1G808W00G01000308000G100W00W0
-10GaBl1VCRGA6FazW1Bxd0004W@yD00G00O00W3LDOSQ3G3C0aol1W1O0IvdXJ1I0C00000C
-lyEovC@72QNYgeD008a00W0060c0bAp0080WgcP0000020G400048808MSt00040byd08i7e
-K@z0m0GmN_6KjT20G00080Gq@z300O000G0aEz300G46Et00800lAmGOtC000200W0oZo9W0
-W08P@40Y004Tj1I208sVtW4wD87O308X0izF3zqR010WWczJ00G0020000011fVdGo_9W0O0
-8bQ3m60W4c03B@@GArC4Wl10Y00_EdX60C000000WaM00008G0000160C002400W000a6pPO
-zV6001045W10200M1WXb7a8GV300W0000W00006000Y3hP8mY4cvt00005W0W00110ipl1D@
-RGP0C0KT1ejN3Ytt000105NO0000mPih0W00GG@6KbH20008W0004nl18000002W00602000
-06860314124O08XG00KW30441G8w600006G100W00WZSD00CGC1q2G041WGGG100C2I0G0w@
-V303000G108@V383W2106000W806061W200WW0000100204eVT30G0W002WgAU3Yks02m0m2
-0GW0080Vyk1404100e0SdW1W0040000G0110008050008804640XGG089200W0404C00A200
-000208000200800A0000002C0008000WI4G8Y00A00000010A000a000G000020AcU300qdD
-yW13uR0O404040KG00GWI0G08001100Gkx6010000W000G0WdHC08GG080010100W1X00H00
-4nX1BdR020W42000PJdWI8n2Qe8X420AaG8A4DV20W000020aID30e4IKW6Q0GX00104Ir4F
-000008aIm_rC0020W2E00X00C1420XAl100W00eX30G804M00qT_30880000Z4TT222001H9
-C00800040mN@94Tj40C8008WXW100W20GGywIGEW08FP6044G182O00GWGg@Fys_3008808G
-8W10008AWG@@Faul1nGbGmBF45m3PNJ200dnJhk21G0000004008tNvHU99C@q60@506Lkh0
-hJ8wQ3EmcXe0selV6000mX7008mwDUvkYeh9y@V3opEae0g8wQ3E7@XHpm0000nKw6010We_
-iD0T00aq_9fAiHwQga4Z1thd0W0002020Bix1000AM3009wR0800WLvz8RV3ETNb0pguo63o
-I3Zoqg00GRG5QCikc74000E4AXXyz8Ma7ss73101G00111000GW008a@D0Ka04Fm915S2004
-0W40W@@B10G0X@@V8IDI00W0000Wb600m9J6000G8Zl44000Cbc100100020sbc1@0O0600W
-3_P0200G@06qhV2W040080W04G8uaC3020X000010W0I8P600011C0000410W0284001028W
-00001eW020010W0080120000W06G008W01G050W0080000008KrGb10140028m0480eD0302
-0000G010X020014W01800400040i2W1pdP000042020B2OG5X6S3M2W004084022001WG008
-W0m2sC0W02e010G0080W2G0100003004W0Y1020G021000GW11400G0040021G000001K040
-0118G0O0WenG30T3G000040021C002G0C4W008084000C0400080X022282042C004002010
-08080040GC8408G018400003040010m0000022000wxo0040000600480CN13dYPGlN9S9OB
-00200000PG0089i4k8iYaqC8BC6ACK50008G400a00WqHM5b5c0C000Y00001000I00abI2V
-fb0004xq5Duyh40W0002000080e40000000@bOmnR900028yC3gQq00012B_bG5QCWO00000
-0GfN6Sre1000W00O200080808GPRFW01000008G00a4tCW400mtF9W00m0000W9w0220001b
-a040240040002G808041P2TJO00a0W9uI080040008000C0G0G080W4v830WW0YAB1W00000
-81W000iB63H1aG4N60038hgD38020i2P5000uq500aKa1C0C00600So46000WsgpWe0I00GG
-mdbC0008u1p4ISp000G00010lzi20002tKomXDU00WbO2F32pvXDtO8pF3ArX10010RkyGsU
-9000G0H0K0G00WGpC01H0C0a010030808e6vp0G101Dub02000000386W9001G0804m0001W
-20YJGJ00G0q@@60Sk1200m0W00G0m0m204W10W01001000840001004000W02pp00G00NQP0
-W1W10708060G1G0Wswd1PTQ040402088G0000W00000Ga030002G0Ge108214Wa00iiY1060
-0oxp0040080050801081K000W010gK0e2720P000G4G0Gg00G900001W0W002040W400000n
-OGG0000WTxC04080W001W020W2W10Z0002100000A000cGpC0504mEX600808AH3004WQ0O0
-100ImEf6KUg18H202DB10K214G970eG00C00erm46Eq00G20000YZFp000GP80HbeP021Wu0
-u@V6K100000000WpNKPF80bSW021mnUCiYe10W40_@d140WIS00X0009a_n3XcPWG00W4PD0
-WY1280i1C000IG40_@@Xe0O00086GW00jm00W84H2xJ2W80G@@p00430G040O008aW84y@@3
-4200QMC100WOW02W0030020491m700W04F43JtYHxfg000W7y00m@@EX000C5J384004sx6B
-Ff20W7q@@l200W0100WKns8ViJ00000qr08CJ6oFRZaqE9qiL@@@@@@@@@@@@@@@@@@@@@@3
-Wv@@R_l@d@tFw@yd_@_g@h@w@vp_F1TRYXFXzaPOEy4A4d1G000FH@0mO1WJYJuGQ98800y2
-l1h@R0040aHzDOVL3o9dXetP8fO3Myt0840008000G0080000001000042002200GodtWldV
-eXV380000W000000202I00000000O55010W00Om_42urWjwDea@40400yNV2LvRmn0C01009
-FW4G008KdG5G006_zt00003bHpG1x9CzV2tiRmT@68040000000Wzta2CuPU3020248W45x@
-0020WVyJ0080Gst9qLj10W000008C0U20W046X_Xu@D0W20mwZL02000800mwz6020Wu_V30
-6404W@63vRmH4ISyQ5ZvdGRv900G00004GW_6G002K011800208100FDa00K00WW000G0GC0
-0000G2W8440W5G9A848G80W00GXO0442G000000Ug202LyRGzz9000600000500Wn4C020eG
-Lu6GW0Gu8V3000Wibl12005W000QW06O0y40001002eG04K0280050000W044000022003KG
-020000001800GG00000280100028000Y8100020G000G20eOO30000040G004Wm7@6802000
-mKi0000120220W000010m020200W008WvAI0000000e000G02802_@t01W00000240010102
-uuy44008W00O0014mCu68042020W000088800000WW4030b22001W402800W82zXR0028010
-0J08W000200a00I000Y0GQ010006Y101o010110W010020X080000kI1W022100400200440
-428010001GW0000600020Ga260W140028016018000NpR0W2G00G010G000G100002030800
-4010W006G00QfrW6vJOaY4000O000W00010W00000K0L_R000W40100Z3Omix60K1000Y000
-008002I480W6@t0w3009t@mav6avV2tFOGzw94Yl19nR040O0000WK000_jFXN@De_236WFX
-o@DGW11me_6qpk1drRW001Wb@D0002000G008W008GWQ@d1024000o6lftWc@J0W0001000G
-0000W80QnE100020200UFrW@ybeH@40C00Sgl10G000W1W00000300020A0400080007amW_
-zb08000840Wy_J8hP94TD0Spl1NyR0108W@@D00W810000001WFpBnM@90020Of23k_FXh0s
-00002GWW00O2O0000a080aWj13FB12000W02080GJ060GC2l1000e830000WGev160800000
-40000200WXK1C8B032zt00800000GW00WK5k120020289800C9A06AwrZeKOel@7A@r00WI8
-prRGB@600eJUfx7QCt0G0800W00kmnWOwJ8Az4000200240801000040409Zldm7y6SQl10G
-080000H0000202W2000I1O4G08X8CGZ060000L0oww9080G04000W60028080W10G0H0AW01
-Y203YPW1002G0010001000Q7008000I2000m04000W8004W0W002081iW00420542aP030G0
-040vOV3QNtWy1CWG4040K00I0CW6eGW02GWO6O00000CO00002000020m080iQl100010210
-000H00WG50Y01008000OW004004W100008GA0WVxD000WI3t60H001H00C5000000G020800
-8010007K000004WccD0004000A0G8W0004200I118am8BW4G2G000004H20qP_68000G0G0q
-tB6080AK5000000O0900dqRGBp94cW1010faWMP10IC5000000WqaOC0900GK0C00W00Gc00
-f20BH1098500Y2W100VItyp0G000W00G050f43MHb5030008AT8XB@D00W08W010I5GKq24I
-o78131000Y40_G@1GH000048NKA104000WO0ELAXe0U0a10204CWHzD85V388000Mx08c@4I
-5W11W02P1yGK0F0028000022H0Wy1I000GO_0FiBz3RxRGUqdCsV20008W100CbD6JqdmRsU
-Kwl1W000ogmWAwn0800KFt9yWk1TvBncF6qW@3002AwjlYJ@Dei93m000LW@60G000YG0000
-00I000020XVXIezV3000m0000J1q73000i6yV8q@70Z200i2eNC00nq@Cypl1W@F0I5OZc@D
-u7X4EzF10002m100I5m0m100J@p00MjMrgLjgLdDbhERQD3sqQr200q100u200OrQLhQrgMj
-sKkQjfr0OBhrwgH1S200021iQjf2uKk4UqLr6@dZ10WZ_Sqg0O0WGOQIyQ161KbmpwRahl19
-ZnmU1aKvl10RK0IJlYuqCOvjGsU@X9SgOkB9cmFXYu91000Df00W5mg8GA3U1nZxxL9qf4Et
-lYqsCOu0C00q5TKM5VuVIPT6qbl1080100W0zdV29w@GkTF00100W50W00GmIwD020888W00
-0G0WW002_@t0C0H0W000Awt0GQ80byR0084Wcyb8h@78000aut36O2000010020OvF341010
-W08m02GW000020000eW0G0010008004Ge00G000010W00G2040b080108GNP6W040O923K00
-4000G0820000j000086041020100120000le9802K000001Q2mWH1D002C0200020W0z_Pmy
-V6qcc1Tuz008W0W20170Qm_K6G046m01GGI@6O01G0020002001Ca0r0QW0080040008W10G
-020000m00WW1001040W00W21022021Xm0000GO000CHX08G00WW08010000ea04O03000080
-10m000100eSG322p01800L8c0008W6kIO6n40m0280400088W000W@FsuGl7007400000W80
-0440mI4J8Hl4wKq0000YbAc0mw6WWMU8YH3IDpWMpOuohA006000OGukn4m108ale1004040
-400004ukH3kLCX_jCOtF38000Kme1d0Q000G040W00201AHC1000G000W000mHR048qi4ovo
-WI7D00404G02WL5DuDW48W00KxO2000G000Hs1f19GQmba6Kdp3DDQ008400m8004G02gqWy
-4JW000GoZ6C6P20G000WW0aoc1HMQ002V50040PMo040004W000Y00A9KYKBD000200W0008
-10HNc080040180bIQmgRCC7s301G02DpWKngeGJ3001G0GG04841OIPC0q@1uqH9000O4lf1
-220J060000011002oSH9C093pjO04400c06000W02DBX7DDOd4602000040ejp400W0aVM5W
-0002@CXwFD0000aI10WBGJ84q4000I0400AeeAE3rWBFD0204W001WjNaeRK308000820004
-0413000e54202GC1KWOKO00W000G0G0G001bLQ0604000020e01MEp00G420H0W0qem0000G
-0W201000000q00000e01KzO2laO0Y0620e00808WCAC000041e002010egJDW200000e00WY
-001040m4032120G10406560000Y04000W0040W004040A00000020WHW0W0iXY1K20Wm0000
-0002G40800180040W002U1r0WG000808GW20W0EW02230KB4000000682000W0A000yY20H0
-000G0G0W10pcq010n88008C0010KG2MX000000A010090Q009YWlKD0G00003020H000Y100
-4L0WuA010000W12eaqC8YL600G002N0WKX07u0WaeoImG000881Wx_O0000600mG085GWIB0
-H280aFM2003I000GgC00O5l70Xb0Gg0a2KW0oZE94LM2xvn00XmG08L0mAAKJIZXGpU0H000
-082GG008WC01YAJYhPJ0041000WG4200012H0002o8008qC600ajd5m3WWG0100W0E44106L
-4000000GH@oQGg0F00880W000G8MWaqO8qCFAnD10004@ZvHEg602W2u@V30i@0y5K8bB5ob
-La4qh10Wb0wtr0G810@@BHrj600004030Y000meED0000SahLCjh100400800CNb7@@R000G
-eDim8gC3o7ZX@@h8AWD00GyUWs3vdPWS000W100fnyG_0X00808qCT@@@@@@@@@@@@@@@@@@
-@@@@xWv@DS_F3e@lGw@Ae_V2h@Z0x@7q_V1PLYvaXlqJedQ6EKt01O00JjdmIy600GzxAx7U
-UE10400jK@GxxFG010u@G9krt000GG00W0IdF10210pxRmax60200O0N3IjF10007TWQG1X9
-000G0404Gex60uQ08Zz7IPF10100lKRGnX6yE@6BoRmMj60100000200G4W8xhG100G2jCKH
-h100000m01SRl1@sdm@j6CLl1g200YltWtlP8nU60002aK09ZTNnzs600W0SLN6sntWUQD85
-W7wwFXg3C01000004WehbuEV68020St@9pzQ0002md@J0024Gyz90400W0000K0000120zuR
-000G1e02200X10G010000G00eW00G0090GV0O0020000229xd0Gm5W@AIG000ox@60000208
-00402WVAJu@@4W00WG0002000084000001000480100W0G00m0400010g0000W0G00088000
-484W0800K4002000EH8110058W02100G02000012000W000G08W20H000Y0800000Oks6Sdj
-1hoR00002cY00FPQ00201404YO0000008A00GK00006G0WhYJ000m04080440e02120008St
-i1000001W4WW9W0200X020004G400W00W08ali1600HW008W004000140K000W4G004X00A8
-01K0100006008e00288000W01014G0304000Y0W002xqR0100aI5CW000000V14002400000
-08GW1G0e2mm3p60816000eqSx60W10001000AW0080288A88K0008280048m@@68444G10m0
-00W220006G00sUtWGQD0100mycO08W0GG08000010W02000W0400840410000W0OWz6a0mL1
-GayC04000000ubq6qjl15_BnLp9000400W001G04W0G0028W00000q100000Oqr6K@l10013
-c7rWg_be1O3Qse21004Xzd00006I300vuRmfr60080001C00G400900RUdmt@60G00OqO3Ij
-E12000W00GG0W202GWuYU600040004080008G0000000Y000010W02X02X0mGu60G088SV3g
-xmW_zD00GG008G00G01001Gcjd104Yf0080c1XXtxJ0G0002C1Wmgb8qV30GW000248OW4w6
-vXp@J8yV3000880W0080WX00maehV8AW7000010G000800J0300008vWR00T0WLmDukx4W0W
-0Ujl1W100000sadD3H1am@@90560G0W0GosRikk4X@@0040WZnJ8zS302G4CiE3000W0B00a
-x@3BVd00G4WK1U8l13w0lYcpDeMT3szt0G0000Q0000202050010301g08GG48c160010006
-04uUT3W0000100000f002G0W0I0002c1W0H082KA4R304C0000100m_syu6040004000W400
-0G0GGW0082I00e000621YHW10G001P1Omjx60180000G004W001H10W8f0eAO040W2GZ0300
-0040300120020G00109wQ30002H0G01000W1044010JY000Qym0000G0840010404088nU30
-G0G00200100108208310H1a0W000W41000001I10iuk1G000504W010005G80g0I8O00W000
-W04G045X10406A5n00500051092000H400041WgO000200W280000108008ST600W002G0WK
-1abeWWaifb0A00GGx9008C00I5Wuo2aKgV00006L10WEqJ00a1Glw6GgGm2SWIIUs9OG008w
-Q3UxF10008000B0WK50AeGEPR6000ay@@30W8W000W0O0G8wwD0W0000G82G000G86000WWX
-O@000Z_cwV0CGH000040AW0vPBHU_C00884H800G8C4X0WWHNV2280WAyJ0414G8sLSol1NI
-Rmay90Kv1OAeD0000002GuvQF8001qQ@3TSN10010W840L_d0HO2Wzwb00m0280000001010
-000ICCsl1000Gv000KTl400O0s@F10I00T0OmFIOqzl10090wuk2m000HNt20W3WazV000WU
-g0I0k2eFFRIY2m0G060T_p080Oreh1kzSFUKtWPqV0000Xz00Wuqb00020800m3tJG00000Y
-0WuyD0400nz4C0009eTT6APn01000G030_Xl20GG0e4000H00W202G010062a0000428W0W0
-010W0W4490X0200800020W0W10OKrl100Ai0H00iVl12010s4pWclC00080048WlhCuZe424
-m01W00t4PGlR980408sT3001000820008GazO48l7f@ymz@60yc0OV_4AXBXEyJOgV3Y1W12
-000G0W080000100CE@4W001G0048uUI001800a1000600G401WG00W1aW0000m02G0200408
-0W2n0W0W0G0000040G0K00A00WFxDWyGWml@9yqM20044020W01GG0G000800040G0via0G0
-0aWIa8vW40W080W80G010mF@6iMd1Phnmn@60320uxV30840k_l14020cotW6bOu@V300OYk
-5d1G10100000G1000WW400048C0I0O0G0W20145018800000001Y2XsR0082021004000020
-Y0040W0080080000W0K000000000A28NB3KW008000e513808aW440G91GYG0000G000W800
-210W028G05G122e04G410000G0W10002G1WG228e80420aXW8004aS4b1WQ6104000002110
-0002000420f2cmtQ98800u4G3GG000102OGG32Sp01C0000WG0G0080100004006002GW40O
-00000CO000000212G0000G004X8G004Wm0buvF3WGW0CNe18014Yqp00800dnP00W002W000
-0040081K@V2oV0000000WQ2W00002W00K0000200G0030802W00C0Y00000W400000082001
-20109004G40W21tjO014G0A0004G008004GX100020W20030W003@PmvTC0200uf33000800
-00402Y00200O8020621X128200000H0010024C04G8W0G10K00W30100a800G0010006q2bY
-1080000e000009002OjF30q001e000000000QWl5PW00000008040040000X00qo73VAQmuW
-6CpO200W04402iGe1TZbGUZ9q@e1G000C0G000W00440m@@C0q61uFoA044000GGOwF90208
-4EO2001008400020iW33MVqWy1De4n40W004Qc10W02000a4fM2vEQmiQ98000eaC60240CA
-c10000100ng900Qoo40002alN2000H00Weik56ZiPGD964iY1@BoGkbCy@l1IW0066qWGpC0
-800nSS9KI73004G009W4503004000mP00000G20000WWqBD0010HaTCaac103000200_E73@
-@R000210W4800000Y9WDcf10140Aoq0000WR6Q000W0000Wrnbm69Fi9m30010H0004Jf11L
-c0uT4WeoU01050408eaiCG001miLCKmX1RUomwH6aH1395OGVc6000200880G4f00G1mWG16
-00101aHW1000mY26ise10G001000e00011010408400810002X48Z06000020wWd6O100QFh
-4000200080G2400428G414002WYApWO8C080080200040W000GK00WW0W0400G0k0K112012
-6WH03000090z@F30002080AeMF30G0G0000G0A00WW241F80W0000CG00G0W0m00Knd60100
-0001000010Ag00GWg00IQ1eW840G0G_O600W100GOD000002W2020601000e00WG10XG4000
-K100e8GKqW00G000m010800W_JD01W0mGe6008G0W0C00060003H01B204W000W1200000W2
-WG_U0W0O20aA0G150JJOGeP6aAc100K0_@d162WK22Ae0A9WaFs3n7Pmr4CW00W00I5aem2m
-aqO8gC32DZ10G0WC00f4ISG1AI8DqiD0004W80008af0HGGm7HD8LCCG8K800G09A06YFp00
-0088400Ugb1001G841002eW4QM80Y08008a2WG08qiJEIDXNQDeWCCAAr04000fHc000pW@@
-3vUGFoFZ10W00BMj1400000082000800WKxa1Xc9HdaCiIP20J20_@Fa7NzW0I0mMmCSCo3H
-bbGeP6axh1358HePFy8m31IbGIQLyTG5XcnGg0I4Q6m@@@@@@@@@@@@@@@@@@@@@@jmy@AE@
-d2q@d8zV9K@FYr@XWz@7Q@d5z3hMlHIfIyci7000GOD00qvE6x@R001001000G400wAa480G
-000W0YVFXdtD00021000ebyJuOv46pbXzsJ8lz4EYt00040000yoWdXrxJ0120004IWTrPez
-T60020q0@3jmp0000XgwD00400048WGxb00A0mUuIKGl4v1a0OR0WbdP8TR3k@EXt@J0241G
-lYaivj1Zt@000080200040WwZyaufPOQQ3y7004aU50008W00WKfh18000IayXxRP8jV6QA8
-10100600GMO8XG5O00084W0WWhqD0010Gh26ahW10080em00iwl1PDa00WfO00G020W10000
-04W08iV3800000GH0084Y021Ws_D8M23w4d10800j@dW108WXkJ000010WW01012m0300101
-0YG0G000W800WRBC0024020W010G00GW0AXrWOODG004001000G008G006BF104000W02000
-00UJ0030400000440220X0W000014901008420WzBC8qQ3WG000W00u1034001iYj10W00U1
-t014G0NaRGyw6004O00YG02600W800e0010W020004GG00000201GG00801GW00O010O0Q30
-01W0008002C0000010GG00W0MVsWxdDG0800000GK1G12O00e001G0H81148000G40m02004
-180080566vcS30W04WX0001080180008G1TPR02000810008000W24G0101110Gsz6080010
-00400280040BtR0002e8KV8@U32lt00C00xCd000013800XB@00Ww_u_VOmN300m143I5@BN
-Hl@FSjl1lgRGx@90800vZ@4gRF1G002B8@0OW00G800RkdGKx60EX1000000G0murDeax4W4
-0WG001ewP3UnF1G0029vdmlq60e00021000G0WbOJ80P3UvF10020080600010400000Imiy
-6yBV20G0WUKmWbhD020G0004Wx2I8FV30001eV00OHQ3wtF10042fzdm8s6yWB3dPR010000
-0W0G000Aft00080@z@Gd@90040CuS3408000208mQ6Am@10W000001001WaIT2G040088000
-WJBA@4wot00W0W2000000090G085x7kKdXubD0088Go_60030B5a4AXu1202000020004010
-0udV30G00iGX1pT@mov6W040e2T600000KC1u_s78080000W4000040WXCpP8@y70010DNE3
-7X@mzz60008000C0O4e00G0m0Ca80Y2K1WPW9mQ3Y7n00408H1a020YK1008m220f805aIj1
-0W0G0WeG0000Xh00mW@6O0000008000O0mW010G0c000A204Y20p030W000120W0G0000G00
-2000801000020W8606200022100120mW2WO0m0Y0000G003000X0040G0W0W002000A00sXm
-00n008W800C2010000K01200G40100X2O0204000I00024080200A800Z04WWY0018000AW0
-0W080W000Gx9000002I1DiRmLz608400eG0eG0244J08000004G40G008TU340G0W000ei23
-002300W80448m7z60040G0G00060W2QU0W0010aAGG15mfKp00WYaXpbWX08bGmAhKgP0000
-4I000Gq603s@00W4008LCY2AWo2uXexV0802801GA027M044HkKd1W000fKp00W02420001o
-Gocs30004W0A0008KA0008bQ9WB00ikF3004Y000004219mQ6Ykk2G012004O8G08azV2002
-0oW2304009wpmBrU000AO_V600ef6TT8129nlnm0009eNT3kis000008a00Ixk2WG000000m
-08000000300I@@60sk08B0CwI2Z1cR9bw7QdwXuzJ84xD000mv3008wwDMA0Ze0s8wwe004X
-bdTEvRdGqrR4Db49lpGY4d0g70O6jA0008SOc1G000seoWvrc9RV3MvtWMVCG00400800400
-1BydW008WunUOlj7000WZG00ef93wK@100G0t@R010WWeth8bzGgCZ100G0f2O0020WlyJ00
-W0mNK6CCd700GW00004Kb13_@02O2WHutuAjDcudX8tOO_V30018Ki@3nX42OM6WE_hO0A3s
-Do01G00BsxHkKL4fd1400G0000020400G04W00000X2m008e040000W0200W8000G0004888
-0O0G00WGm000mQS600a0OF@4000m8600G00G020G002008040020aW0001200010100Y80G0
-0aYsNYv2COVV30W024nl1PEO008001400480H4G03OW02ug130H00000GG0X4YG01210I0JL
-O0G0001CI024020G108a088Ri4G002G002fdB3s0m0005w8W000000W00AG01G00G0Ws@Jm0
-1010A800420LAP0008004G020008G0180828W0300000202ezF34G00G000W01G020O04411
-8OW2AKp0002840G08W04Y8Y8440H81440220WW0000102O0D80012020WO2400401WoDA10G
-08NpO0400140000YC44000G012W0AG02O004000KG000G00001601008640053W000W10W00
-00200128000802GW04I02K010yBa10G000014GW040124000WXO4J0W0G00000200Gnvb001
-0000GWxHP00W00100WzRP01G020Y0400W0e000004002800820Ww3J0000YU10WN6D0W0000
-02WgqI00W00G30WoHmeon4oUmWjpa000WGAY90W000O00HhZ90800uvF3c5oWL8D00G0000C
-00042W801004Gi2f10420000000O@Q7I3I9Z11000401000304Qc1@@p04010800000G0gto
-W0ADeaF30020GG00W000W800Wr9PeeI3G203cGe4jLc0040W7BDeA13MUx11I503MQGYb6G0
-00G2G20400020W0010G00002000f103MaCXD4VOpdJ000W00G48qC6IAxXknC000WHyPIGD0
-0u6H9000W00G01W08GIQ943b1xTomTC6qpf1XcP0000cCCJusX4O800yyf10010EIp000G07
-GQGjB9S2Q20W20_@dX77D00GDsJaCaFG2@@R04G0WxGn0010008G00012bpbmve9W4004010
-IAR6W000W0W8W0002A3W26401W4GZ06000000JI16yPZ1DVQ098080000a1B0mmWWGGY1m8m
-000008m00104000iv0i_f19pP000G08080200208080GWKKm0C3O000000ehWQ001800103H
-rP01G0G200081101220W04Wm0m000000m002vdQmw7600W20037080G00020W02W0IeK0001
-00G1KMa60000a810IyP68000I0G0Y10002014020aW0G020006GG000018000eM5000040W1
-000100000Q000W00H11000A1GWA200H0W00000CG000000m0aFW15yb0109030008004G0G1
-G00000WC0042008G1f6Om@@90G28aX0850WIhynI000CI7Y6yWr300008L8O1Bm89_i700SK
-t@f4040f40KH10I8B9j4AMqWyGJ00W0000180080a20u07WW4q83nLy000020102004I5208
-GG108Vi7seb1G04XG00G0R024Vc4Ws30_@@1GI80004e42804Qs64000011420001824Gdj9
-0000PHZ46irZPlmOo43Qez10008G700M39a6XE9ntGUAC1C800a000gPjYESDmW00Gwf900O
-_z@@GEFYasRPeBM6YAhYup2PSs70nJ04HB39fb00W0iCr89A092DZs@@@@@@@@@@@@@@@@@@
-@@@@9O_F2d@V0w@6a_V1g@Jmw@3m_l0j@7W3f@az00000GS1WjvegkQCA6S6000SY100AnBg
-EvR1240mczU00W_CO2xhwEXZrDW28400080X0G0@LIIv@LW700e@Gd0005W00A8MQ3_D@Xk_
-j10G1OGZH106K00C0004WWGvD0040W08001440xAdGpym0Qw0OHIdgkNYH5O8xXP000GMfl1
-RN6rVy6i_l10W000e0001001040m_@6iYI8p@p000ukCEl2020Iqz900009fU3ggFXztJeSU
-I4wJ0ae0LjuM100GW2lD0W01G7_g0000My00GhfH1400ejS304000Y4WSES6wwlb@@D00G3V
-pCKXW2000201000800086060W00GO004G00800WO0m001005O0G084_gAW_B0sXbA0020200
-0000Aaxl100400104044O00000G20000008I002IH5h100RFGLyzC0G0a20mb5G11WfoJ000
-0gG00WnsF10GHVokW1AY000W0o_y6Ckl1Lk030k6W9WbBtcM000GR000ehutwbg5000000u1
-3Lkh0hVOf0R0yZ0i_iJHNBHsq@000WKG00GDrrLFq3Tjl10W_y22yhkRI0rx0aK0fBaNNRFg
-0000sf00GLwH101G8JlGwjJ500W_XOG5020Wy@D00100008010G0bnp3Wz7W3keY000GkV6G
-000002GmqVI4vIBo100QnFA08G0n9QJG@L00exzmnk0008m0m0eNX4gNp6GH60ZhTLaZ6008
-0OLF30240S8c108000800G240u@@J000nRR008xne04W0aD9320000W00000040004303G00
-G0Fxr2002o@@r2000HFb6G000W00Gm@@v0uw0eSje000W0100W002000X000300840000000
-H20G80006060900c0406BK5000Go200_@FA0AW00204cUq02m0m0e000G10202001C0OAg60
-20O8kAL00m3zFAOXcP0uW2ai7J00G10004a@@L1ab1mViT10O82a000G0G0A0W01ynmndg00
-00aU00m@@@@@@dXeQGePLSbQB0008S0004Qs@@@@@@@@@@@@@@@@@@@@@@@F7zVpJ@lSr@9V
-z@nP@Nys@3tzVmV@@Ru@zE_@kb@dxv@tc_Vjh@FRx@n__@hn@twy@hM@Vgt@VQ_@bk@@ez@7
-w@@@n@VV_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+0m0020050ro600000W4088204210YW0a0080mQ0R000WM300GX0Xq8G8T3uHCc6aNmCV7O00
+Wz_u321800mn0yq7WF000000S9dpG8W000QAu704005Gi100GWW8y04p3mW4UK8W1D2uHt0L
+0000S3W6_U8700Qa12KHX46CSm614Oms59000020A0mx4ySjn60q20cuOZz3g00008800YS1
+89IXY000k0F00eY3C000aC9I5008G00C0i6o301W0oruA0WmFPHmJz5FqMn95g03082WaL8f
+D3Lsxu42000jcS20006q000rmK1GG0WOKORXbD00eLq8WDpkaGVA6080081dM63e5WP90XKW
+q3CU4YZ10200MXn00200r6S20006f200D88180000H00HummLCOKbZ1HA0pjCIiPp6002aki
+vaJSUO8dMM5wXZXaO58F0rC0CLK5L2P0005WGAy8R73sLo0YG00b_G50006I100H@i1900WO
+Wge9830W80a@a1f1PmS6m4gaVTEv48m7WVeM20WGGqJH1000sL00GhHLiAl1W0000000A000
+uIhAY28X7fovi9I004eVSr9VV52810WNmmenACkVv4WJA0lXG200ImVH810084510WsraW4X
+WOiQLWGa0000008Y0Wfss00042w10W0lsW0000W00000H484P0Y_A40004YWGawFBA00FB7N
+5IpNX004185iYQl33RfF0zmu104WWsW89ekGcNBX4EC0W10u4RFapX1zy910082F0O0jz910
+30100O0002a05000080iN06Y6W11080G2A0500GaCW7j0Q0G040002H00000GW4G420HW1IG
+706a6e1000HG08168O50OGFp2uXlwC09000G240I0004X00C7SaSjN2240002HYW0008Q030
+79000G240o1IA06W000000a32007Wm90000Sm1000WS807002EpX00WZ8I0000GG220000a4
+980491GI40224E100000E0000000440Ya00B7M18V6I41GG18_00Wa804a8f2a089YZe1s8A
+W7m900SYJ_t7iVKa81ii1uxHmARYS00NMtU_vieTzpw3G008oRLbCOVGZ00GFijiqf4DjQGZ
+e6Sd9L00eaYIad_JDuwr4g0qZ85o1iH0Gy2jKVw30W000002Szg1HAyGVhFqgPE000uLD00y
+HuIrmbleg2WtWHQC3g6Aw@n2X7000ln10W8hHgjth004WNjTH2100e000Sm5@e000M4QfhWQ
+fH_J004Sa3yI9jV5up3Wxt5glS300X24vI_00ygsD6900o8twz@rkV200SgkCaUFNeOXxbp0
+0mKF8LrkAbEt2009bYV39QkAUvtWtrD0400mp3T1kh1O6VLcobX4zO00014000110200004U
+l@aUyDOPVI000m3300unlG6UJ20W10LEd0004002000001gXyA00hPRxoJox9GG00exT38W0
+0iXVK0VN06s7920000180omXA7200@@N40G1WCkk20Getbv5L4S21DJ5ut1WsvH20008040a
+uZeIb00mYY510H040W08WY2mfzZ20WFwU@5X800001G400WWSue20Q1m@@210X4000W88190
+000CHAGby10W@@5268000C002820BfI500mxMFH200Y080Y48810xm75880Wnv5202020001
+2001048W0900axPKc700Qhh80040e70000fcaiVK000_Zx79g30000ei50m0iiVKWGU0cxN8
+00Wp000Y0SP008Y08EJgq000qvkG0bT0000Oyya7fnVxsp6SfT2NWXHrsXS6j1xI5oUq9aSS
+BZK@mNPLaFI895eIQoQ10G8S7SdIpEaDlV8kB6G000abT5WgD0_@lYOjD0040mNSLyz7L00G
+0wHpWnyP01000000Ki000ZjCJjwC4iE3leHoAwC002887z7020WSt_300AMs6TcVrfwOr42p
+N2msE0PFinNv6yld7lj@GxQg00020080nUx90000Cr_A000Wh60086Ea00W0y3l71np00040
+04000G0000400G0000G0o@@O0WWA_XBaYzN50040zrp0W00mIxD80VC00K0aQ_I01008200i
+LIBrxR0S0000020P@N1000Oq200zr@6000804000G80001000X00100090I8W0002002o_l2
+00U79xl4030WzZzOdp7W40800012e00400400I2G04506@l2WO80xzB40Y00000024010100
+2H00u@@D0400000A100000K0000WK0G15G0090W4K000440W5WFlh0000Cf10W@@5228000G
+4WkE39WO300G00081ulh400X0WG00000101G0Wm@h00m4puw59000W1YemEId000Y0H0G000
+14042O2G020000A810000GqhhO46UH004000022W20u75L0041iYj100200020_A@6tfin@@
+m0004HG02mfva000210G0W48C02412Q0008G0082000X18W80eX@@h001PGCS8180e508002
+90W@@L103a081844A188K84C8000mG0Oy@A0n80aZ@R410000018206Ga28f87G7WCWc0T0T
+0w0H2q1Wq000Gv@I000W6R00GCS51000@js4_@V300GL00000W@1egC32ym740_7Jm3FLgIL
+CbPG0m@WKz@@A004UzcPHU20000WLduQEVXv1Wj7Ww_TQIQCgj730010NIR0002YWKmWG00G
+U@5LXR8FOlnfnU00mV9kV@Yas0000G5Ij18s2WyZNQVTCEPd10K00lTLHwr9G0000W000100
+W8iD00001300WKiDe6R36UF100200W000088CsM2Hmd0900W9uP000GmG1UiNU2h9a00104W
+000W1400100sCO2842000000G0800K0HJS6aEl100yY1800k3W1000008W02G00400080100
+000W08G000W02G004W060000G02823pP001CG02O000000808M5W124000048010G8CyAwTb
+10003BPa000080140Ne91W06W@@P0ew1mjuC0808Ojz400G40400080140024W000DMd0080
+408408G00EKC140W0HSR010WWiPheYm4sTt0G000W0200040EI130190UEt030G0D0R0W0Wa
+2vDG_010G00ePxC00W00W0200100020000G0000800WWmf06W0000G0001004040G040G00G
+05Gl1z5O0W0YWDwDe3NFcMF120000GG0A6C1200W020G0G00UHV2Tpp00WNb1zyOcUa00W00
+4000090m@@68WG010GW10G0000G0xyR000020a00Y0W0_wFXxiC0000WOJ3W5@D8JB3krw10
+002Zl@G6@64FV2T0OGG@9qtk7RzRGP@6iAl19sR00186008G0820003000I2u@V30900W0Y0
+8PV3yD04@_l4fQaml@mSVi7G0800W0111800GW8G8e600WW00002800000W0VKQ0022WtVJ8
+vV3004g00000033mA@60H0008G8mG@6Chm3@_@040GWg7P8V4FE@t0W0W00G200G0AA00W06
+X0200G08I00040A084LWO40680G51X02820WTKO00u00000Y9_R0mA708200K00000Y05nd1
+0KW000201G41G400000WXxzV8HO3kmpW4DCuHo42as304000004X0040G004G804224HG081
+00G40O000800WW0006100Cm000W1110F0SLi14W80G0200000a70G4000um1POTI3ErAaRmP
+utQ3000400X0u@@A0G9002W0I8I1W400840W4200204X0G9000G01W008XBHC00000288110
+eIK208b000G90000000OK20000v0004190AH90A02000a01W4wDW02850G0nOjhG900000C4
+000Y0020100I10004W4u00Y00020XG8402i7302008W0002042020202W900Y000010042oG
+m04000eG100000202nK04G004XG0001NsP02000mJ500m0H001400G3000OGMW6W00008W00
+1C0eRFt00600080000200W0204W00K08eWfD822000011080302W00WW8G00080C00GG001u
+10800WhsD020100880W0200WOe0EWWW00WWWq010O00000W_K4DG0GG10040a00100012W00
+0G0We841iKB60020000W0W3W00400060YE0C0426Gcv6u00GuVECKYW80000080YGDj60m00
+000G20G88000KI400000Ie000GW428eAHG08G0GG0W0W28W01G4000000AG80W40200000G0
+810020020001000280000G000100uOI9410000e41W500W00G0KG400001b8G00G020Y0000
+0eeYh00m1000WGYW8080W000Y00E82000A2u0X00We844000400000W1080000WUJ0E08z5W
+00u0000020qV321WKl00024AwT3u0WzN420W00211eqlDW9q60G0WmUft0Y00702004A2S0W
+000e882020G00880W30002onPMXmNO6piegK5ScnC0_xVm3tYKLb51mV9ggg@@R0gMbPKrL0
+0F00Y1t0m@00000MCD00000OcC1000002V200Zxp0000SV0WMM500000000g0u@V90m@0000
+W7LLj0CpSHmNrY0u@51FyK1W@nKc0GbgI4Wzct005m4WBWB0J0N0kW01S11gW20K1S580u2O
+0W1GA0304GW0A001m1000l100c1E0y6e2e3u700mE0000000jZO0CWVW30d1@041k1008200
+06000S60082m00WHOCO4r700J0Z000610K020e0C1G1O2u2m4m4W9W9000Jq@@U881100GA1
+G2G884K200e40P01HG0I0aI0285a011000A10000000BJBIX4_@t0mF00@@R00Kjg55C0000
+00O60CF30KjAc100CZP00u1ygtYJb0lb0200uZRF00GRF0OIQ0@akmnu1IFgKUZ5oD0e11GP
+ma4oy9nDB40G0W_mV00001s10WtvtBqSC_Wd100mItqrobvIiFl1ZzgokyRyPU2HQb0G4240
+000WP20UiBXhxD08W02000WcrO8vS300W1000X0W002000G020WGa00@gtWfyD0102GUzm4C
+E99yRG_nF00004402G@v6aOd1@@R000aWg3COR_7m000CwV2Tippe7CKGd10400004102000
+0WmQs@9a296TnpmYT608G00G2XGry600W0080000G0eOTB20040049it@V0G51GT_U001000
+0WOC_6qEZ4xyZKd7600W0010G000WWPtP00043v10W@@z00GGKDw6Smk1W0G0AaZXcuC8eDR
+YTD1000000W4X001imU2zdp000w_I@t0022mQ_9ad@3tuRmZzCq2VBp_@00Y8WYGV0G41GQy
+90So18cwG00000012edU60020iX@3P1c30K4Wu@DG4104200000K0G4O00001Sjl1bQPWe10
+Wp@zGW1210m0Xa2C0410000108200zQomOwOCLGBG0G20000G602aYI00120WKlD8TV30003
+4z@600X0@wt00080@QQG8_6a@l10A00sSFXRpCu@rSe400200002A1W0081Wea200W0000AX
+00087F30Lt0KseA2000e00H00000GX000000G04000H02ep0G00G175IazO0008unU3G000Y
+G21010005G000G0WK004Ekt0z300FVVoOv600G18ME3a500W050W10002800W0GO0W00e002
+4vjD0W01G0W9m282YW0002W80W80O2444002M0W2e00W000WfYLUR10021C0000022CW00CW
+30m44m00C00GG0404G100110901KslD00W01040i7b180G00002Ge00OGs40At04zVB4G080
+00000H049YW0004GW0100HGe0G000aW0W882GI1v00Q000W0eN8E00050U100080000W00j2
+0000b0000qu3006Uc4000XW080sTtWOug00020O00W7ZzupVC000OBp00u@V3000fnI0000I
+BT_@900Wlz@VOyF000W@1NuBWfCN4WOuC0yK1FunCJ00W@nmXnGAX03G400WR0008000WW00
+0p000O0K100u10m@@60ip08kVF00408W01G01201040I2000040YXhYfuC8i@P00K@30000e
+q70C3000CD0CBJ0OPQi000ewFF3Qlt0w100Pp5Y04a01b0p0A81100045dAD_mpy@C00eXv6
+k0hGlY7yP0eI0mNMj0W00AUMRkHA7000uS200QS7f4lvvlzD00WiyEMBR8lKTxg0co1OBnF1
+00mH7008H@PW000K1G200140000010GOo3aAcapc_n0KV1mIqpqch1jrR000W020000W00G0
+04aivvF7SUd3@lPn@PUy@b9@Nvo@JsyVaF@@Oq@Lfh2000Mh000VuvKI1a6TbzW8F0w87fhm
+MQBU60000UL_3valHlnLyZl1tmPGA@N108xRbzD28tZZmPe6Gj00000030ed1aUXxA000yi6
+00opscZyJucR66xdA04BmZuRpzsyynFCWlM0gu8gA0d700ywFA5T_PNWGN06yl5000Y1no00
+01W5DUukMgaF00CtFC0005kTnZZTT20GaHEiy000I8CZn0VN0ytlD0e046wEXcmp9jtJKA00
+K@3FW000UyDgs@V00GivX@sWG00000GGHuvimRQ000c10ppaVTBtnRZZ00Wz_R100880000W
+800pzR0082WNS0300WnT@mGrg00000kF00WzR@Vt@7mD00yM_IDaqLtrS20E1uxxbw4dA000
+yE500AiVfnme20WDuh@y08008CS3cwlB0M70HpdJoCf9Q00e8@V0014i6MN00SkA5K800a8t
+y5ID@y8Mx1OP@F100WR900u@VX000HaL8O@z@9801W@@BQUDj000GuU00urZV000W0H00eGf
+P_@@400Uq@@Bqvd6a573@@x4mZ5W@@v10X0000WW70C8TDjG100y@FFnmn0001WE1_v@@A00
+uAdKWG0028_@lBGu90@@d3000cTNP8BE3cIDA0004pan30M50Qf50000gYX3oAXV86E3oYBX
+Ou8y@@A00yFa5NKz1d0000Ky100ry0p@@l10mdFqib2xvmJK7B@O9CB00yjMc@@R000WXblT
+QIQg0gU0qkBFLXR04G0X4yr2000hV00WZzvfCS3_2lhHRD86kV0010q0W10002sa_@Aj@VIy
+@ZK@@7v@lH@@dKkN0010000O9D00y1z@TOVE000CB000F_Vo2yu10msi7pMgC@@i@t0a00Gb
+pdqK@L3UpmZo64@y300gGwCJbDzJ8Sx7oaEgoGDO@@40Zp0CwE3zkdGYrRiRj400H0FNZdZn
+b0C00GYqCGB00OYC3At_XaCmOFZAIv6908W0@@B10Wice8d9Nz7000ai016nh@MssLO6000G
+000008000010808000H_w1La300gfddWBUuOVg00uZj82LjSFFX00WsHBgDJj00ybSv@9000
+4Jpe200A0rwR000G0Z0W08600MsFAGYD0vGsoCcF0W4I048W80084000Y00440020KPW4vC9
+aw00WNCu104201C00YG400m054004AW0C8j@e00yuy@VB00W2Q6b100280050040W0W20rW8
+808300H660Nx75mk2WEP@10G04040WUKD080Gm@@H100YX900m@@a0008a403GrsF00Ql1u0
+Gg6020020X0080Gx9420GRPR@e00yFEu@I0WkmYsdAWZE0@@VY1000I200jzm000u000Wt00
+0P0E0O0a1q1u100mE0W7Nd720000WUe7U6xROCkn_40DC0PQNHR_CiiD6N7Bn7tOSYC6ZBJ2
+000CQ1003MlKn_HH000000kQcsLqft9DcVruW6yXV2WkB0QgYa10Pu3G9oAGhX4DmM00m@@6
+0404u@V30100_3t60100U3x1W0000410Yus900807fd00W7@97Dupn4000010G08Hz4EKiYN
+5P0000uJuNj@V20rV0A29amrOudF3ICx100a0heNnnvFCVk1ldpGqb6SX_31fRGa2COF008E
+jDArtc83aeUG328yXy1D8A09000uC@F30827ETl20410lXP3G00WXDJeAU3oK8asGD85096L
+q041000k70ghFXzvV8KF9sWRc05a8vM3ASyXK0euyq40O104Df4RZQ000WeLIX1000qKfC80
+00eJo7obqW3SUuWI3Qda1Y000W001000W00082000XO10000015_@00040000400H0IV8aIB
+P00H00022WoNDuzL3W000U0h1T0aGA09004002000010We0O02008000XpODeNa400GT1002
+8oH3QlaXxqE10G0m1jF0208000000K000000F0SG706000KO503I1WYe0s00000e10000G80
+085Q@y10020D1amLSU040040W82000H000W0008IfDA0008Q600QFrWLUV86HL0010800000
+60GeeF000821010G0WYMOC000H0W0000G11f0O0W400W0000W0100000G20AA03808000e0I
+020GHm6yqf100ih100eKva401000200yD990010040000001G500000C8000PemGI69a2W40
+0G0gNm00002HjcGaA9yjA30BG00A00Ktf4W0000102KEiAn3R00G0WbIDG20001200G00080
+00410DW004G00q000G010G4f0GHK0F00028A038000W000GqtG800008HY05Zo008420080j
+tcGXiO000W10W00I10800E0000W00jI0008z9rYqT10000itL10mziIy@FI08208202GIG6X
+CWCY81P4HAm8YK095f0o041aC50AY2G22e986100@4s0000OaH40004000c01000SOm98400
+Wa00Gtka00c00000C0y60000uhmb002eAL100_70@nCLL98m3NGCNbW0uV1nCpe2W@1UuCd2
+W1Os@WmCp11W@100@ZfCPcge0CGc11W@320@Z90_7pCVhE100D4e500_@@100Wl00el_@NHG
+J905j5oFh@CYS8zmL1080084002000000GIU00uDjkMRca1hz00WpKo_M20020018mJt60wj
+08Ox72Khhav3PFS30001Coj10G00000m8h008ID6EenWKjtuhEdc0tWtvbOhQ36Et000X500
+GK0400iaa1040800YICNa1fwRmdng014GPtWDow730G00zzpGOw64bJ2@fR0Wa31000m9wd0
+0W100W20vadGvRWbHf77fR0000Cz00Ga000M4o00020000Y00G0000A8BCCkL730G01e0G06
+3@dHoD0000KM_900W000G@zewLqyU2G0H0YU@dGDc108G000WWdyJG461Hxy6000I2000404
+0mXrDO6kPksV9WG20002000002m061000jF0G02000401C00G80G40008000010Y000xAcG2
+uu1ZCG002280100001n80G0G44Wahl14108wvsWF2hujLpc_t000084G000LK0G0000KLY04
+620GW4Y800H144Y202G1080u2N60G80eu@bkydaYHC00000eu200m8Y21020WGH100WoeGG4
+0Y04400A001400200O000L000410H820000H00G0H4aUB@mN4508090G0K200400000b000m
+R20G008YaIKY0GW80043W14G10000H045KA13LcstWYF5wZS300c420G8u4V3G00004n10GD
+Q028W006W1N@RmL_684G90040nn@dy_A3Hxp3000gwiD0800000G00002441G000Gx60WG80
+Z5008240K48GGGG2M0W205100O800W04C009Th2004400000800@@N808GWG0000001eO0G1
+m10000UU8280lVR0G00K0eGG00000201048020G2Gi7dSKK2Lx@300W0I0C0@@d00eF0000W
+U1004Mhqx0000ml000040002G0Ws1000Wg1Q31000g6020000GdfOGo@a000A1X00W400W@@
+5Yx@300_dV@70@wF0@100mXp@3W@@30000V000008A0000gBn0ggKRy2@E12200414n00WX1
+00000mXBv000G000m0K0W1eoH5G73006200eC00WY000P0C000WG0Os@J830000G6u@@Y00C
+w200g7W1600420m300W5b0400080r@dI000_xF40000K@@0id200Hy1WgouB00WA5100HbFV
+UvO80008MyA00C1SNB304046wqWZtR4eZ0G5Ugq0NN0G00UOl2000a4700MQF100802000t2
+@ao@pH1000080WIPC0000mGT6KGl100402eqWK0O00mGOWv94Ll10G20oXdXywL1400njgm0
+0W0Oxx4G0WW88G0uMV6W8W40GK020000WPMWi0C02000000G8001001W080444KBFjR00009
+8100@@33081W@@D0G0Am6KC0008efL3000mng00u@x7U@o600800K00lkd430000G000C08C
+ck1@uR00GW000800040MftWv4C024H0010000et74R008000G000I00W0120GW0020GGk_6y
+h@9ziR0840Wp2jfnO308Y0ycV2f0a04100WK50xZZHXQj000mG4G0W020WWnKPvy4cVd1800
+8xDdmr_6000Yng00GOt9aJ03pxRGsRd8000ulU3QiFaq4Iuoz7020G4Z@3000Goxt0006s01
+00ELm00400000G0W8ZCpz3ZB7I9@600G0G801noua40Y180000004X880002000WWWY@D00W
+0GA0600G00440Gc@60U48OtV30100040086W4kxrWdcRvHkJ4101080004040W0G00000G00
+1008H0X0020W0W8G08200800W00W00GW8G8503000GvZ0000W02000020G0400040082L00u
+5t4wN@ay3D0102mkdd000800G00008GH41W000Y0000220820020800808G4Y0G00000b2W1
+040000CM3200000W8414Wi0C000H00GGG840WJaR0200Wau3v7S3mYK0J0I81Wa0GKxX0490
+010e00W81I1XIKAMIGQ2GI900041O60K2024042G9b0G28A14L280014Y00A100Ws30004H0
+0bK21I95fGK94000We00e40a02p0WIAH0400HI140nf620A10000Y0W000WP0iXk7ndQ0000
+G00W28WGG00140WW40XYG00KW0O2008G12WPO0W0200X6G83880AGGH0502000GRF50GOW20
+2808W028CW0Ww0m0AeGO0002G0G20030110emt_X0mi000600b03WtmP8z_D00H0004H0C00
+0W2G0m00W8801W820Y0002W42A054C180C08004W08210104010007G8Y00012W4W0W43W1G
+4G001G5012GuTV30810KZE98400G8O0DvU2btJY400000Y000000W00100I00204G48Xg@J0
+88000I002W8020000hh900A1eiV302Y043W148K009004vg10200oiF400e000008G252e4B
+82TI400H0W4eN02402V1H0OG0282410z18W80qBq70320mK0U0004XIfFWO0CW11aGM0F0H0
+80014mNt6Cjh740200000W_80G40_oVzd0WgMGLLj80_dAggALgwVgKrYKfg51W@B2W@N40@
+3WgK50@J1m0B20000W2KrYgIr5LbgKfAL1mV@3W@M40@j80LPHuXtY0yFgW7UOc90nY6200m
+If100iabf1WXv1Wq08dDIC2M2uii5WrOT0@0@0U3_Py3ypaDud1h3EJs7uTA0Fu00000BS6i
+Fmxi0I0udXDmF3B7SMMEmR0SWVWZ0c0MP00Oj@X000C600O70382WEGavy72U0000OuX2Wd3
+5qm3BeX7cX3FC3FSO6UOGCymWCyX1b2W4000G5vC1PuX3om3BZIBrG7oiCc98pWPGp0yW6sm
+0bfCz0WftFn3F000O60_70u2F8cZSFA00_0zGdsizv0_B1Om_bkG3ii@o40GGvY2ETBy9Lxo
+GVyI0004eaU600n0COF3000W000G9000euQRM_5fcoI00800000MY000hAZKbJdalk4DyB16
+000C000TwR00Wst@_pPsZq0760azm3000WFit6G000LH2Pwd@VUw@bl_@uj@7_x@V7@Vtp@l
+Tz@PV@@rv@Nz_@VsFVJp9000_AdQO_@ViVkDO8v40hP0qVud000ObV00avs@NszVbV@FPu@H
+E_@Zb@tuv@Bc_VYh@VOx@JDN40WLsrm45eo0mNn@VQcG0000004fFfT2rm190G0WlpD0ec0G
+vyMI020W00000800000eU200YKOog_5DgU32hF1G800@@Rvo_90002Wc00GMw@Fu@FZpR0Ob
+6Wa28zY2B1002SJf1000GvA00atlb0200_@t000Bd@@F90K0WCSO0iy3mO6SczW18600IS9J
+00000W1000iuz@Vc0060000W20000003m@@M200et@10m@@609008jNB10GCz@l100KO@@@@
+@@J0002m@@v000W6C00GOA9ypL2@6dc000WMmOubP3sDu100eXx4K18800000W0W80sHm380
+0WJ9yGFC9iM6C3Ci18J6W9QmuG53IAmZa0I8B0FkBGYq4OeFX4YHXXi7OGG00Go2IiXj1000
+4ddGB0004FMbGASRG0000001KG3F0020epd4AguXcVCOj2j4001CJH5Ws70EuOfFmov7i7GW
+00C7U2000ej300y@F3LxbM89IyA2600eYouu10800rDbmO4FKwa1Bjbv@@@@__@jt@@w@@@@
+@@@@@@@@51000Lp00mLKXy@@@@@@@Yr8z@l70a000400000H8ZW0B_C4WXB0h8pGFKKbK0F1
+xP0H00WwW3y9rD080000Gq@@V6W008aRCR@6RGqe9yZ930008000100100W000G95Wg3DuGy
+4QypZc@W9RHL0GW0Kke1G00080000000120000G020008u700s_RZf6DuhnAI1WYN7R9IX4E
+Lt00G2mvHdGqr6W000004030008u3000060_@7ZGsDe8H6oqDXs4b8PXSIbrWztV0402040G
+0000000Qm@Y@XcuV30Y0GTULG008eNu40Px0y@@34200EK4cvRBw@@4G004X000000G400W8
+000400WerF00y@l1Y400000az0uR0400QbyXhIV0W00000idtZvSaK30d80iYS51lcsDdF02
+00020WX088YuED01W0000086100@@d0000O00Gm00W8_@tC800WG000A4b10080lqc01G4WU
+FC00mZs@@6000YPxr4UQXgNJm8EtA_pb101000eR0MuEpaUD00001z00W@@L40G8GCfCi3l1
+00ss@uPi3NKv7_7IJs0Wc2095iNrwOiil1020000Go0000nH00GFyPU6k1000q@@t0000Yzc
+@c000WTbV85W4UIn00400dXR0OH4W@@D000W100000600@@R6000O1W02DT@00800W200000
+204G043l1NxR0D00W85I0000X030Wem8CYP600mFz0FXRmRmprL00000C_ZGTR2zp4IlNN10
+00Ks20095uNLuRy@l100G4Qo8jfhnW022m@@6G108uIT3c4@XaZC8ES3gitWypPezwkwep00
+00W5yR00W00G00WBsR080G08200002010G00000be00GRxIizvOp_d0081YZ@D0040oh_600
+00201800000W020W00000e8lZ@3RiPG@RRyk_I00W1A_F1000G200204000010O@z70nE0KP
+E3bcFMM_CCdF3vtBHZz6000W3i00mIvr10004800nk@C00208AE608102100040000YGg7rP
+h5F90G48_g@3VsnGeK600j08zDv0200000W004HKHY6G4008at4I_FX1LD000G00006a200H
+AysO_6004011000G0W882W8V0QGpZ600Y0u@V30I04X0000800000RzXPbx7J3080e2H0vu_
+I60100qFR2JUQ000AG1000WSU0om5F21G200140G0Y0W0G200000I0WdHD0GA0085120e000
+0000020100WpM00m@@f100Wy@V300X0G0000042014008000mq00gHD1Y001TYo0080000SI
+vRz6080C0010000W0W8W0002I0W510A002U00000A00040A00u@@40058Ktg100N0_@@D08G
+0J1b0G000201000A00G0WKoQ2e000cJDXQNDmY00mPKo1W80G64601200D000490041G0WM0
+000aI0Y50G0Wa94000800W000860000Wi1004fL@@Zdu30Hu300LbZ100uV0000ul@0C0000
+0d0u@@t830000G6HM2C2i4GJO9WC0L0l1o800ypW60000061000100W9G0040Z840X800KCS
+6eN00u@Vs000c1000WIB50pmC8pmSGoCJpy0yoO60K5L11m@@F0003ykN300CR_@VWnBdG6t
+LG00008M1m@@V200W4H00GDpRS8wCTz7500JZa2uv@Vp0kt0aPQHZeM40G0WKxDOsU300W20
+0100000RGE6y@V2tIR0008alORX000Gy5RaH83Hqp00G008W02j4mmdQ6G04GufU3gPt000F
+JTjhI@3Ez7T2RapGS16GW100080W00024WW0000006W0y@F328006OtWIkbefR96_EX55yOg
+_42y@100W00G01G002SPV20800Y8H2000CS301oD4c8Cpvv4HNCeuA6_VYY@Zuu@7I_lXb@d
+JA70010LyRmZD@tup@B6zVYJ@VOr@5Uz@WP@N_@OZo@0100000G1@@Rmai9iAE91tR00WHgR
+7Z2000@@@C000U000000C000000G0OCQFd40300p@p0ur70mN4J1VQ900u30p00000Of00md
+KmOfpp30WsgLB45iS1m@LV20005t00GLZv7Hl1ZQwneeOSLw30pS0688dU_5wxq4c0812000
+G0000H02q4V2000um000iOm6ztRmrdIa@f4TW_G4jaazl1t7pG1f900488@@400GJzbSB3a2
+JBfdyGx300e1g@d10b30@dII2jF0005uc@Y8200K3C97BRsa@y0WOjF9s@JK9va@310meHyw
+xrNy90HV0sXVF000GfQ720006o000trRP_@900GaDPVy004Gyx@90030_@7F0410zkVoJ_ZL
+0a4noR0G0W40040N_7200duel@lB3F000C100081k7gyl2u000bf@VnMRKHH5hqpW800WC0D
+0SI1GBW9SRH5HPiKX6R4Or6000OmB00qZlbxIbGWKQbn364000QwP30001panmLa60Ef0Ocj
+4gzwaFUW10000005WiAV83E3000WG4008953080GjPf100001WC04Jf10100100WeJ008iI6
+00024hY1HLwH_A9qaeD0000BWp00000G110ElE1200000010W01G000uVJ30Y0000Wy30004
+010aGEJ00W00401m7OC8gJ300W0qrf10140o08X2FDu0W1cSa4000KNUy0082WXjD0G29020
+20000000O00300z@l1G00W1000010100011C000mV4000G000W0Ktj12000080212G00003G
+ct60G000G0000100000WW000EBm0000GLUR0000YERsOO4Cc9bXPHPuTK34000IW10eJG6G2
+00aQA3rrPp_Ia0800WW00mASO4hQ28A004G684hg100H0008000mmSDL300Y0qG860100480
+04fA904G0_@t3000HdIH2W000800082W0_@t0H@40@@p0400Xa9OG200000WXK0OePfDoTqW
+Y7n004WG3WdC6@3000GO300iDh14H40000200G00220mlyF0010OQ_GUG5ZSSD02K0mkYaaQ
+V20064@@F100ZoFRO000010410004800004293xNGok3XieW1G4W0ktTZkzJW4820Y100m00
+0@@d0ON2WrUD0Y00W8000a0000900YkW100G50W0W1G0GyvV8G000Vz73000W0G800040is9
+3FSnGbm600H040210H8A0O0W282041G48200G4000ja10WV2C0Z82000800A800e4W@@t000
+m0@@R00B00m0409qvHFn6yHN50G10cE2ZR0CO80300000W0100W1mi694Ui100kEJDD1H000
+K0G0000c11b0uxq7saGbr9buoZ4G000000WSg0C6gq0W0009pcGEB6000000s2GFp6008050
+0008K0WZKGwc632bs00m00rgA1e00WQeJ0400r2k6G0G0u@@7000Gw800u@V3W2m0002025G
+08Y00mYgP0012mtfXq5h1leAnZiFiAh4fhPmtiCilg4JY_0W0800A00nNl400W4420020000
+00814108gR6mW407rZ1PkQ0900WzNn08r0m@@600120008008E0043JU100U8_XzT9PjYSgL
+a18WG0G4VW000G8U00e1v4G600y@l10140UzsWfLVeTZ4_@V6o00000a10001000Wu1E30C1
+0a6I20GI0000Wq0W1xoQW0000O000G0G001W0imR200SN24m000W0q400u7eZ8BmF3K20GZX
+C0m00OyPIQmS30080egA0wVa40W02F0OmCmC0Ca1G000200000myC00WCv1u1GLg2Ic00Gk2
+C4fG81HPG23FKHvF@@d0000EI200bmvqHoRKei13HpGqoFCJN500cfW000CO@3NBOmMqISkS
+5xtlqUUO06S000000090WQy8PM8Oou_XQkDOaQ6gSb4000eC800IWTZrj3vbxDM@c120004W
+00_@d10GW00000042000052100000O080e000W00020000WQHy4020000WJ00000012WMnh8
+iMF_Gi2000WTcR0H00XyiV002GI_xF000800e2W80080402@@d00GW0W00A00G04000Kpl1W
+aO0000000420800H1wLSpjDjd@008010G00XioGY_6000204000004040000018c0p02W040
+c20G204atk1000O0D00000100201001WJsPeny4QW460108JeRmLMF0012u@V600140000G8
+0840eX4200040W12z1300NN00000084q7vCxSbpIRUaNF3FkP0851W1HSQ8V36Nd400G0200
+0000GCFl1xHPmfy6CBF300Wu1E00iJ@3Z5uKIRaijl1G00080104lV200010020010000081
+G01WMzDONV3oBwdWjPuqzG_HCXH_De0V3kuYX@@D0Wd2mF2HH000ONVI08004pF3080GQ2y1
+00G00800000WpL00Ckx4_xcaPFdv@VC002e2G80000Y0008mqhDG0220034XZLCeJS308W00
+0u@740Wm2YCG400WG00Glsy00b00001Kn@Xe40aI21e4bW40c9K0KW0000K900b0009WeGKI
+941G4aY4bG000G081W2000uy0G0W0Y0H1bG400I001000W0008WLho9yT3G000W020epyG00
+842028W2G20Cm400f000WG0GW20WG300140WG0542I0GK00G82000000XZQ001C000G000W0
+GYYl80098020WkfF4080801069212W10A008V0A00041G08202000G9W18000G022W800050
+G0000y92G00300002A00000H0820ANx700G00G0W0008G8008ZJ6_@N20K0000401W8GCcH2
+01m0004G108004GYG9g600001W000mL31WA01JbAn@@v00u001008HG4W2zz00GGl8410i@Y
+G0GW00GC200Y300nWaNeF0Y40xUH808I0086W00j10W88j1000GaZs08I0ag1W0O2T30G94U
+wf1@@d3@NKLgc8pO60000uX7uVH00Qw_@t9000H0e0c0G1C1O000m41Gn@Rui80WpHA0@0N0
+I2_Py3aH49ud9I1EJa2uj85mFWBWt0@CP0_PH2aHYa00000m_CHAWtWK0P0E000aHa10000G
+61Wtz7300Kb0cP611Fye2UuHLLg2ym320_74LpC8m3VGKLLCnCpOAJLfIL1XfC3IL000iEq@
+WmCp1XPc100000@10W3xrQLQ92Hy400r3f3UrHr6Ky86pOJID@908h0ePznYyd7000iG5002
+iYg5tV0008mdw6KoB37P@G5uFy4V200qu@@tC0W8GW0G0kLc1000Y82G0I4F1W0400004wjt
+0000KpbPGdz60c218_U3002000088F_4IwTc@xVemS60010Skb10H01_@t00012TxR040018
+I02G0400000024000000110muWJ000080006Y1000800Ias0G002R6mmsL81000020G1W04G
+00242800U@s0W0W0Y00010000024220008008000GG800000100W00C110020W_@D00mRM5i
+60002OLL6wKtcd4RX0000Y00WvhD01400W00W8mD8KU3E1F1W0000F00_@t0a0000002G010
+C6UEjwBHmn9yOT27sR00e0000000101BXtWLxIe3P62QcX1KV8QVXcrt0004Y8000G004100
+8208200W000G400240_@F1024000H1@@t041W8z_l102yW5uqQgV6out01000xYRmfp60004
+1WY0qGuFixl4Wj50kwygQ@DOiTC000804Y08eV6cVtWXtb0000YI10W_4e200I401000I4W0
+W447Xt080000K80_@r0a0000eG0WeY010W0010WH@@6000920000022Wr_V000dOXhN10020
+W0Wmvx98G0We8V3Azt000W802600Y0HWH41200mwR56akA60OA02XvXsPlg053k@d13000R_
+d0000etyD8A090000gS00Ocy4QEEdgUjPkVCUw@100s5t7go4Wv0000SWV9EntWQ_V0008uc
+@I0MT1enu7AxKex1DOh530080F47605100000WW00ei06E5N2000iQ000IVYgloP00001001
+0a20GN07IK0L00e8guZ400000008a400GEy5Tkl108m0w_d10WD0000_zV00S@H24500W0@@
+7al70NK0UZEgH_D0080mxt9e0000N000006WDeJ8HS3_1t00600b@R0080WLnDO529Q@tWYn
+DO5EX000WczV2m70000_zB04nK08YV2sI00030ei30000GL300j_l1006@rMDB5@7IolYVzP
+e7S60os0ChDL0008kwd10001rz@mZ@9idj70080000GViV2jMTIXiLq6_CnUV20100007MT6
+PJjvX0800eAT6IgsWAqbOAT6AZdXFhP0W32Gz@60101u8ib81000004ypz4_@s0800G00009
+0010001W800010002000JfR0042000W82080owt0G000040GG00000G00000jI02Ws@JG800
+GxQ6KXhJrpRW0000002C810G01W0E6h181GH00e8010010YW0G01W6zD008W001420200040
+0k7t000jDG000020OSOl1VdRmKz6iWCFHAOmeU6SAl1FgR000230G0GBlQ028010C000Y010
+00003204W000080aSnD0GW0Ga26K@l10kM1Ycd10WG0biRGDSyasl1xrB1400A020404W004
+G0G80000G02800G0020W0000W800008Pm7300800GG000W0000200140000ePE8000010800
+q1M9aWiG1kB100220a108200G410Krl10400G0030240080001100W020t_R0010m2iP0W02
+000tV00000GW8oiHYJSv9OD3Q@c1G0000040U@F10W008220oZEXWxDuqU30G00000WG4W0G
+_@9OCw1uxU3000GC8N2PIp3000400GW000G6ot0002WV_R0020010049sRGh@60880ely400
+0O00048u1300W24Wl1djO00002g2000000100W820002041010eiBI8UBXUmt000W40G01gn
+dXtEC0001mpw600A0uQT3080KiE43b@R00WYix@D00XGqMt60000gMuV08008K000000e000
+0000WW0000W00Y018W80G4W0005000000G01G00GK42W0A400028G4WG0G40348000000101
+000G1200m080AhF1Ws1000800X8008c100100c100108Gp5bGY_s004881J3080001000012
+0G10OW0028200W0HW00a00000020E0G002K0W0920G0040400o0008GG0C0048048G000010
+W0WW0000048W000OjF00000H000WH8560G0ewUjVG000820KYI00W000IA10W00041Z24800
+040Ae0000I0Oc2Z@P0b000000ODlP000200W00Po@0X0W0000YWG00000t100004080X00WK
+8neS@M000A1000504G0000J0821K0200W1000W000Q0GPw6a_l1C002k@BXmCDOJU6000P10
+0OW2A100C0080000@I5040X104OOdA3oXSZ@@F10012H00A0OO4010GCWWIGG00GG0000010
+00GH208GGG1CXO0j085W8K400860000000H0159l18044Egt00G0084G0005Hm9800088000
+0Egh4M80246jt0a100pZA440100K0W00000W08201G0W0aGJG6W480ubU3e00000401aW044
+00000W004800WG002W88yU30W00800Y00002WG00400g01G000yV210W0G0000K9XfzD8htV
+000K61k1fVR00eC0041fQWm88000e100W010W84004600Y1fNGW40310800002W400010400
+00GA00ieV2000W00bH46k1Wj9A5W81Wm60eqq4W400ay9L0A009A0A30_@lpV3000LB0unlv
+zAO@R0aIv3E8Y0W0W0000W0mg30000GL700Bs@Z3Y0W8mDWtWV0P0@0H2o0WKW1410AAI1q1
+e2e9G7mFWEGa0@089m0000D100G0A0u20101mA0004000a5N2S6m083u782G6Ga20000V574
+f0y6I183O003G60406GfG@000gI0FyWW0uVKfggegAL1W@11pC3YgP6W@188CpCcOcP0u@@W
+ByWeIL1100000040ym7WW884n3G0000G0000C000ly0mt_GmCp000K5uX7GOu60Kb2FIS30E
+mg30@51GP7G2SHDxD3hs@Ge@FSfW1buB1L00W7Afwoc4sicX6oDOn@4sonW5fJ8wy4I5@100
+Nu01006GtWQaI00G0o4R5zYk4Zcp0000YolF1K50GHu2jf_3W040URFXXZIeyw46MFXRsDO8
+_408000020ehD3MVd1000KS620cad10G00000GcXd7121W9mR0004WZwD00044000K010e00
+G40020110002000W0048002G00GIWt00Y00vqP00000W020TjQGnz600mqV0V30008e80000
+0W0000XItv1000uST600G2012m02a8008I8010000010410bW08OIp601000100401000120
+010GW221Cnl1004G009000001WC0e0215000820000Ky18W00000004W0000002010wCx70W
+24m1000000040mu9V30eWG80000W400006384020E000G02000G0G82W0202000W20GAAst0
+1G107CQ000i0C000e0G008W2000W6K0G0108000002O000002e000m000mbv21000410W060
+8O2002ZyQ0W0C600403XPGG_600G0OPJ30002W200u@V3010804G000W00G40WoxD00mlvzz
+60020gL@4YXx70GG0JwpmC56i3l1dWBna_600100900mmu60W00G00W0p00WjxJ0Kl3GYvC4
+QX1hh@3000mGwDeqU602000000100900010100000G00080G0P0OwU3E6t01010828041440
+280uaT300W000020B00Kf_FSDhG0800O044X8Ae00e00O0000W01002000810400OlU3E5M2
+00W8Vg@0001WsnC000arsw5z@V200WX000a080WeO238Y800C00020G000WWUgJO7V600W4T
+R@300G00400Knl1bsR00K5Wp_D8LU3IDN80m800G500G04G5H42K0100802014N401G80302
+40000YGmU@9801000000WG4WFzD000010W00C3808002102H0000064004G0G000qC5W0000
+GrAV200a0sF_7W0010K6YGC80200O01gA2OKIWJEC00490H120022002WIo_p0W201e20110
+00100G40IW8028G000000We012022Y0GW02Gwd600mb40220060Wp_DuhV3oNx71000jRdmA
+C64vF30G10cEtWB_Du3Q30400y2j4t0a0et300400200bQfOfKAV00P000Y0WRgbOdS30W00
+0008W800m0o9i_F300420000ISHG85I36ks00800RSBqOiC000820200100W@OJ0000Obi90
+0080001mIuCqyV2F3d00WaL00G0R4PmxY6CK0IZlR00W4000m8002G4002iqe1VzOm7A6aKG
+2n@@GW76ioU2WqR00200W0008f66kcT90GYZ00W4YOY100014000cYF10020000W00C04373
+hzc0GGI40000Cc690E0aY0W0u@VaKT0e2r00W@02800AR0115000850008000400K0000yOm
+J00L7mor6y_F3G40YcocX@@D00GDUy@H100G000W1010306WE0C000w000W00O6S30060200
+0Tj83I1m00080u20000m5b2G20008080G0W0u20100WB0000000mgZ000U30000u00npi55g
+k100R00eeOxV0uFPT30000rYl40ud@1000004n700008YF000000ux0y@x008Wy70C000m70
+0004YF0y1000Q800u@V30F@04iNHxW@0040WduDO1L3IpTZjgh000200WgyWnhuKQ3MtVZNV
+n000080840010001800500bPV20120100008009vRFIbpW6aDezx40LO000W0uoN36WvXZVO
+0100m3_CqGq60020wrtWW@P04000W04WIBQvv@4000mAM00ez@PswQ300G0006000WG0080e
+tV30080y5b1002GcT7ZH8Oe6N3Izr0002000gPh3mWT_DOSV30100SEj1BlR00a000400LfV
+o9l60G0040W08WG130G4K00000K1008K0uaU3YYsWCaD00e0G6e6080GG800oDs900000Y00
+0W000WW00W0000QfW02000080mTp600G0eyS3W0W0_YV2J6dm7@dGG080800410800008000
+G04201000G0022G010W2HW050000002G040G002040acaD0WG0401000900W0W000G18G000
+802Ix_9W800000G0G80044280WGG00W02080H00010040402820KGAht000I0tvRmW368002
+u_SC4000120W000420080821006000003000O2G06080040D2GpwR0000G00O0002040K00G
+0028024G00G000m0000080Y000W0GW000801008000iAw@r0800W4020YIo001X000500100
+2G048hv40810K9h17bRmTNR0W0006001800G21400G8001440O000G0000W0W30600G0000G
+000C0G00G0088y@l102044400CKl18000G0000300um2300000Ow11000002400W0W020G08
+G0TTl100GG0G00Stj1040Gw@lYupy010G0120XkZO0W000000G4B00G008W808apF3Y000G4
+00100200800amG00004m0004H00yhg1U6001O00008104HW8G080068082W0400000H0G00Y
+84H40022W000080W0W040eL96Mf5300G0G4010000I800000H810802294002800010800G4
+0000G204010JrbW000WfzD004m060008AW0GG0082020HW0000a9080004NA82400Y00W020
+O8@4400WiEa12G4H0W800H6XHcP20410WE@DeuU30W80y7V5TCQW00W8G004G00X51080880
+G200GR@F4fd1nsn004000008VeR084G4X0000000U0q0Gx50000Hogt00810W0084420000W
+W841G4oIKTe10050wZt3W00100200H00I6000408qFZ6008200400W0WWAKV00o000200400
+2NOO0A100G014rLO0802YEyDGt0002080G8004W802vAXUuPeZV3WG00Cpd1FKbGuTO0100Y
+A10000001D4WqX0KWW2OW4L2001208m028000Y01940Z8004muEF30040G500G000100G600
+0CO0mYW0GW29800H10800024080082000SngG8GGWK10M0K08YO40004540pG0181m08Y8Ga
+404H4Ga8kW80010000608Hcx6G402000G00G400009PyN10O004GGn4fK900J00L190Ca000
+1400W8008001W600mG0080W0X51W02CG000uK16WW000I50320K64C0XK1H49L9g03Y042p8
+000000tB8022IW060A0G410Y41H8YGY0G00001W800000G4H41000G4w0nCcX1H000800IGS
+P1300G0W8Y0uQsD0a20qPV20W00gzF11000K000gstWF4b00W4GGSI000WLyG60000XafD8G
+W4McpWFfPuqU3o7mWffDOY066wz10021f4MnRv90002PisAwKyXT3C00G6904100440pKc0K
+00W3BD8_n40004SYN2TRQ0080WGitW010202W040000m00YfaXq@D000KGid9iiR8h0Q0Wp1
+WDoJ8yJ300GG102fG0000W00ajzD00G480000000E00A0HG1Y000eWW0Wm1Y9y@@3002WGH0
+4XXO4W00m1G10110a42000gstWYEJ82936Qw4000G_600Ait01020h5R0400WUID0120GabF
+0001QHV3820WCUh11sN100manZhe6V300X200000180mvmR4aS2G000008P120010a20W00u
+YyDW40000802q0208D1W0000WG008h330010000GY920Grx602I8400cGo_L0000L0w3F001
+LK5kwu@@00001SBW1pzR00u700004YF0c@G_1100000Wg50_@B0WL7L00G_r60002W800Gv1
+680G_70mhF00G40MT0XTa0W000015i20001000200G_@V3000a3000004mHaYR00C000K1A0
+m085W1W2000Z0F1G000W0W0W18oq7j15OR000030400VIRGWS600C040G0e0m0Wy0C000086
+B000m50003W1Wc0A0C0r000e000WK0CWE030C0TWe1C0008000GG000J010Y0C1o08200830
+m8@LGL0000000u1O6WW00KrCJ00WLB0_@N0p020800O300Stl@HVz10_@3Ww0000080FN40O
+@V0LD00cfk1_F0szV0uxV0Kt@m@F008000A00W8000482L000G10As11000Ks_700004Q0Wx
+10001500000_@x00W@1AY00v00mzS00YW2WbNRv@w7gDDaFrJOVv70960CI_6dfQJNY60400
+OJT3w@t00040LwRGRiRqSx3Z@R0000Ot100bVNHID6Cf_3010HMKFXTyJu_kAsptWCoJuBS6
+sdVZISDeat7000rizkA0008oBEXa2yOg@4sKmWnuDeuxGYILYbvD0KQ1G9wFavk1x4Rm3oLi
+oU80014dgd10010A00GYjt00W02001WciFX4uD0400W000WT@DG01044280011000G0000Gy
+Nd1pPRG9JC0010y_r70W0000002200000090002800W0020W100ek_AQa91G00000250000y
+jh1VfRW010W4_DuT@42utW6_DW04000000008G000WAKpWbzD000HWY0Y415O84X40W32aeW
+W609C082510WGg8W040H20a20G0180K2IG00WW08004000WW00001000wkX60800OfE3sqF1
+02G0Dd@00H00W000daRm9m6SlV2000G0040004010000400XSpD02W200W0WC_J0WC000HW1
+00010104500WW049W0CC89010040WW00W08G02WW00W0280O4Z85C0200W0a0000O00GBGgr
+FXZLD8Q@4000O1000020100004C000000GwXE10m40000G0400yA86001W402W000100G0op
+F6000W5n0000042H0e0u0080XW0004W000400GA200G824000020aVl1000100420200_Ex4
+EoKYuEO00Y1GxUIaaz9P@@000e700000OG000080H004208KV@9004000Cp44G40021W0000
+We00004G02860010XZ@PuaE3c6c40005n1Ynx@68800OLzA4xX0004p4W0420aHaCsD0000Y
+80421410HZP004X020WCc91H004GSsl100n4D3G000Pa10Z000G4H000000H4X8YY0000a8Y
+0GLR64lT2Rfd000G00010VfpGI0FCNg49XR0000MP20O0100G00W0000400G902GG0200090
+00W0H02Q00002008040W00000m0W0W008Y006H401000O84nqR0H000H4X0HNQm9w9000G10
+2000o4WnJP0GI0mxys00WW240000082G0000W00WH000I02020400G4H01120000W8Y0yPV2
+G4H06et0G00100W0YItWeZCO2_4800000H000a00c100L000hId0b004Y2033_x1010WaiPe
+HV3W0000ia10e00028W48KKLY03W00M00W802H01401000002G41800080807X820W00011W
+40mOA0016G8060405L500400Dg80010C0020200W0000Y82WY_D0Y00GvyC000040GW00050
+0030PFWHBe6KSb4000e1fe0GrHHLe1A301G4YeXM8WcP821HW3AAInHeZH5c84p4pAM6D004
+H0XY55W4JC1uH40mLH4H5Y1r8e0G08GHa0000WGYA2e414L82HWW4G0004GqqsCarV8ZSRGW
+_FyBk1BZd000ZU06200GG5e0W04Ek180008a20020000AemhtCG101000a00K00G100000Y0
+G0020002WG8500080HWK082004H080410001mbtICxU2X75obzFq@l10_3DK3008200uqU30
+W2W000o00A0omVCGX0000a00D21WTxJ000eWC8000GW62140041408G00e0G00K046000800
+000114jj1ftIo_@900004820m@@6iml100W280K0000WcU010G002C50G0W01W0CHG84XW0e
+865H400WIG010WG08A0G04KWHm0H4X2W048008GW0GWe0400240000G008W0802004080711
+000W01O000800dbpGO@RKit3Rba0000Y1zJ0W800000000fBIXY2841G0000500K4W0250e0
+P0104008004800GW0058o00X01000I0a0002088@r4880001000200osB6000G400W8G00Xy
+wDucKCs9c1481m76cG@L6WK0885032Eb14000W6620000WW600000090000G00a000q01000
+00G300G6g9000Q01W0mU_60900020040200082S0W000e882020G20W80W30100W4100yl@0
+41_3_F0GX80000O1Ol@P000GmkCJIEpiCu3dHI3Fu6EPI5_oWRubPMuBBDuNcP9Jgqf0OWbn
+SI9pScIMQubCp9ZHfX7cJcSA06WvmNcqmdCcJcqK0CGuBJbfIcP2000K400Y9dXSmJOHV60m
+000091000020O20000mFiRGA090200eYe400qhIG08WY0K0L0j0A2OWK1K110e22024405e8
+08G5WMWY0LGLI1815eOW803GL060AGk0KW12iY3a057O1u202m4m5W9W96J0JC00c80GG@2m
+SpVqpWv@J00z70rT7W3mD8mVL0eY0izl10LCD00k000M1042u0GzWA4700G4K00H00W15003
+A0Bi10K8g0S200GX80CG30Gm20400W8W9TC0G200W20WeyDu_xAAycXwsivug4SE00SGNBHQ
+tIXPOSvV8lLo000ysQ0g0400HxS6aGk1LapGeaOKPm6LcBHAbXiJE3WD106QFaFpVuL1CYGD
+XQaJe7xMAc73000G3Anmny9iuk1fadGLudCwg4GC00Qm910002200005001WG00H00mKy600
+02GG0G0200WYhCG010m5z6io9300A_W000200200015054000010800Ajs000O0G2000000D
+cc1PqR0000XgvD8KBC6js000W02G0000m000100G00mw_60100O6G3IDtWcdb8SO3IGs0000
+00W00@@t0md40H8R0000100WW0140000000L800008100WqxDehP3W230aXV2LvRmt@9y_l1
+pxRGHx6KUl10D0000C0E@V2G00000m0Kej1HqoGpt6SwM2@zdGCS9OF00000W00G080Je0ld
+c0600000I4TiR0020mY_DOWV340W00000iZV3wY@XXhCu_V3400100W0048Wmt_C0100PXL3
+840WS_l100Y04400qXW10G00WW004xV2W000s_t000ZpG000Ztt00080G0210G00W00W0200
+GUs60000200W200000G11DSZnSyUG4000Y000200WcjOer4I0010q2l1W_B0wdbXgyC8aM66
+emWPhP8JJLgdtW0qD000aG5@FK@l1zgdm3IFicR200Wvj9004mQ200WW00001W80QoT3000Y
+aFk177Pml7jS3N2p_RGZt649g1RhRmRlX4il1040000Cz41k1ltR00W0WCzD0800m3764fl1
+G008AfKbwzh0400OF8CqQQBWO70sptWP4P8KP6Qm8XjKXH40000082008Y00W80008100060
+4000A4WrwJ00W00X01Wcvh0040008104040HkQ0u10XY0J000G008I200X0RUR0000Yl9D00
+10Gvv6S3KE0WG00q000500O0m4_rtWCfneh33scm000lSZlR000OudeD0We0W0m1WiGD0W41
+800014004400GgXtWVNPeXMO0WI0000GOB43klt00a0GDDW1000eYxJ0yF300100m00G@_R0
+8103000GKW0000YWG0X040H0mbB60G04wN9UQcF10808NcpG1mRSUU2000eeC00iW_300A00
+400m00G03G00G1G38000000D0130qtxC0081wmKYZlJ84m4MQo300h6hWRmX@900140000W8
+8Y800414e001054006W00K0GiGsaoV27lPG27Fqk7600G2kZd1W6807nPmilC0W08G401000
+00GS00000W48104fLEPhR0A00WDxD0080G1o6WK0000000a4HWYTneAP3UHp0H310XXRGg@6
+00I8400a0AZG40GQ30201400HG008K0043aU1Wmlo100af0u@V0000W400cvd14G503nx10W
+D0002000Ei_yt000W_y700004nldC35IQ0GH4W25ovyV30G0000Z10000O2SCSRl1O2G0618
+XNuP000W0W90WQ@D0qEJmIj60O0m000830300WC000uW80WpHI0P0d0Q2Y1y3K349uE0I1Y9
+tWAkLfpR3_@t0P6WxHA8ngtd0000b400mx@6Gz@18IX4KL0FuXWKbgK1W@1FSgILL22ym5ag
+000GVDBSIMlFXEu_9@@40081D0NElb@GsjOa_l4rKpGIr94s@3R4p0ec4WRqVeMT6QkMYdhn
+8oV3wydXHit8RS9QPNYaxJ0000iP00W@snupzAEU@XGs89zXV00uQV1_3lwQ30000G0W09CP
+m6y60000W008KASCqIl121W0Aj@14W80ba_mtQ9ibl1WZP4A@qWusIuwU3oqd11480000004
+G0yVV2000100W0CHF354Rmi_60821eLj7CW4000400010uq_I00118PV6ojF12000W000000
+2000WLi80m9R9G2W40100mvGC0200OML3wOtWwyDG0000G02W6zDe603kSsWi@D0400HFp60
+010W0G0GIc60500W0000202WfeJ8D@4W20GCjl49aRmsz6008gW000mhf6800G0WO0m_@6KW
+k1@@R08G01008WHAm0000GK0000022UkEXXdD0100400000308P@RG8uC01400080GvICy@V
+24800_@t004W0FDamv@6akl106b0gIF10040DtRmK@9CCV2f@pGN@6q@@3pLk1048YGFL9QV
+9000GXN00u1bMEy0cSPifsV60Gqq7G630W000410SlqCu400G48Y0404fn_4ITqWYn_v@V30
+@t0KMJHJbYnPRv4a23tVmGVfRSdP80G400050iFV2hAQ004108A20GW802fW700PCPpnGnZ6
+ipl400WW3XE4J04YG4WH_KzX3Idv3V90ua0CvF30408W000C65C004H100W00Y01802G7uIy
+A6CRUBHSUXyFo3zal10C0WxUz8GELEwd100Ip1TA1W10WVv8fBVF00mWSEC6Fxl1011WYWb0
+ir3G_uXG000eg0FEGsWRVV0001GZ2pqOi4000ODC004mmCDWBnTd94al1TCO000W0I000XtQ
+meza40t39zR00W4n0By8Sy4YTmZG2IuY_4000KziPE1z@08u3Wb4520G1300uV0000y@x0IX
+910e90000GD000qjOBzXRGJ@FO5008RE9gyFXivOuo@D0Wc000C0r000OGMs4ol700yhpqB1
+Y00000CYgzE7@300008Z0mt0aoA6fXd3OD1WTmvvtaGs7Xac_Du7S3I4rWfcJ00W00000ML1
+00lxd00G0WNADO8V3kCNY6@D000YGhw94Lg1fjiHFQg0200uFT6Izs0G00000u3IwGYfrnOb
+xJIRJY8O29IU62NtWaaP0qq0mM@9aEV2tpRG9vO000W8IX4000G4fm3GW00G100iNl1BsR00
+WAWzsD001Wmkq6CEl1r3Rm_v60040u2T30040kjl4G200UsbX1lV86S90001zjj1bDpG8z6C
+kk13bPGFs6G0G00800000W080W0NOd08e0WE0I0020X00GWXyD8tS301100004G04A008000
+08W0G0000Wu210002082000G0HA040018W42I021W02002000G0800a00G0W0000XWW20mVg
+6000040I000080200W@2O014W20800XWR00WG00mW0@4O00001H00WPXR00G000102LFRGtp
+6Scd1G002GG00040G008004G00000Gm0000G048a000200GOU602i8uQU300802v0H0000WG
+2A081022004000W0400220W0G000W02I2000WG00000002X00K0000W02RAO000011W20210
+0Ix910200lOp000EWJxDuJ2300GWqxl1JtRGyyFKr@3TuRG8n68G00uwV3WG80ir_3n4Zn@u
+6aRF3foOmQ@6a7F3XlRmYj6aWB9j@@000nuEJm04000G00WWKX9wR38900cMG2Zod000Wui_
+jHeV0GCrC4YU22201MEc7820m00009300ykv9nmvHmUFCGV2vFPJW@60004X020m1@9000W0
+0G210W4200005wdGUxg000VEZU9ATFX2Dd100450GG45pC5e000_SB100100100_XqWMEd10
+L2G2vCCwk10400010a010We0Y7Yhda9TC00e0200G0e200n_R0482G020YGCK00GWGK@qCq4
+00Uzd1004Y00W00041C3V2@bF3YWG10080RypGS4v000000Wnx8SUqLFCXrP02000G0007A9
+q@@60kN0u5uA2nsWGzd10411M0000H0Gp2Z4000yOug0W00W000eo@jfly4c6c100G1TNamP
+@m00mVEn@AQGoW8pjH40002I0WhBN2Gh3mm@L4Ek7@@l10S40TqH0Oc07100kxT008mu4eE0
+0yYUES500YIp91000m000e2W000G59506kXoWsYd10G1N2vL000WO5EL_@d100m80ueZ40yC
+JN000uP2mGy90Hy10mg31000Zh_d1y@1m@@600e820000415WBNCOF_4_@Vc7yDeDJR2sM20
+00Gk000kEJYeosu5y7YkE40040LzOGMsU41l1P_N10W9hAvDuHC92rMe2sD04000011WgxzO
+NRF0gy0qjA9jM@mT3y4yE6xulXM0000000G08037zaJH3fE130G10iD73RQRm@@608408FT9
+skFXXvD00100000mCtD00WR400000A007qdGfq6KAg1000000I0KJl1xWpGFV9afV280106s
+tWqlPWG00001W020W000Y0_tt040000001_hBXcmJ0028e20H00G0000a00002WW00000W1G
+100C000200002M580G6ef038080qNl104010200020400W80G00mxnD000020G0000m0H7Q0
+G0000810vVQ0C0G000K04O00G000CTk10006MIp08WG00010gHp00402rhRm@@FW00WG0eW8
+820010000S000G08W00G0020A010b8NDmS0808cG0W4007hP00G00G00I0018Eqp0060C004
+00G0000W8e@T30030G000G00088000X000xYR00A010IG060W0G000000Yelx40080000G00
+00KHxC0W100500GX4X080000200080000NRO000_vNYi7IeSG6Um43m020z@dmAn6000G8du
+76epWjzFPsO3000GSSi1WrJ000000041CGvMshUZFzDeWpYkRt000GmG000kXtWpxbu9cVo4
+u7008001H0000000WE2W002G00WGgGAoreG0000M11OlzS6Ph20We0hOcmm@Ci9DC000C004
+H000H0000aN04WE5PuCwGortWkkD8F@AIJr0800008Y02YrcDmJ0800W004000L600W80108
+swV2R5N40KG102001x@mYRg0000100eq_@90ca1000K60KGXBoL1800GMvU0Wm04008pTzIq
+tlApeOmSu6000WcK00mEKpyx@6040mG000ZG10000eu@@C0100AB_JAOs0042G001b000000
+0Y400K080008010008410G0KJeAtqN100810200rfR04G0Wn_o100W00G040W0104000fs00
+8802102010000a00LAtoOyL00230000X600WI@P82yAQxV3W0800080m94000I90000fT9C0
+0000Q000_@t00G2X@admOYU0000010WGhAMUxl7000H0002200418000G41W8mzutVC000U3
+0000mb0m@@60S_39L0300000WGa10000G60Wq@zWW00mmIIy@V20uh0mAh10nT120006y10W
+X_jXxG1000G4Y200DXRW3000mC00m3Fm__@400y30000W16043dAJxxHikIir@300eG7ZPZE
+gteyV3s9sWi8b04011004002020010Yzs00200pkcGnh60G08001Wn5n9ibl140000020000
+10W00mT6600000KeAOCO6W400Ow96g2mWjva8tO3YaD1000EBepW004WqkD0001001GmewIO
+UU3Yq4Zvya0400ONz9000WbS10mEwFSOl195mm6xR00a1Ojz70100G000Cva4w2lYTIDG00G
+Gro6Sdh1ZlRmX_CSgl100m5110GG0G0Ovm4sXV34000D4OGXyCyAE3lm@myr6q3l15jn0200
+P000W01018800GG24e4C3g_F1028W0W00Fbt0W800G0000xd0SMl1hwQ000003e0000W2801
+080400001482W10004208004000800uZU300024ic1@qRmby64Nl100WGW00200W00800W02
+1W6jDG0W00440008G00K00000W0400002CaG00G00W00140900X04X40YW0W004GX28W2OmG
+92W0G0G1W0044202G000i05W04800804GfJR380800012000GGnz9aVl13EOmen680000040
+0000H28e048W0100I000440G8myT60015eK230W000002000m000G0G0W0hPRmdz6080000A
+8100600G00408500X221001W08000GO02W08WeA01080202002000G0000cr0G020002008a
+e7F300340110W0CK0008WV9C0X0000004040001a00010Txl1O0020WG8e44KW00100G1004
+400H12cr@10m0X5F_020100010e02C000H0604W000W844Yt_DG020000080108W2YO080WW
+00010000W70G0100WBD000G020080H00mov60W00elV3400000W0201W0000G10001xZHGl6
+qm@3lwBnW66aYF3FkR000002880z@R0Y040G0002000Rot002081xPWt0000Y80PyII0NX4k
+e70020IL@XtwD0Y0Wq2l900142I041P60WEUDeD530mKW82041000Y000n@oz000WW800W5n
+9f4y4_wFXk6P8@S600006pY10W80W2004wV2WW8Y0410X110WG450G000GT70NXp0008000W
+11kZ1Y20WDiJOMuDW8004Vy3LPY10OWYoxD00W0IlC600GG9OT30040000G00480000UX300
+pm@mUqRKUk1bix102WeG8DuOz7_oqWfyJ00G0W0240200W4184W00004e418100G1GG2I218
+IGL8Y022WGY083Ja001000NhY008410W00Y0u7U3gZ6308200W8280H0a8U8VhRmjyC000W0
+Y000800XGrV000W1490008140I40e000G8Y401W020Y12X08201aW9eG000480X0008L7008
+2001040e8YCRj100W1pwl2080YdxR008GaD@310A1muYF814088t70009Ktj1000b0008000
+2W200040G0014900100WI00W0C30004000E1120W00404G4000Gw8yD000G20010029qb@Xy
+ub1JUhY4o200GW21@Q000H00011888080X0W00KW8000H80000pT4O201004W00100I0mHuU
+W00003O0G6tX00800203m9iCioh1rz@mPwC001i090080000003m000800G1Y040a4aW0000
+3W000WIC2s0r0G0000W10gcT3402A000W4G00Kok708000920yql1nPb0400m4RV000W00O0
+63m0CxiRGEQ60W41000800018M8010G12148004054000by0Y028a004120000080YO4UC00
+00roj1dWOmc@RW69060GIGHRLCPF3f0a0e1000000ez7B10XD0600008A240W00C00G40E1G
+000GJ000WMEe0W000i8nNP0G0020000O500w@N20G510004zI00qwB3DyB1008S10lg0000W
+900qfC97qR00XCJ100000Wg50_m3XOX7WRy0Htv1EgAYix@4j1000Yz@NYp@F0000g3m@000
+L1W@1Wgg2Wg00G6EL0W0c7u41000CuM@t007100i6We7Iu@V3AQJ200G80004000G0G0y601
+e3G730WE2008800040W0k041S1i2u2Sc00mA002000Guj2001S100m0O0W0W221W3d6300W1
+0005100O0C000e31mm@j000gM00zVw_I0Ag00mLJ4000WVSJW10yWX1000QWgS5000i2_B01
+0000300WCJ000y_F0LvE0000W2k_@D00t1Ga@1uM83_KDgMQz0Sp3GIesq@F6pQdmzz6CWV5
+XXdGauC0002CJxA000WHS00eXw4wAFXhoP8QE3k4D11020haRmcv6qNa1Xhd04W0WL_JORU3
+YtM50880zsN10WDwArJeaD9UjFXMiD8xR6IRBXV@P00140W00WQnL91_4IFt3W2C0ZldmjvR
+qvU2VYRmkxFCU_3znR0000HI010NoRG3v60G00AMk4000GSxY1W0000W00A040a010m70602
+08040000014000KHARWK104W40000800008CBj1nqd002G00W40TJRGIc90040u7Q600WG00
+004280m5u60GG0e8V300200400W0002020000201BO0120KW008800aEmt0WW200040GGeW0
+4000080i801204002000G001GKG0038WnZP600eK400140140C0G00900004401010024Gwb
+6ySO2W000080056k1204080m2080000082W004000802W000800414emV300W800000100e0
+94nvQD040802100008241A801W80208urV3W00G000HWG0G180800IAH004080W4000H0124
+1800821400AmG00000UK10m024G08808048000G420W800G02008G400000402G00G0W0002
+000002420G0000W101410c0WuEH300400G0W04340800G8400802WRfdalmD00G88000G000
+4W00040040W0W8s_408800W208h53000mQP000G10G6U6yNF302002nB100W00G80_2NYR9D
+8rA9Q@t380G00000108Gabl10920Mnd106000G00010YW0H000p82000000QV000c0G4H00Y
+0uwQFUAFXveJeX_7G4008C000G80GuP9yeS59_R0041200a80W00Rqt02102020414G40C00
+0H1040Y00000W001000220000GyS1010000G00JuIIpCCS2L2@@d028800WO0viNn0yF0200
+fr@48000800W8hO90004108004041000Im20001010000000CwI6I0004H40000H04Y82WE@
+J0pC300082n000GW0029fYKzVeJQ30110G0000001Iz4900000108YHWG4J000JVR0WY0000
+SW7ktIOF90040Onk7YzqWPxIW800GirL00400W0180W20G0AWGW1008W00GI0WG04200G4G0
+8W0208m8HL9Ygg008M10c28000XY00000x0Ssl1A2002erWByn002W8000004X84100G4041
+400W241mt@600LL50000H7X1G8A0A200sjtWF5h000000201400W60200W800WHGWA0I4008
+G880W00W0WG002040GW000002000ag00mPK30Y000Wsk0GeH1e8Z20Wre7Y800WgrDG4000Y
+0WGY820@@p0049002Z8GO40knFXxnb0004100GfnyDWI0000G40Ae408820o1t000XI0W004
+200G900G4000500472000G1000O6@aj15YdGwzR004000A00J00WUoD0002q@@6000CfhE30
+000W0W000X0GS@64Ij400K0Azt000010OYGO0O0W0004e00G7U608208AN3000Wk_D30eA08
+G820G28eQyJwJt04000Y0006o8XK0CO@V3GG1024088Q_DG00080050dX800000G42HI01G0
+4108W200020oCx60800W000100121002TqRWE100020000W1IYI500000801cjNYXvCGG00G
+wFCaxV5K0H008Y000004W01101001H582444000GafW1C200001080118MO300a4AH0eOFYA
+ECtZlkPO1U30W000K000000pWmO0000W81000420K610YXzNGR02800R0008Y0082010r20W
+8GwBK20120h40oa@6002Z1080W60000J7j0020mi924iV8842008044wl1200u0000WHH0u@
+V30044000200q9390WHc4U0WNL0000KbSM820Or@SsWTHC04n70GpC0CpS0W7UC5W@WBy7vt
+@10040eG000aAEy0KDy0i@lA0uPa100D3m8304H6W610W@@DmZC300s70P600eEW8G400W80
+0Oz@A0W0U300y3u7a5mF8B2C4s4Goi9WCWG0l1n0o0k3m243bj0E0A1e90003m106060C0C4
+00O8000000W0BC0002000c04000C1@@l1021WCuD008000G0G010WVqp0C000O0wG00mWk_t
+3Pc900WPF0U06wmWcnX1z0u3QO3RuCOMfbKgX7A83FKGbUeW6UO1400CiQuPc9e2Wm304H5W
+T1000fF000HyNaa4H6mpG600ogk200lg000tXPu10Hk30H00u4s900C0yuU9AotW6jPOOU9Y
+qtWEzD00009A00WiyD0020uM@O0040Otf4oyF401808180Yt_X5fVOjD30200080Wu@V302G
+00G00wsD3Yxs0000402200100000gwxP3c7F10100ZzR0004202000G400800iBk1v2OGd@X
+inM2bkOmumF002We@D9I3FXInP00W0m7x600T0OzVC01G02000G400800020A08Frzm@@O00
+0120O1Osx600G0eyDC08001G80OSE3oos00080@pPGJC60G0000GGKzf6u20040m0GRy6Ssk
+11fBHBv6CHl1H1Ioc360000000X400WWOLJOPY4008100000CG1G_x6000H00W00KG020W00
+00040H008010eu03040000eLiaT3Ujt00008RfRmMxCSUl100W01G084uk1G0W008018001e
+G_4Q0s00200001001000G01G00W00004W0209QPGpt600G400W2104Q201W800G180020090
+WW08A90G000480K0G02GYqDY10X0G000804G010000eo00W028010G82AWY400100G0G0040
+WG02X0GwUpWN_D04W0mfy9iHl1W120_TpWWED04G0000WWnJJ000810000008Wl_OGO96004
+000100W2008W4m00H000g830400I0W4WHD60W0000hWW0W02G4002040G06080000I0001G0
+000W660088040008m84a000000e00K006024GG80104000Ryt003000400QUsWqqC020014G
+0080000000hRm000002800EPo0004Gf_R0001abmD8h@40000240W010WmktC000G00W0uXP
+60G000W00W0a00020000A0010000WM600004W0004000420cwNYV9JO5V3gOF1G028L3QGVz
+600O09vrD000W0800ev@400Q00001u1@400500080G002000000Y0Ab_Rmzz60H0G0G0008U
+5WZlP00004014WQbsORV3U19XNiyOV@AW8000W8Y008200C400W0000J0H0000W8XW820mBX
+60a01000Y0W08J82W801W1000JvO000200004000I400808012600nIuay7kMc1000H01000
+210CgdATndGGx9a3U204000W0W008801000G080C001001100W000m8QQ_400OhCIS20W8Y0
+040CDL23lBntxF0500eCrM0800i6W1bzR0000YnyDW0000Y00WGIC00m82GG0YvdC8703000
+00AN4vvV38240KFU5pUOGfw9aul1W00W08G2CUl1VFGoMy900I0W800W80002A20080080Y0
+8GYHX00G20OcGG0424W2GLY81H5HK08WWYP4WP41G40801000WQ0H0W0040G4G440X841W0W
+08J82gWY000I3_6iYl1004X00000010wr2304100441WWA1001Ge2qzub@448G000Y082U30
+0001H4H00002H10021W80WWG10Y2100825002a00G120W24K00WW00000024H4021000n6@_
+R0G00L10002a68888047c1zcZ10040008W0W80010000C0eTxJ4000r@c19mPmqz9K2d102G
+810a00GWWK000001I90000A10W0000G8004G000Gn200000008W8A0m010K2a08q7d6KzV8G
+000000G2G0002A1mN0dSwk1pkdW92810101hwR08K00Y004W0000G222280eBL3e0GO000W0
+000EB0000006W028aWW5102000K000a4WSZRvlyJW00000W00001mrn60WW0erL300m8G0G0
+G1S00IG0121002W1400201Y0800W4802W0104000M610WW0040gzJ3Y_qWKWDW008000100G
+W000000012Kxl100m0R0mWe@J00108014Wfrze_r44010050008O0mCy6W00W000K9400000
+GG0G401Aa800A1080Y00e05G08188Y201WYG0000iL4m7w6080HW082mga9W0000006H306K
+iB3W00G00041200egv4G000G000O6KFGZ40lkj18000020KG6000h4Gx4t60020000W80W00
+100X4XI0G000o4410104GA060005100025X00400W41H0IMs0qc0000m6ESu40009000aiY0
+00008y6uD000nB0uf700008OcP000ymCp00Uu10_g30Yu30000Ga3F00W7oPc2qnC5LLvAwa
+7Kq9F0BoQcSp0028GH0CWYY000Wi0Ae8180HO000u3Um000G4l000000GcM0W@Xu@00pggYP
+c10@30H_70Y_F04LLL8gggyt3Ful00GLgYe@20n@dq@40C400GI0000W20C000O0k300G100
+023000000000A0N000k0k000S10eWI0G1C1W2u2m5m5WBW90N000c00K0000wC8005m40AW9
+03000c000OO0CGk1A010G020Y04040808000G00010002I1m0O080m0m000W1izl1000@000
+00y30e_@G2sq0@JLL200@500Pd8k10Ir@10003000WaJ6WVoE00zV0_3GWKG10000uN@10GO
+H6eL00O@V30q@000u1Kr10Xu30@e@z8sT30W804qU5zVlnyz6a_M2Z4T20WTXxJguH@4W100
+Cao6RXjnVxOG000u1RFMUqWh@P0a63G@zI00018ZyA_FYX4vP0W000004200100100pCt010
+0WXuM1000X@BDOiRC000GiJ@30000dIGYXiD8iT3QJ@XM5OWW00GfwRi@oI00qAw9kYbsJ8c
+KIAro0W008Hqd082000402zmR000Aa15C8l@40W080WAG00W000G2WqtD0080GDu6yCV27qP
+mvy60WC18XU60G0008008VQ3kX_XYwJOcU3cfzXCvDOsC302000K000800000GWPxJ0YH001
+00aUuCen03Ylt0G0002040MsFX32Deu_40010100WLzWW0WG04004HdrR0W0100G08050000
+0024029p660G00W0005020021W008000K028000W008jgW7000G00024004e00000500400W
+W400W008H00400W0080800002000C0000QC@401004Zd1@_bGQ@60G00G00200WsO0A0W80G
+001Y00G40000W88000801e04G00080EpD30000G00800G100040W200040G5dR00G5Wf@J00
+G1Gut9SIZ4xnp000bXA@D01e000O0WVkIeH@4I_tWqzD0Sg3GK@FqgC3D5OG1lC000200W00
+008WulDupn7woUZ0qQ9P@7W400CTC6t3QG_RdSj_3d4aJp@I0WO69USC0W81G400eUz4sxtW
+4@J83kJQ9ncWvV00X3GGdLizE3T0uG4y9q1F62000wEFXG5A2KA2mXeOKwi1HvdGsNFqay9X
+udGa2v000W6p00mL@I8208201Ga0860804028G8YrFXO@D0010HG6RyNl10W00_wtWPpJOVU
+IYwl200laPCZ1020280000408000uqoh1fbOGT96q_@600XG000Azkk10W08ISBXcx8fv@A0
+7R0KCu9n9s208Gai8IOdDOshM2y100@@B10C0Wc@PW2000Y00Wi_POOfD800Gy0Q2PkOW004
+00010D4XHV_X000oVgCC0000W0I00042020000G80f0GnQlOicK2tfRmbg6SuIE00GQ_@730
+0WW2000G102UyE3T0OGA0FyzF3000Q91u0WG20u@V3000bWG00G2G00G0WqGAo1eK0mtNjyS
+V80WM0U0uWfPG20mHu_@L000c00000m00WbTW100S000mxy0C000830000WC000W0000J0Sh
+c1jzC3O74W@@b001aD1m8R201W4020404080i1G0PBW0WG0G0X0W001I1m0G0G0WG00Mv1fY
+@t0000MqCLum0ALf1DiXDSO3RuX6sm35aIB9eX30GFy0WUu1_@m3yFW7uV00n@00o300mvra
+a1_6vIG20Wzw0A_fZ@A0100z@l140200W00iVc4tnB110000800xydmOG6qbl10BJ0U9ocZp
+JOzV90000Dvl10004020001000012m9HUynk1jkdmIN947c1K1000000iEd1DysoY_9q5l4Z
+mdGNuOKwz3@bRGHMO00W8F_YeW000yuS2TtRmet6080G0080010010002G00Wo1t00X02008
+4W0214fy6WCG1UUFazvP000WmWHU00O09RU3cQt0W2C0014W02GW01G0W8e01G90WazD0900
+00W0000180120h8s3000qY300gmNYgzD00010G01WomD0800W000egxDG000G3kU02002000
+80W20008W000808YG0200000W041808G0020004G000802000000WA2102G2014oGhYOzD00
+mWtt6RSUT2h_d0010W8ey0000pHaC00GWw@V30X0G180508W2Gqy600C000002000bAzDOCR
+F06o2SVv600G07zt00210HJc0480WogyuMU300104LJ2R4Q0000188019nDZu51W8oh000Hq
+CwC0001uJJ32udX0ph8sV300aey@l144040G201100201014a000020WGG08240820087oJ0
+GyoDaL5ZyR0000G000204H40000G0H0000B0Om04H014001000WG02G0uSxA00O020mWW100
+0m0W0G400FdO040I00IW00YI080P44tJ23KV28T5WyJg8fk4A@o00100G00800G0G00W0008
+4804G0W0G0860cWN200Y0004000G0000I8qz4800001O00X000000210G400205080qEV2PR
+w1000QM1001ek100100010nqamez60090G002mDt6qLl401090C0O0a840020108W0440008
+WG12040G006W2A48aAGgC18m92WgSdXXvz00WJHUo6KG_3ZNQGKz6W000080001u21AW3H01
+1Y001J02084eW41801mVrh01810082G4121m182W20WW020014G05Z988M0329G4WWGG0409
+0H8480004000GlIRG3_U0420OEn4sMd1W0084X400200H820b8280Y00G000e000CG1Yu0G0
+O2981004W8Ge20Hvi1X4200e402108100AXWWKeIo7ESiYMOmeiI6c@d1001G0208_OnWOAC
+0P82000280410G40WAXqWprn00010000ZmpD05000080G2200Tud000eWtqDOf@J00C5yvl4
+00050HM0802800X1Wm0W0A0042300G00Gm000014e0G1m00G00082GFNV30080RzR001HF00
+A20102on@XozDOSlJ0Py0Svl40O07120GMNT22002G050H0000GS000G0A0081bDRmTzR000
+10005o1W601G5O7q40W88czx3vZGYy00WhwJ8Kx4W0000W24000G1000H40G00W00HW5000A
+02W9Y00W0120810g000KW0y@V8000wL2X00mMW14W00610Wi2j10GjMVXL00200XYWOku600
+u0G4008HW344W0W41H0GW0B00410S0C000KaPxhmW1980J0I21@30000moq002@300V0000O
+0198u2IG902aI0a15223c000C1G2003zJ20E6Wl@b00OWWkZ0HpFWVgl0puH4sm64z368sDF
+GSU3WOtYGrdDWVOO0Tq1Y_I14d7W@@b0014e0m0G1W1W0WA05WEWn000n000W2240S5O0m0e
+0W1W10303GW060030W3SDOBvG000mWR008e56_@t04101O202m4m4WBW92J0N400c80GGH0W
+0k481S1O2u2m5n5WBAG0N40zwN142A822K2WK80000000MQfQO34G84AeW4a490990HGI0IG
+00GW4400Sv@900kB00000W0wMt0000qTt@@V003CGasF8004G00800_N00040000t@@V302W
+0000000GGTvgGWKL06U@42800VwPMmUI8300O0ORk9@X5pVORqA68r600@7Nxwn9ldK5E6pe
+cJV@C0Q21O4nhAxsWawJ0210mUpOCPl7000ujF10iYV2hWdmApmafl4004000X1cCC3G1000
+Y00iLh1zZdm1z6CLk1p_Z10WnuCsgO@XPoON20800O800s_t004G0N@Qm9y643V2002066A4
+WT201G7IZsmKzl100eW0400a2V20W202YtWwUhOp_D000WI3208x16wfieuZCOEU60040000
+1G000W800XK_X10W9UYeHbkl1PvR0H00W7ACOnR9ARM5H830Jdgrj36C5E33@RGUuCyM96Xc
+n0h10WEMfQ2L9sHzXuhL10WsVOiNzke1410W004WSNF32000gG660m90@4G50101WW00JfO0
+0008000804001W200GY0ur5300080040OfH3I0C4J100rx65f0G1AGa0a20oe414000420A0
+GA06W80a20cf020000O60f0a00000b000H_l100iw6Sk20W0204W00f4G0030ETD10020Lid
+0500WdNDuV@G0LC0COiJ0G00G41000200e004Y0002O2G044K80040008010G024m840W000
+0X00G210m2000WG2@X0000b900mFAHrif102W0W02GW0HI0G0200W003090u0I0oer08W000
+010dt@400RbHGx400WG18G00e100W010W8000yc28W0GDGO0f0m02300H0G3H1Omf@6yll7W
+JB0kuLbA@R1pS30000iFlDmN1yFL1000u50DxF3000uOug8aSUCGa2Go85WCW8091P0Y0w0W
+4W041038I1qKa283G5GIWCWC0PW81o0HIW1Ya0I291a1aInDew@D00OXd_jJ00Wm54pCB8LL
+5u0yF0y70W7UGegg0yVu1b2W1KLL0ulgWByW0Nu1fA@32Um74yW70000cPkll70AJ0kK3lFk
+E10085k00WinPe@j7kdkYKobuC9dQbw100yyHJH2G00Wtz9vjPFA_kbw@P0yQ3mQTRick19l
+RGLw9aIU5j@BKCrL80000000SOFCytWGjaNHMzFS659p@p000r@@X99M_4gkNeVFd1u10m9e
+gKdl13v@Gow940p900GG6zl500W@6680Zr7cG_VOhR3sWKB0WB@HlTIs3jibV2v5K40v3W@9
+sekHdQndX4VVebV3cph2000WU000Mkmc4Iqg_65JuPl8cVuzG9QXhY6@DeB_A000WeB00uNI
+IE3vXK9gOlHCgglYGAgOk@A00uhacn9FIYnsrIqVj4H_GIAbR0u20uJ7R6AeY@C_lFavtofY
+e0EPwS9000Wn700uFNLI5Wae3Y20WBHiE8rA4LF_R0un4WBUMwDV6EqtW9z99A09_tt00004
+YWGa000W2V00000G8a0000004X1wHg0d4pMBNgkH_@900eRudLa6aMY9P99A09_NMYa2W9L0
+IYYs000W24000000AG00385W4A2uXe0m8F03k7F1E000TyNHg0v001000WmG70E001000W48
+0000082100008W840a018A0Co38XmmJ8hxAI5W7vO000GEEx0W1onPEf0GHK0R008000WGWS
+801002d00000VE400801dWG0646100c1oXWX038ScAmFjNz@wN@dUs@ZYMKp@6qeF3r@@GH0
+R00020000Xc10W_BrAJ@4ImdXK0e0041m@_900G0OwV3Yut0000ey4006o3_MwP00200020W
+NJD0200Gr@9a2G2lJdm@n60022uRy4o3mWAND0eJ0mWzK1008e5s7cLDX5sJObL3gPz14800
+xS@0000ER200pLrIKpXagl1HwRmEbF0G01O@M9ot3Z2vJ00W2q8@9aZj4JpNnxICyoq6rs_G
+bv60800eqM60200qbX1Bz_00W1WmNPWJC0m@@60080w@V9s2mWX@VO8V3oItWcVn00080040
+W6WPW0000001WkzPOWtDM8u1X200NrcpjpWbok100Kg0Y00qpvdWl80woFa1mWPmDd000mpB
+00wHuAMwFXuDhuk@4sVxa9@v100emcVWjUjG03Q01400zWT2Prc0002YcQV0400msR9yqiGd
+vh2000Ex202hVdJS1L000IG0000008090001@dGA0K0W4000000020a@@PG2W0000000420@
+bd0000AlH00G81000008W00ewS30G00000G8503sRN208I0nepWGG0WVsJ85z4W000Kz_3f0
+GHq460044OeS9e0004SU20008L5G0y1l10110oC@10008R1Om@@64cd1VV@m4w6G1G0Oqz7c
+tnWAtJ8CT3YTtWb5VeB03MOV300qYPvVL4_6G000wyz4cTFX53PeB06Qr13Gz401B@9000C4
+3A00000xrFXE0C0e00080aWK0U8AWAo3G2W00000020P2000000a90200000G08f0GHK0d00
+0KGG00mM_E9AX0002fuL16W0GYYG000XKCgK0e8AWJ000G4hlG@@@0921H8G8I0004X04H00
+0aG8G412HIYK0e8AWJ000dp600O_R30W00TWF3f0GHK0aa_l1fydmPc9a205H1G2010W85C0
+0041410W60Cu@@4I1WYe0EvWY4ktdXK0e8A0I0GhszckeYrU050IG1m0G048155a01G0O0KG
+2050W1a205H1GI5gC4UFIg08uG200W47SYS00000m9o179000Iu0Saa205H1G2IS87400E0X
+J0000uW30000vG0E004Sc31f0GHK0a04E100G40892019I200004aW0000W4H220HI0oK0e8
+AWJEt@@1r@FG_LtxwaW@b0yU3mJ_@l9_6veh200tpkqlY000nK1vy@@30BT0o@Ba4zn8F@A0
+0A8010002e00G0000W00G000Att0020W00H0Rud1000400G008W0UqF6xlQ0000IN1W05NPm
+7iC4vF3vPoGPTg002Wu9G6000010W00801G4zC00W0ubUF6@t000b3001Gs@tZTxJ8Iz422z
+X@@P00088240009WWxPcmLkLyfM2000WsPNYFzV0im3GslI00G0PLuY04000060u@V30W10S
+IXD000Oj000y@l40GG00W50aXl43edmWV6S0B904041100020W8IyS00GWk7oFNlA1000200
+0H0W4Y000K09W002040I000000WnxRGhap0uQ1u8cScBj2000Gn_dmfj90Y00uyoSQhZXnLQ
+1000qvk6SYh4410080G0000e10000G2G44X4000H0W000OG00CgN3U@Das@V00mPPZsOyEB3
+000XU6_1088055RmRlI0300100G40GW408a04604G8HIW40X0002qZBv0WI18zuA000C64j7
+200060tWxXV0004003000Y00Y81000Y02G00000W8000H100WZkPmMUU8000OpO98E00Ct96
+Y000oK_XJbDebQ36I630W21G802800WYG00W1G01O000GP000m040016q1k17Fln3w6i2z30
+0wQ_@73008erGZ1005Wfft08800e0001020A0100C8u100WG03uW810000K00A00G100y@@6
+0001@@N2GI70@@B10CW001000W006Gt03000rfd00G00400000010022yHD3DYc00004G001
+LJR000G0H1000000W0GG0800u6M3_@V6b100TVK1g00G0W0W@@p0004XClJecU3Enf24B1m4
+00J_@t0000zEnQ00wBqJ2m10xc8400400020fWRG@qL060000W2GHsF0Wvoz@V9000KaAW10
+0000082000000WW4HW00W00f00800E0000W005I0008bWK0CO8R940ei30004GPpUJJ645JB
+@@B10a0W@@T20Z000a400G48003WGaTWnF00rO00Wp000U300u2S001m510G6urVC00H0004
+00000Sy@C0000bA00m@@Iu000Wt000c07000C1O20000m40008000m4000003Wt00000_10a
+_l1f0OGazOKRE30uzx@yt6001bfzn@h@9iulGW200wFaV1800NpN40W4Wpwl2044o8_C4Ij1
+3MRmJ_LSdF6000GH300i6OBpUlHw@6090010W2mM@60W00OH76U@F1080WndiH4QF00WMl_V
+CEln02800TyR01000I4000000C080sR56nop000W081004080Met0004256OmCz6i4k1G100
+62i20W00DWp0uY4Wrpt00O0mPu9000Gfg73ENNYOnP00200800YfvN20008d10WVszeeT3_D
+F1040001W0_@V3H000GW20QrMY1Do100HNX@Ua853P@V2210WTyD000GW0120300aLNRGY@v
+0Ip0u@VC000YCZlD01800000W800K4G00Z4000GWGTFo3000e40JOu79k_F701G4mC000010
+1800000aPjx@000@O2re000ae0041W2We8W0418H02822symW55i100008o5W1xt000Golzm
+00b2HWH0541411H408gGXW4WYW8O800H040G0eQFj1000Pw4O00049a2R009H808G4Y00Lz@
+60K000m820008WNzW9@@400m1a8dATyV2105J0OW100021000080W0000030800W00zZa3W@
+3W4vEv@@J0W0A160W0W1W2XG6010G4@@R06a204200PAcZu10WczT2AW00X0CI09050Ga4L0
+m0Klk180G1oyF700OO@@NH2S6a0M5fyx100WU10Y08z5W000Q1000000518000hGe40602gb
+4Zb@3n831GYSL4T9F00W00iw0O@l1Isi3W0002n70eNrLHeP@ug04W00000i2WTxdXP000d1
+00c1E0m0eoO6W100mC300C200GpV3VuDVL000CFfS5DpOGefp000oM0WoE00MT0100G4Hy10
+010ei3OZI3syF7GcF0nWdF000m96VeHyJI5N200OrDahoFpdakj1xa@G4kRKqD3XTN1WQ4WY
+lLvHxMgu29000qm000_@ti5SRfrQ600GRaehAdTRmUwX004GODS3_@79mb00@@ZnWu9iBU20
+G0180000200W028Glu9Kdl4N5NH4kUy@l79Ihott600W0AfzG8002cOG2pG8400H_manOGS6
+6Gt000G02010Y0mW90Cu@Vp0OT0y@l7080000100200u5N36kUZbGlAQcGQiX1G0003hc000
+m000GItbQ600ygBpF1080mYsFSfEFtpt2m_3WS@LPvXt23F1l200D0w120G4000G0004C000
+8800e1Y4w0WXmQx20WhGv@X000AQDV3UKpWZ@D0021mHPyCkVB0SG0Yz84040000W8000902
+0YG40012100H000W400sWSc85i9rSCgws0G2000100W20000G100466005K04c8XXdGEq@ik
+@900yw@@V300M00004000IyYl100420010G0GCW408GPoi1yA18oZGW0W0040040029X84I0
+00W0G040009_NB6VF7500GwehhO4U60004v40100lGlB030i@Y000C0000200wl1W0mkN00W
+2g7xwS3QID4W020VOl78r7WfEz00s1Y1008r100o300uD00WB01WtWg7@0CF00OUXzac700W
+wxL9gS3Wv@0SQs9fkN40WwnxSL9U0Xocr60TD0XJVIgQ556wC000OrC004wsLxzZ40WNxf@u
+PDVI8008iRsFWPK0I2t38000XWdm60Ca7_6LcpmxKIaGdA1xbWJ10WJus0040mlu60400OHT
+3Y8F1000WvlNnpeFG00082tP23d100nO@@xnoQ6Cwl100G4000WSlB9dYp0200WUKbOokM0@
+P042l4Rlpmyx64uR2Fmp0G20WZ2ZAJnA2EscX@3100amk_90410OoVU0GSWiCJB00W8NV@40
+0W1FuR040WWeu@1qi1mdpjqfGEHkoJTvLCKF6200080000J00uIQIm00000040010WG40Woz
+v10m1p4dd0002W004001820006PUg20GG00WW09Q_30a3WW_L10044082WruFH90000G4002
+00002bwX@7b100HgGoF_6S1dA0000b500m00qOxJC_xN500yWP@ZnQdI8a40wOPL00800000
+6W38e8e0Wbz@1az1GFgL000WQAr704000001J000mtfgSHX1tu_3000If2007skH2fISoA90
+008kJr0200z20000uB0CdEF0OQ7hlj220807V@00e51000W8000ool5OU60OcP0kT@70W40P
+pg2200WqlL10S100u2Cc10OC300Ef_76200V@BX1000G000Lto000mC00Wn00000600yvlA0
+Wgc104lNa@F0022AxL54G00HYyJEv7c5j1J7d0400XtZJu@O300080042O4v423WXmlOG004
+GGp944SKG000kTE100029@OmupC0040G040m1q98000u2w42CE10001@GdGI1HLDlz000uiE
+00yWsC9XDM_@900eF@@VR8004G004W000mhu60004uMQj0kE0azkMHbK4000As100dtDpbF6
+CR73v_mm37@tGo@BiyV2D@Vmp@54z@0J@7Gr@@RzVTS0lv5C000000qrNJFRFVQ3Wh5WPu_l
+X@Y004jrvFCfmNHuQCa6MKWQM0gbW70W00G0100020iAk144012BuXqrA2z00Ga22ryFFd_7
+200Gry9vfJJ300040W208zS3o5PcD7DMM00GQ6maeF3bwRm6xCaaUK0005oOXdnKD34l0mky
+sqxu3@9GLP@98300e0@M0080qBD340000080CKV2PFG500@wuCp1010mU_9qEoL@@R08K4WE
+vX1000082100400W02000Y0008W0000W400YMZi9tJIWB00yqVB0G40000020500c0000L00
+600004G082042001eEph004hLxFCW0000We8o002G1K0030440m0045HG0G03GG440W0GGDo
+K10i18fWP0W0020G221M2800000G90400002Y8G4000001GWv@K_l4000fq400qqFC000410
+S08008a8m10200GYW8080W000W00600ue0g00yfaqFC_70000yKfg5mKcB2F@NKLgk8yW70c
+nCcP200yNY00G3sK1U80OfVOG400W9W9000J00AW00K0J0e0c0S1CD2W1PF@@rr@Nzz@Brt8
+u90Wg152W10GUTTnB00es@Pcuo00W020400Urt08W02@@V50WGgDrvf2E30048iWVNW710_@
+VcrUC000GGe@6G000004GmSON100WNv00m8cEjiNK08yY_@@7000204H0_6YX6xZ24o3mM@5
+TKe1ZxRGOSE100Wol00mV@EDCb1Nsl40WTvoJ@vCp400H0CnV2ZFu48E6W__v10W00000821
+000G80gRA10008zKk4000UJ300@@Ba400WZ7Iu_G3ACS900A3@@p3003WyKPG400G85K1gL1
+u@@Y0002c7C37tPGah8P800u@VX0420qZI2W10G00f0qbwI0G_4wCMeiFD0000300004200@
+@758Q0W@@p100O01U002_00COW1O6000Fy0yaD300J00000_@Va0000vE00u@VU40208040K
+0C18000eOu_@@@@@@@@OuWCQ6X8004yuR5@@Z400eZ@@vfuS6g7bg@@D04t0m@M2zqSN000m
+5400y@@FlmR0000eJfl20mhx@@@0108h9N3cPoW@@fIG91m@@v00082080n306qPl1Rc5LYq
+64spF5TxnKyg3Wg08l@S000H000W00Y2Gnr900GY8UTgW600StFF8800000H0020Q7ib_@@1
+00@SFF_33180HG00jcd01W0WbzZQthV002W00G05GE2000WWcrCOHQgi700S08F000A4WW50
+2000W04GvCN108c8f@V0010000W000W00W00500WFsJ5fK6Wv_p100Gh00000s00bAQ00W30
+000ftP450002V0007_d30E30cP60000C10000W70000GBQ10_dzZ200utT@ym000Wn000H03
+0C1Y082O2kXRI000j0W7U0WPcXM000pC3GfvN100WBd19AOMYGr@luVI06S0aNqyTTdm1R81
+007SlVs_yr6WWA0@@p310010000G0040020014GOgR3QFtW2aH2000cM10WUhBw2TL_@7600
+iHjm94080020010W02G004y@@@zc_@kh@dRx@t__Vjn@Fxy@nM@@ht@tQEL00UJ_@@dUzDeS
+z@100mpS00eYhhoRsWhz520GZTO@2100GuXR60020C0X1FGW4eN1W1I@vfCCYK090008fhnp
+S6L0008OvS3Ew6900Z7fcB4004Wpmx2uj3m_n@8O00eUs42jaA00GKU000czug@@H20WxqP6
+2zlDO0tT0MKWp0xD0T00mfbyKr23xgU50WOa4@v10g000O4WbFOuPVg0kb0itVE00WG1WHX1
+0bK2000000GXurj40G004W004G0035OG2IN100kTkVU888000002W30000400200F2XKEyBD
+mj100050100G045eJgh000mZU00u@@S00zt0S0OtB210W1WGW0008K20MnfhJcv44f0GW@v0
+00E000uD00mFW3Wa0@000o00iFzg008WgsV90014nzxqaz6CwT22010k6cXekIuxx40G00iH
+S2v1a000008400dWd0820Wa2Y2800mMs60004u3P3kpc100020012Mrc11000xQRGU06aMk1
+10d0000Y@dIOoy@Vt@7Gk70nWrowVC0004e0G9002002K0uO_72dd7000uQ200kjc7eW02G0
+05_AiYBkP8pTU00ym_@FCO000_uV30001004AMwt9GQC0jfd300W00GX04W00C000aUlM000
+8sVoWMAB20a1GJb6a0lDr_Z102bur@@10W894X0WYwVeL1d0qk04kUE0000G44HSdl1C000w
+98g0IJWA00Gyev00400G0000081000a0400gX@A00_HHAm3100000Y40G000G8WCNO280004
+800CP@IWTQ0Y7b7C5Z8009YWA00WG10000eQ4aFqe4II300_@l50009000500e00050W2402
+bK20Af40G0I00WI0y3d100WG_uo900BS@@33044WDAD000000HW0440180018200Kv93B1Om
+@@81S@0u@@P0806100G0AWA0G00000W244K00000142GvuX7W800axPHU500w4c7G0000481
+80G00HW40OW004000m0080WK8MiR904qSjeE300004U0100W6GV90000V1000E0840010jkX
+G0GQ30y@VKPXn300GQ0acb0GhI9D00Wbf1eQs400J00000EorbOF00yO9C00080I000a0000
+1000C600O7W0mRGDWCWV3tL00YF_@d7J3WbI30000B04_QNWEV0_@@@@@TjhPU0Sk0y@lJjS
+RGfU9Cjd1vXR0G40WbVd1000Ct00W@@vvvG3AYd1004A000G000804002004Gxv90800eXOR
+00CRy@@FG1000020800GeYx7Mms00800pSRG2xy0Uh18ZRXsYZ1000404000802W001ejE60
+0W00811OqPRC600KvFF0G20sBtW1pnW000mIyC4DqC00yxoJ_7G402tRo00080000W00W1a0
+W0iUk1W0W080000280u1mS4LQ0CyyFW0040040qPU2Rsd0H0W02020G001sybXrpd10005a1
+0Wtrv100002002100BLnRmYd9yQg10028100200W0400000W08G000nxF300byj_vH000KkZ
+F00020414210O01022080011AX0280W0W00W000228000086yoWP@R1i32GVC@idf1g0a0G0
+0002028J1300W48G88001400980CW06Y00808000001OZ@P000WR500um@S0Wg006G542492
+130WdhJ00WB4820H86I200I008000X400002A3WWG0W8W820084K0iwFC00Eycxd70G440G0
+W04080H00WI00010000eW800Zm0W160021000G000W042W88G204600m020000Wnf@p0G108
+oVU0HO00428W82O000W000040G400000100GaOGK0808081000000G00010m0WWG0408000G
+04HkE3r00W0Xu14080W0E1X000000000a0000000bG1001081040044G0G10000400a8G010
+08014200gVLYa@3100yVgG21180000ZGNT6000eN40X04V100G0I200410SG8000a8m50200
+GZW80FyRZOt3Wz_p1000780000A20004G000000Q3000Ge4KA000m2ggAQ1m@WBScnKcB20@
+NaPPk80_FHcnCc0000yFnbPC2004Q6FW@10OPQi000m3U00_DQ6m000Wc000H0h0S1g0u2u2
+48m58m2A0W5m50Am903000k00Mz7600me@zpZ2240K580e280u5G502WA0WW8000u300000W
+7U0U2GYbfXaW440XG88900G2IG00ly00000WP00Gh@m0wz0Ol@S000Y00L10GH41Hg206O00
+000WmIB1iiFLPARGQP8bP5FZR@VS@tstl11S@0G00XF@j100DvU@H100010W00G201002A44
+4GW8288002G0150120000G0xrD3uk6WTzYA@S38808K7U20W028e00O0008EyP000Gf200em
+_e080G0080010012322GGa0ZwR0W20WHlh8v@G00SHai@I00W0Q5tWZpCe5_4_atWFAi1000
+0056W@@TAe03W8W0qWa10120W000040044008000YD5d10043S00W@@p1001mNY64H832G00
+wht04G0I8W02040804000140qwZ6W0400020mGJj00OVgXoYIqtWo9POIU3010000G0OOV30
+00OaIf1ji330z2WLq@fpH9028000040100W1820W0402002040Y43W1jyRGghj0000IL00GK
+62bAj1DyR0HOG002WA01K0Gg0W00190W4G48G00400mGW50G8W0G8W0eJSO00qGDuFFm0080
+100iuH2@@R0WW000W8Y00100WG8242020C0040000O6KG01000Y0014000000410XS@L1yn0
+m8g2D5k1v@R00418XbL0Cm0H8GM00332WI00W0HG0000000118W80m00AY00e000mW7_L100
+0Pqrs4z@30840Qbb10G0_2K220G049G18048u401308G8000e08G0G00Y00G4X20e0WR@L10
+mozS@B1000200400W00a00048200M020WW0000G00210G0444000EwsWixD8tVL0Qt04uYJ0
+W_08G40w500W010W8000q620W0n6GO02800Q85030004A00Y00D0mk@d0002NN00m7k2Tnh1
+8400000KOQ0unm0OfqO100WvP84pE5WW4OWG8mWXG80J0onuj100FR2vE1006Ga2Oj85mEWA
+Wc0x040s0G2q1YG034f1qCI3e3e4GIGDWCWOW81n0GAGk@g0O518tJU480G8G0W000810200
+040W00000Gc11Uu3YggY9uX70_N5coC80uV0Lb2W1K5J0yF0_ZPggIGOcfWO6WahKHc00GCS
+y0Wg0A6k46N3r3covw@D0rE0iQ_yD0650WQ@VuwwS@Y0Co0437LLQQmGS6yZcG3DIrJtCyfW
+G000fN0aA0004W000000GC_GH0QR0_@@AG0100820W001qUi10008QNpWSFdnv00GKAN9900
+G420840n00W840W2008401G00G001mg@m00Wnv_HmkhFXb2j10000eF1WoGxYG00Gob21S00
+uFJj0098000e002010202W000XKO30WklvLl202L200GB08i04A40020G06000EGW4008a4f
+d1492GFi@N583Lvc300uYbTJx5_P_@t0G7B0JAO6400eE@j1000jS00WlYx28000200WPDJ8
+7vP004bFgyL08421004I846W4WCeG00G1980NLpJxpN904000O08000G14O028m0W1W00201
+OUzP000GGT00ucQjG80000XGG0X0YW2IG05aWa000AzV600mFxP@VUu@Vd_@rn@@S_@@@@@r
+Oz@CO@7Zs@lmzVBU@l2u@f8_@9a@NYv@ZW_V8g@@1x@Tu_@6m@dXy@NG@V5s@F1_@He@@3y@
+tW@@@@@VotS2001e80Ho3e5mE00@@x4002W5uDug03W400ahV5G20W23818000r0mGb0C000
+00000ja10W@@Z28020000200000004wj7Z@@J89W76kN200jZNF4rP2CC@G23Gm000200080
+r0WnL49i6H2WbG06ilB0001B_@3000Ow200Dx@@@@bczm@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@d28F@@@30WMp@@@FIX@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@9q@F2_@Vm@@@@@V6@@@@@@@@@@@@@@@@@@@@@@Vp0800y@V80G0G_@N5Gj30F5W
+t@@9yL03T0um@@60AB08fW0ZK84V000xRx7000YE0SepR98800y@lMrD8n@@p00Wfw@@@ZKu
+@@@@VB@@Jk@@Z@@@@@@@@@@@@bkW99_@73000qk600_@@@@@@@@@@@@@@@@@@@@@@@@@@@B5
+080m@@6G0000000g300WEEDWJ02GNZX08d0v@Vv004G30uB2J00m@@Mw3WP00000mi0Wa2C8
+OX4MOWa@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dH@@Ou@@5@@xi@@D@@@@@@@@@
+@@@@@@@@@@@@@@@l5@@@@@@@@@@@@@@@@@@@@@@@6pUtW@@F1O630000Y@@7BPWS000GpO00
+u@@nYntZ@@z00mTGW0yaMWPW_G0Af@@H_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vj000ey@FI0W002tscVp
+31G02mptNTmEI000e0C00yb_L@@@@@@@Vy_@5t@@m@@@@@@@@@@@@@@@@@@@tE0WVa@@N220
+0m@@@@@@@@@FyPQX000WwSj0BlBaa2yukEp_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@Vr_kZ20GjP@tlz@@C0Q30_@@@@@@F4_@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@v1800G7RC00018cD6g0GXism0000EV10W01s0010GsRXaFG5dbBHI1QH0008fWV_
+@@@Iwxw@@M00G3V_u@XFYa110W8z@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@aheQxQdmC00an
+bMnS@GIS94ub7FjP0010400020000000u70MBv3KnhvI00G00G00GUuI47G8led0000G0010
+lqP08e3WFrR9VWA_@FM4000LnJIBU6y@F900gvV8ud@@@fPG3A1uXL0U0GG0Gj0sCLV500G0
+6Cua85K10009n00WF7iPy@AAXxXZu89z198000C11300m0@@tcE9K9n@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@GPX00iKdCEd@@R0Gn2W@@di@S3EKs00004O400_@F@XuOO6T36ItWFua0
+100GcS9W008u@V90V60azk4plTIKT6qLN27jRm6y6K6E3pq@GORCiPV29qR0020000H000Y0
+00400200G0G0m@@6W302OOkP4G00iyu6TSp0000epzI0100m@U6ClV2010000904fm3bB_00
+0rmW_8PiFCYKW10G0GTXpmoVRq@d4HvR000G0000G4000800010W00020qt@60e10OCm7god
+408W0Jc3MN@@tu_@Bs@VY@@@@@@@@@@@@@@@@@Xmz@@@C0uz00G08mTR@y@l4G0G00000yzj
+1DY@00W0G00G0NWRG_v9KmC3nJ@00006M100Tfd00G4W5nDONS3Q4030002z3y00W0WplOeK
+SCA1tW9nO8eT3sE@1G100@@p00WQvInPuqT9QUFXfbz8FW72JtW85aeky48000SK69@@R0eK
+0WW4a8nT3A6eY85gO1S90003C7k15HNn@@90H00OeT3W000qpW11Ha000020Y00VuRWp10Wy
+kne119Ac812G00Zq@mo464RU220008000000a002001G00000020148000Gm00izS3kFd108
+80400181W0qTE3W001Amt000@frtn0G00000W004000004yWM2PvRG6u9yb73rq@0010WMyD
+0200010G100000e404001Snk140W0000e00I0OD13cutWuzD0I0400G808100LRa00004060
+0dsR0mP3WzpD00040008G0000001GEvm08W00tSm0002WN_D0010GwQ68000u_z40400yyF3
+0WW0IZNY05a8Tz4_8mW72COz@40O00yxl195OGIadaKn6ZYOmauvBMxHyOZ4kKtI000iw000
+Q@ZaBu@Vv@G0180Cz0dPpQWU5100001000HczFJ00Qj00000G40KA9d95OmDDF4CCaW344_@
+@J001E00Y0_@@JG544G000mA0Wy@FCzBa6000224U0W7_0mCpW300mKc00m@@J208y110004
+00080C0TpyVtry000Wmt00mPSLy@VEzzAnGpC4VH8VE@00100009J000GQTpWW3W98lJMacX
+948vVw4gDF1Gw503A0JJIi10010000X6000002000206M69200080W00100W0000100q@@60
+00A2G00KBq9y@F30240kTs300Kp@@B100AWHfzG004m_tC0e0008000020WDtDusT3002020
+100300mnv9Ctc1llR0400m81J00A20000280W4zwp0100WKqJ0aG2m1tCqYU23eR00001G00
+0lfR00P0W3rDeNU30002004040800200002000400_Lt00G000W8000W0G0Y0G04mmSu9W0a
+0100G0001aKsD00WGmCx600G02BW0000I00W40V@d0G08WfzOWu00m@@9800GuXV34008are
+1000OIHt00008K0006SBXD7DW00101001000G008W000880G081TC00011000QiRR00m@kG@
+9PFun@@C0C000228I9b9qi3Cf@B1GV2WPDzObTCgM4304400088000KWWW08DV3gNv700GCs
+200Q4gb4zD0H41GtGa4u93lid300NcEzz8WVC0G2WG410edVF001G000W4000v_J@06Z1ew6
+R21XX7MV0002e0W0004O000300G40A0828IXVGB00i_F30W80_pm300G400000GWCtyF6m09
+0102G8043yIL3040028808WVU00yksHqC00W0100H8Y02u_VC000Aq0W1@@N4m16WX1muU0C
+AIoZINIOTs4UgKezPV00000210WPd8vVMCG1G0q1W3@@@300043300@@RW0W000080042G0G
+00_@l4JLO04000H00000102oTZxYVebAX00O@UEC300040W00aj79bOX100W0o0010W00war
+00GWCxz94mo5W@@P000G221009000luKHD06inh1ngym@@kQ000040008010G0G0W0a1MEMb
+@@n000lo@@CG60000WCGKsU0080n0G0W000WXbh0004d00_P1mAhWJLc16_l2Cy30LX70G10
+W@@v1ah0GRWmGV000p4Ni0miA1eA0@@V2202WE0Su@VF0G4Gy@F3WiU0Ad_XXum0009GGIa0
+001000080040021WpYR000021200haBH5TLS8k10H40@@d1F1000H002__1G00070C0800W1
+mDu1y4gAt00204Dnv10W00G00WtaRmZv6yUL51VN1004000WCY1G0_@F100_8000940004o_
+31XlnMw64lU2zb@GjxCayU20X00_@d7m5E0llBnyx600G0uCR3cpEXdqhu@@JG0000a20Owq
+AEYNYruD8yR30000fHW08WC90W04C2k1Xt@000GWLwD0050mRu6G020wES3QQBXNwDGW0800
+0K000W20GW023t02W0200000808yJE30G00Art020004G00cqdXXqD080000WqrFpJm00000
+0W000G00G00020Wq4d1FudmOT6000G001800G100W000GG0gGdXHzDGW000000000WG00000
+4200060020W0200000024W80000WqXk1@@dmuT6aPY1G0W8cjd10K00ByR0GB1a@@DW00CG7
+v90104W02004001000WZ@dmiT6W000m010000O00000G202Ml9100014W00IytWA@DuR@4cG
+FX64COqDF2xf26100Pp910W0WYAn0100000WY3sJ0020qHzC4hBdHP@@Ju@ta_@Bn@VI_@@@
+@vrT@Gatm0CJ0e8y97t_@y9YTtR6gWRZVVbuT_70000xP20AHoPc3_XqaDOAy4ozsW9bD00W
+0IexC4wl40040hC@XhDJ000W0010000mnHZpGGy9Sxc1nBRGtv9aId1jXZHjy9W0008QU342
+00000004G0OKnR00048806YlpWyaJ001010000WT30Zhpm_w900W00G00m6x6a0739tR000G
+Wa2muxOX2N_1000i2500w@dXysPOTVR_apWkkD0030500020I000002QUB10010804H00800
+0G4040GWG000400G0002A9MYq@D00Wto2u900058fR3MvEXBvOulR30WGGCAk19tc0H02WVw
+P0G00W0600W0200G400a403O00e8S3s2t0000YrTR0000G80000WG0h5t004001w@GUR64Jk
+1WBF0_@F1GG0G0001400W04000W000H02000100200800WKVX1004GG0000W001GW84020G4
+GA000G000W0saX10O0008000204Y00088080000W21gY1C00LIf10G00008000H00G000000
+42129000WC000W0W001200H00040405XdGK@C000WnT00m@@6010063G0mqS6W008PsL3000
+02002000280XW40000042c0800G08WI0G181090X0000X8G048000G0000104000W04GlvPG
+ZT900000420000HW8FOW800GZ_60K00G000mNtICsk1vhR000Tyejb8mT300W0CNN2FxBHXr
+I0008ekV306010082WW100W0m4mC0O046m860W100G0W10mBts0YU0u@@J0110O6400000W1
+18015K4Y0G00010808Y00040o000400020W4000GShl1200000200800004002I00PY000G0
+24Gc0S7_C00WeD700a0p6G4000008_@l18010_jF14HKG800W0W10W1Y0200000G800120G1
+0000008o404W800W20008W0020W10400W00W010MNw600Y1u7UO00Kw_P_900Hm010003WG4
+G00osz604Zm0GW100C0GO005NwR000Y00G00005081000W000IW0mbz9qIX10002o3V6GlE0
+ltJ2H000200400200a8010328I@46JsWH@D8OT30WC2W2AW002C8500OW00ma8WeWA400808
+00SK200000Wu0Dx33000mGAyOW330G000004Ym4FW800GY0y0KO0X4HW0Wm0400e4i2Z000n
+W28Y8600G0H800001004m188CG80200GG11QA021C20WHcP2w00e00Y1C300KHY1lxt200rz
+pw310Y0008200WO000O2W2G8200X000G4Yg11mW5BaW0WGq4024W1000080400S0Y04L0000
+00000Kg103IxnW@@D8503_sV6G180p@xHFv60000Q3U3gXtWRuDW000vtqC00814004pIxCq
+Hz3NIR3000Ae200J@eoPram200000000O0e_mD8503gJtWkni100WKy@R00O0eoJ30002qdg
+1Nx@mhvCSaR2dwRW02mmasJ0000n5Iy0W318sjJ0441T@V20820YptW_yDuVL3oEt00GXC0W
+0MH080002000W00AaH00mA1eG70I1mWqUjXB0WGiSUiJk10m488300WL00v4x4000iq0W15r
+n00O10V5WgOE0WDFGYJSYBsy8oj0eiI10yd2WLM50pg2G000Ou3mg@m000Uu@VF000T30000
+4x60c@A0CmV08dSWmCy4XR_fKb1GiY306q70pWF0oHE5WgUOZ5sO6k0miA1Wz1000C000O0S
+0m0W1W1mF0006000u00I1m0000WzXF3um6W2uz0041210084000A000W10003W106060C0C0
+00O000W029m002020C04g5p004R0K5_0WOtm2NSfIcF3Xx203u70mgC0bfS08QNA18I1RW10
+04@l70Wg00000000gK0W_g04NSKgW_WuELXLM10Yx20pL50WVE08sDu000O5B00yai4LxxnF
+t6iqT2r5Rml@I00G@J4W0mL@s000We1yAQul2W000XVdm2tF00W8emVF0dE000000801Ot@g
+SU_3ldXH7uC00GWu7DIYFFXAnP0000Xd4100004@gXnwsICnD3fXRmDRpKgt3tNRGA3O00ek
+g0kS_z7304G00014W00800410280GUt6Kbd15Qcmet6qql10210Iut3Gt10pr@mlzC800W00
+00W002WcuD020008000840000e000G00002K001mn068W000081000080002t3QGQFL0G00D
+MFLoKC1c100redmuxCCYd15mRGLP6SeV204020000Y020uyT308W0WG00ONV3_ItWxRCG010
+Gpu9KXk1000WM7oWPqDe7T3I_FXMFg0000000wv8Jh08000420WL@D00100400mhzD000020
+2050W0000a0W008010000002W00bLpDerU30028004G020000m008000HjRmeWRKCP8WYM0_
+@F10014PFKHO@6awk1PyR0002XmqD8xX4WG0008W0OSWGosV6000Os100_GH56G00B4GLP@U
+00m6frsSAMFDm3B0TkVI0_68W00Oq1gIAm3q000dpV2040WKRU8hUp00SRlY@90G40G00G00
+14QHVv01c0Kt@9000W8m040400Om33YKWDV200@dsoFS6y@@pB0J2400WBNs0Co2my0NDMhA
+18RmijO000WXo00m@@daId1Lv2JEuOa8kA00yuB6Nb4uO8HSs0u80y@@9_C0L1V0mWV01004
+NSbOdaPq6H1W20000009F5lt20G0G001Wv3GohzI3080000WmHj64vg4S100gWhb_zUOCVC_
+_jYbpJe8y70002S4S29VpG3v600uKEGWG010045U2XbdGOuCa2W4VZRGLSOaKW4000G00W00
+G0aehT6EPF1W65012u1000agpJ0000I709K3W1ZFdGS1FK3EC2000IBjYiJDGz00G5ByKVQ5
+@UQGqm6CQF3DsN100081000200WcidX5tCOtU300S@aSV2xoNngP60400OuK9sndXgyDePU3
+G000K0l10102_@@1W00WxnRG2z9K@h120W027U30LE0jopmHiC4HV2@@@G3S6y@V28002oid
+14002L_R0000rI@D8s@4000020W0e_V3_Z@XL6t00004y20WLsJutV3Q0mWh@DuvL300G0Ct
+E3PHRGZx90040080Wmwz6Czl15UYH1SI00080000a2800500GPFW10WJq_6mOzIFUSfzf3Il
+qX@7ju@GF_@pa@xSv@DR_Fpd@daDjQkJ0002m3@64cD600084C00KX9UFnLnv@I00mSeCALA
+ryga2iPFunk8F10020DiRmEvLazmR5PRmYzL0014W000000HWSkh00WuIZesaxD659ZHJ2Oq
+C@3bQRmit900014W0008@2WZzt8LkD_z6ZRon8I1C0010aJk1hcBHW@6000Wdt00GCyp0010
+eRkD2TT6400000800204Scl10040000W000018W0000wri3zeu03g_Wgh@DutU3citWSun00
+k3mKmur7f10200G2003021eQ@D4700iCCU8200W8H0KJV2m40X2ul200TWXBZ7100WfzDOhq
+40a00qiV5W9R0w9Gk@@D00WG20G40WG2G214X0GG0WWe8G100GywLCwe15KaMr3CG0000G21
+X000040XG001W00WWCqV500QUQRy@hUyG000GBr9000WAxVC0000h200efS@2dD10803X@N1
+00Of0a94a00000W0GW00Z@N1065WQczBKv4Ih23000q8100gzdD00Wg0000OcP0GL@74002e
+000010W0000100WUm3038y@A00CKcRjS0000Zwr0O000G0G0W1W003038eMC00000_20Os@w
+000xAWdV60000T10000m@Cp@0Oc@1u100u@@AO0004Rx@vIL4Oo0WVW@FI1NRQRZ9uP83wA0
+cL0Ss69Jw94G0X0W0WW1SB110KW5sJOs_408W0SSV2000uq000ymLTftLHlyL00e7TVIp00W
+K80018lz7W0000W00wEU3oitWIyD00W0IayC0OS0uEHpUvAayHsWR00GRGW10G0000200004
+00P09tRW00G0G000V7P0C0220G4080G07rt002W0b_RmMy90WmiC603oMZjWzDOaV3003800
+4000200004ml6n0ev1GFKW1400eP@40W00000G00I00008X2DP04800001m@KP8TV3020000
+0Wss00GC0Fq@sI@_R000HWS4D00e000005G880Y0000W0021G0000W05Y8200H0448Owpm0W
+0W0004GUgW1007zn0OGIAQDyF3W012s@tWrjC0W0W0040G004020m000203180OsJ68000ye
+g1HSnm5CXC@VB200000GX000000G040081000G02W0wRr0W00000801I2000W020W10000ej
+HD0G00080000140G400QUrWK0CWr00W80000140@@x4000pcJJ0040008400G01f0OmBg6W0
+D00X0042800H0000W00820000X90004600W040W10000G00ACbg100Qp000WE0Q2Po65060G
+00Y60O00010G02G0040a0301030G2CW10S000000008006WGG080m044008001m3W0kJ1300
+100W3000080W000GKT1W00m_prWi7Swqr4W002200W005890002001GzFO00e480G9200G00
+004104100800HG000b00gW0000100I400W800W00890000008OOG0mIW08VM3I8sZ@@d1Y00
+702004A2S0W000e882020G00W80W32100Wf0y0u08XK0a001000510007z12O0410WzOnu@V
+R0mCp000_70_l0CpSH0KrY0u@51FyK1W@nKcmJbgM4JPk8y2VHLfwYgILmLbgOcf@1mVBYPc
+M4WCj8ymRHLL5c0yF0y@VW7_Y0Fy510000UyoqYm3l4LLLO2000mV0_@V90G400W9WH400Z8
+0A0H0K0c0e0C1S1u2OYm5m59GWBI05Ka0AWB1K0N0k0k0SHS1uY20m55OK09e0c06HC1SU06
+0000HP41GW060000Uiq4_@@A0400000cfA00G1502p004LL58ggg000GpO60200W4OI56_@d
+100Iz@@R600mX@@dvDL30S0044kSZLs2000Cr300zYRmPOWLyTE00C622tifTi9gQ34dj0qy
+y9dEer@@U0000bq00GoWEb_U2tgdm409Koi1zhB1080Wi0aOFU308000W5u_OUFUY7Z@uteu
+@4c08XI0Ou6@7o@t08000h18H__9mN708qTFIw7Zy_nOeVd00G0000mDaY4_dump@b000HGF
+wgaSlAdiBHm_ICk@3@sl1u11WTtBgY4j000GRU00uGVIY@NYbzDeB9FIb7c2wh00m@GvDKDw
+V2ntR00W0W7kP0400GpU9KmV2vNPmu@L0eI1eMdAU_dXK1a8TV3800GSc8FTTU200063300j
+lUIvuLqtF3bnQmd@LW0008Ux46@tWt@VudqD00y_FQQ8f2iH1QHDi_@1pRSSv64ZbD0s00ER
+vd4HU8jPgEsqWRzYgGtb000F4nbPXXP38YWG810005000000WGa0000008Y0W4oofCO3crrZ
+a1j1000wdg9aAGEnzGIgRyaKm6tIho1r6CHD3TvpG706a2W10005_isWK0O8AWAYKmZK1i9V
+03eW00A0040000Y010000W880000W0YW0G24020000XXc@J85W4Y2eYy0Ie@@4Yj@XK1c9VW
+4g8m0vO000GEE@@t000GEC00087dva205H1i10W000021oX04008S200WW0vG00W04S221RO
+RGg0s4I03fz@@r_@7uq@@LzVVN@lNs@vjz@AnZ100ybz00GIU@V4u@59_@Ga@7av@@W_VFg@
+l3x@vu_@Dm@NZiwYrU0UW_@6i@400W300000a31u00GmPE4q1W1v10Jrt@FT_@Hl@@pz@ty@
+@@@@VHq@Faz@1X@@Fw@t3@@xu@@@@@@@@@@@@@@@@@@@@@@@@@@@ls@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@VZ@P200Wu@Va0M20q9mIb5G5000Q6300TxV5W00WE0SerVR000Ww
+H008G0U_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@4000W@@p30WsZ@@@@9_Y000GpR00OuXn008
+0y@l10W00_@7600y_zJSLo_9000H8A_44000yLW10440_@@100GW@@Z1W63Wvwl2003m@@F0
+0048I13wil208001sZXK00W_wx200041000G020BVmGH5LShW4@@R00W9_jH63200GZ@y0oM
+0u@@eUdm00W1000406Am000GWtUamf3Oy@F6000eW300y@lJ7UamTB6000G000XOh79qvY1x
+POm@@d00eey@@hoKm0I080POmGj2Cy@lA0wB0clvA0800W04202008000I40020000820000
+208000qaIB0000pU8A0000G00010201G000002008W00W000018s7n00100Fk030WqZ@@T20
+0G000810W0200081G28000000a1040000W000010O000G0092000rpGj0gi1embe00X0G010
+00Y00250000404001e000G0I0W0006000XDSC857L000Gg300u@Vd808W0Wu0010G00Y0G18
+00000Y00GGW0G00G0002000004073PGrCIy@F600wW_@dA4090G0200020KqZ10014100W00
+04G000Ga26y@VBWE20_@t90GO44000q000GW00G40002300HGG384014004y@l1020u00000
+KG0u@@J000X1F00u@Vg000p0000m0Ae01K502E000iA0_@d10wV0@@h200kX9SYI408e85G6
+0CWc0P0T0w0H2q1Yq030f1q40001e00104020Z800u@@M0D60y@lJ08y0CpC11W@17ymJbgK
+5JP640yF8LvVGgIL0qbk000003i6lc1700m@@@@@@@@@@@mTUSsL5WmV0_@@AW800000GIAa
+X2xC0000IXTCqQd1rTP0000G108000G0QZZ1000S8600MKCjC0D0002GnPC020085E308000
+00O8DG900aFy@@L00m0giZX@@F1G00oGSI0_w1u@VsgCZa6vU0000Fb10W@@1RuA3QBu4O00
+001W0hsa100Ri@@dsrZF4Ab1FBQ00C00W080dBQGu36aod1fWQ0e57W@@zB@33graXM5DW00
+0Gbb9OR00u@@n0002Cw8600800400000Xw@V38000r9d1fWcmWbLCK0LZF8HUO6W00022000
+WA0G00000W0G0010204004800W80WUBJ08T0GticDER54400000n100G014000W08800G0W4
+0W0200WG00Y80m6e6000WXv00GO6crZw60G0We4008C04W200011G0200000036f81002k@@
+F60600m000W100_@N200G8W00000GS0c2I2250a8A0G00K0004W00H0004WANL303G0y@lV9
+lQ002W00e08W0120X00CHS20WG0W00000001h00m31c10H0uKcA_Rr04614Y0W6GO02802Q8
+Lk42qr004UhBum6000Jv200@@d004O600GL0G15KW16GG000W5001Y822Em0yeA0eA00ywS2
+0AD0_@FjFNb001W0020102WE0TW80w0HQW1Yq0Q6f1q1K3e9e600GD0a0a8j1S100_@tFLL5
+00WPm5U0KfgWOMc11Fu3ILgYfgK50@100_dee10003_@@@@@@@@@@xglnsgnu@@P004Kz1@a
+@@@0eE6W5frA9TaC500Kdv@pXQPr@paQU8M500Q_xjL@X100jUahp300KOzpeo2BAW200a20
+4W0H0aPTBL@l10WSxazT20041000aStCeF3aIA8A0O1K01000202CywIH56rtVLCvFF000A2
+3FA70800e10cIP9X4B0BIFl0000G000W04000814uVH000Cou_@Bo5D1tDU3i500c292lN3n
+daKGNlp@@0tEbulGWQ20_@V_JcGw@@400GJ_@@@lX_VRg@l6x@fv_@Pm@Ncy@ZH@VOs@@5_@
+Tf@@My@db@@@@@@@@@@@@@Hm@@3_@@@@@Z5@VOp@@Lz@TT@@Mv@dr_@Nr@VL@@F3@@nu@@@@
+@Ny_@3t@Vm@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@R0100eG0X000WH100u@@h0001K8WG
+950s@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VUy@Vd@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@F9000e_@t0G10K00G0000Gr0W100000800y@@6000Wr500y@@O010
+0020000G0e3W442000000100010W0000G02020010Hy@l10800_@d100zU@@d6O00W70C0C0
+0800W0m00W00000380080100280W0040820@@R0100W@@P08b1m@@Z10010002Gh390G000W
+02Gp3XimG26000_@Vi07C004400W8000402080400400800400C004Ie400em000100y@l1L
+0am@@600udw@@t800W000012G00000820244400_@t00W404WG0_@l2mpF0@@F6800Wc4I04
+000C000100X0G010000I0mW0040WW040010895O0W00W_0UWA00mU2Z10000008u@@C0G010
+0G0422008000048000010e008Ya429X100B9@@F604200G4000000YG004X00GW80I8a0280
+m46GGW004WeG00fq83020K4W10BAOGL96y@V2WjU0_@FD410100010W8401G0000550G8005
+1DGEK01um0800OWe4WHO26azm3000GO000y@VTDeymS2Cy@@300WM_@NE0070vO813000900
+0@@N1mV4W@@FCq39cq91D200@@l7008a@@J010000WGW@@b00mKx@@c100GeGc70040a2W14
+010_@t30e50@@R60681G2G2WK840fG8OG19mW90003ImK0CWG88819OG2XmW220052X04IX4
+8000_@tC0402080408084Ca128W05061A0C0y0W14060AW01K006000808000ZIz000c_@@n
+381a083A1GAHG4KG2GeW488000GX0m@@L0691u@@@@@@@@@jF@D300200W20W00001000G00
+000L4000000G4G1008400G020W@@N100VszjCxHD300G00040000G0H5I0WGW000418W0YO0
+20082W210G308100041w5I2mZ70@@FsHP6000G000m00800000G00G000082100000G00O00
+0Y0004600A00a5M5o400YmPipyC0000uCT6e04H420Y0402G8402m8e200W1Gm0003040000
+G100W@@@000No@@nRH83sqp0G0GG5tP04000000WnmzGyHf1104uDn4wfp00080L5QW00G00
+000005000W000020W00000Ga0_I0004gc10W@@V3020a000WD2J82E3800000G0010042000
+112GD9PGsU600u_OWat02000100Pwl400G0000400800001Wf7JW000G4V6y@l10XQ0_@tC2
+0W0004002000004eGF300900000148G40000202000001W01G422W00H0G0OWezI0000Zh00
+WD5JhuF30G00W8010012m@@6000H0141W1008410WG100040WGGO000WGo7W600G000GA_@@
+c1G0W0G05249mC00418003000A100Y0a0e4b0008QM2K208108a20504G041WKG1000000H0
+_@F10380@@F600G3000180030800W0WK20W1908G100g80W020W26002900A0W04000e10Hk
+aGgU9000WGR00mQcZ10O0W001000090C010u80020G0Ec00K3YW201840O1UW04O40IG0K4X
+G00a0G0088000G4F_@F100y2pUQ60H00KG00e480W0000004IY04W0802002a0008f000200
+0002WAG04XCQIW000God908H0OzFp00XY0H0800100410EX4040S5w5W110mNG406CW0Y0G0
+GR00824r0X1GW80G3000000WuPD84N3eD00y@FO00WCjm3FOH0_7cggAggILKLrYmCp5fg@B
+2W@N4ym30LL50_39u2FH90o090X1J0a3c085A82A18R_c000MlQUIJ1C1i2O2O4u6m800WLG
+45uW8FWtHU0@0@0U3_1q1y349e38o16Ga3e987G7W6020T00_6E1mo60@@B70mCJ1yVL22Uu
+54pCZAcP6cPgA0yF8OcPGKjgW0Fy11Uuf200WP8J90000q100m@@@@@@@bmPF110000015Pc
+0000G028YG10100100010100204G0W@@n08S1m@@Z100a0020000842G0040G08010G02001
+14GOS6W800W404Gpd9anz3000m5300KhtO00O00000W0608Wv408W00n10e6L300003W2Gue
+K3_@N200Hy@@R650800000W0140020004G001400GK005W0G0000G5WCm53nhB1ev4W@@J3W
+04m8u982408Oz7cbVZwxD8rSp000G0500ech7sg@X@@b00nURo_cX000G00G100010005j0c
+W0080100108Y810100801uL1C0zw0iiVQzlRW0W0W5zPWC00GWzXaAaP040000100020G100
+00000Y0GH4W0000300028Y0000A0G00024R@Z100_nazD3180000aK0088080HlGs0000J02
+0100W800H0fdP3gf030l30rEQcI1G1AH0Y810fe480000G0GA10WAWC0eaI88400WKAX80Ge
+v3C000mhK00uPxn0W8000080G68800X01e000e80G0001C10014040210WG00jcl10WOsfZD
+380008GI0C0G1e881G03W80F22088A2X4C0CGO04W1980000022XW1mu@L04B186EpW00G28
+0W0K000W08G09G20WYK1AW0e01e240O0HGW00W8e0812ID7ZJ3J8RVj000qA2100WD000480
+04X00W_00GC8x5w3W0H0eN000Y400Y000GB0000298y@A00uTci@O0OQ0ebM0000OHfb2000
+GQi400f0OWI1GLLn200Wbf10uVSz@6W830_@VC14108AI183W2mQG6WVWEW81@0HAm1YK0h5
+f0s1C1y6O700mE0oi@n0000iv00W@@1300Kb0Uu111Fye2UuHLLg2pC32uX74LzF8m3VG0uV
+0eggKfA00W@11ms@O00mh8CSH9yR0q@C9hyopo_C0000G004GxHgW0000000gI00Wwr@40mb
+PICXStwFJk_Gwr9q0W1FNvnhp60qo1OPVyolEXmfV8PV9KD00a5EOxCRGVm600000I0000G0
+02800400082080100W01emwP6qKG500S7owriQzO8ZT6c9m0240095aGUOI0OU1u@@n8000K
+Tj1zBd00201040008G0UM8XFGm0000Xp00W@@@VEq@ZJz@tO@lDtz00y0z@@C5kXnN@N1Um1
+8BeVY9_@NcN5Sl2GjMvqt5IPVLn5t90000Y400m@@a000E78006G00020AB4F4C800WGpbB1
+8u12mCG449aU0a2j8AA2IWI4qXd0GCMvqfL5020000KO6TgA000G00H20y@40008000HWH0C
+00W00048LF800_J0W0g000q30000002H200000W8206yN500H4j1OGA0I0KM1u@@J00PnIH0
+0O9u4Q5pfro@FjzsZGU3000C2300_@t9vG00W04E1210yMD6L_MXSC01206doX00008S2C30
+04000G8874W000XJ000ifbi@@@@@@@@1MmU2DnR08a40001W@@p9000Kn30y2WP0M8rI0y1m
+O00000iA00uB2J00G5W@FXu@HG2VyxOKkx3fXN1000O3200DxR620000810vbRmGg601W000
+0W0000XpPz00mZ_n_cbhh40002080000100042m_kU02z0uEcq_Fs000803fQGPVd0000Hq0
+0GkDfjqh1dFR0400mzXVOhPC00CS7TjPG02WMHMY1fJ010000G8Wk@nWej1m6tcHW80W8082
+G00H00W800G490804Vj10004smo3000u1JE6008YEkJ0200W00GWuzD000GKKt9aoz6004SJ
+DtC0W1n082100028Y000004X800G040000H000000102uxQF0DN0ii@OGCG2000010WYG400
+00m0H520024140808CVk100W00020GW00egnA000W7InO00001O2020X4200G80008410000
+0G800000G1W0210004WKoDOFSC0005N3kP8K38W160G40000G000K00002200W4810803041
+10008K00000HJoX18a2Wbz7310G004C40D040e800H6228W808W800WW08040Y0000GI0011
+000WWG_vO0700u@Vm00012080080Y48W000a0I002W0GG00G000a00008010000I000g_V30
+0G79xF60eV2030oUH00022004W00C10008D1qE1u0Wq6wnt0000C20000O00C_V50640_@VC
+A5mm660000j0y@F6mip0E2U3f200Dx3600u000Wr000t060S1u241m500G4000S000mR0004
+W3040X0G0qMnR00uDu@@qOPQ0moqOnqcnYf1GQi4Gcvsd00OM600000Wgw_A63ma40xm@900
+0CE300BVbpIXTb@V200cRIsNKmv80N@2Ja2O3000400010A0000040080wRs0G1000020X00
+1yfw3Xa@00Ws_Gu6hJM6IbbXK0eu@V900mw_qfPHAO0600000100108Ykr00000W004UoGYC
+uV04u3m@@crrk1Bv_0020WcuCeNQFGF00CidP20080400000W0009000O0001000W00G0400
+0WODC3_C4300SIbPOsEhC00G0OTO3cmdXzZtWig2mg@ZDJt300W0kUh5W1003HF6400Wm9J8
+CQ30204LwH8@@R00W@k@@7302D200GWouD00Y0400102C1080H00W00G40086@4kF23Gp30@
+@F600K000088G40000000101Z000081400002G008200aCl1RSZ1000Or100dkFc020I08aG
+081G1002100040008X000a5200I80W000aol700WgMIrCOA20m030Ge1000C000H00000304
+I00018W102aeQ80PN0gnVC2020000YG000901401200002000a0FvaGp@U000WEtJp0040yU
+Z1RZQG@e6ic23@@l10WcY@@730qV3Y1WGlW8086W210Y0W_RA400_2wbWO00e9G4020G000G
+0W96N6_@@1GJE0@@F6mNQO3dym6sZXDiX7QO3FqoU0kb7_G9NQfMUuXPum3pm31WIBbymzRW
+N00u@Vm0007202y605u7m9mRWVeV0@G00_X0eW31G1U3W2O2S4m4m840WlfO300Y_9x@@@@@
+@@@@R_p90180000000GeQRMYVy210G@mMufrTh1000800120000W008G7Yd0Ul1OUTpUus0m
+0000040cusWVkD00W0GrWU0000NF00mMucL4U2tXR00G0Wbmtu@V600miy@@O0W80FitWEfD
+OI8I_@d10VF0xmd604W88200jWjn@@L000c6e00Gp_ZX102eAK6AE2Zm@h00GZRmeuj5U2B@
+72Wd0Wbz73HX00I00000408I40M@FXu7C8D3I4C00qi@O44000000G041ehU34880SxlA00u
+UIstC0c004I800P800050eVr4W000i0890u40MsVCA0W68406m000ypD30001Q8v47200Dx3
+600u180180Ge00004G000102mGy@90e00u8rG008Hdi@O0080090WCEh12004_7vX@CPOy@A
+0ID0ii@Oe@6W10Wl00000042000WO00000m4291m0Ki79000OuF00qiFO000Q1WMQ10pC3j0
+00cPkA0000OcP0I5rimmX10s16044C7G48400WG000O000Wa00GBEa02n0OCyq000ggA0000
+Kjwh@m0300elk@txx@y2Xl3p400W0800G40800000n0uJ0200G60CC_V50VP0MsVC20W0LX@
+000G00810p@R004GWsDzWe00GR_Z5Zg10010W008qeV2ppR000011400L@l10W6oi7ORyQ3E
+1qWOuCewQ6YY33Gd30@@FsL@94sh1@@d0808WGS9Xb00Gs@cTQD9X@N10YxnaK3CdfG0Zv0C
+vw@RmpGr@6KM73000GAXtWecz00m3VGAc5KV59LS2Gw3W5fDR5S900a080W08wQIo7PC0000
+01I0gtkYEk910WqOo_Z1W108dU9I1GYk@n0u20GjGlb20595G8W00000W8vp8144HWAl9XI0
+0Gzwc10cd10000CF3WEnbm700Gts720Y00000KQzFW90085W7I@N2m830ZX@@BFICdu3nzI2
+0W1@3XmBe0I_@t0md0GRmuHFJHH008eifM000mhO80u@V6EdsZIfg30WGNHKo1W0GOs@M0iC
+0C6kPxWR0280W@@DW000HOu6008000200000Ytmn0000jT10W@@73084Grw64hN20040Q3t0
+01002000U3V300y_TW97028W@@R1mo3m@@1c3l7000GOC00y@@d08MxZXx@@_3Pif76w7Zcs
+R939gwDNboP@VU@D_1Y40004y400E7Pl4f9100uNcpu53dAWXO0QeDmA631500GBsrb5N5X@
+N10WXtukt3000l700WOu214G0GMSGcK0aJWNQBpLSlu3Wq60ANYjbmQ97_4KE004EgPb@ZnY
+hLqQF3008@cT8m@@31qj0mpMcL4U2@uzmHSX4GQQXXdGRuIG000ODE365dXlIP0006nZfRS2
+OHn2Q0400XLmDG000n@@C8042ODk4Q3FXySV0SJ1mZYRq1x9@@@@@@eIKx0OZx@hCddy@J00
+mm_GXPk@V2WHR0s4gnSuh0B00GXzu1140102PI@_X00OyAPVye00180000602m6IX0021u@@
+w000M0AW2ih9I000WG100u@Vy_h@4003PHOZ7Q50G00A13sJIAb_rUje0030000WdyV8000l
+33@@kwUkw@D00qpa3@@Z7zJqr9OU00eP@@NWNYEuJOnr48002q_k7WGF0UTyjqrJewH30008
+S_O2pkMHI1fjRy@vE@@jr@Nxz@pc@Vix@@Q@@j_@@At@dIUu00szsNiba@dfxVCkGsWzoD0W
+00mZv9000Gysy70ge1C0B6xdR0404Wo1sO7PCIA8aemD8f0FUHt0000e4J00ki43020000W0
+0800SeU2JJBHJ2OqY@@Zm1MOuLS9U5@@d0000AE000JylH2v9qwm6XFuHq@9y1k1b7@00200
+1000t0KH_@900WSy@@AMkFXfnJuEyDM5@@Jr@lK_@7r@@@@@VW@@ph_@J_b30mUoUo@yD_@T
+h@@@@I0020g307Yzl500zndjBHA5E1002uTP3_Ns000e0dYt28000maA012mm@@jS_S2v1u1
+040e@@D0001000000600ldh20008000CI300248XctWfm13kVsWYuqAx19G000y18IRRRGUW
+sK@F30QH0o5yXesIuQm4s_E10020ZwD3900WxmheHy44W00yAk40000xEq0000K_000lQ810
+20004G0100W_Xe1J7c0014K000W040010W062W120001G80ace132O0018WvtVOjS3ErNYaz
+PuRV3MtF10006@@B10WcwkpDu8X402G0KYk1JDo0204WmnD0800nPw9iaU2f0aGR5USoU8FD
+AHov60Q008la400G000W00100Ga260400P3T300GW080000010G020W000010W02000804eA
+T3wItW0DIusob800W000000006X10WdBD0000IQ36G00000010400000W08000Qct04800f8
+GoXy2D4l1I000GH001000G82000YUJ000a00010018qdP52810000W020100000O00000002
+000FIvXSyne6qV08000140080000U30090X00000W041008008000Y000400G0008070Y080
+40030010000383uR004000002000100W0awF3G0006CLeiIPW0008020WwLCWp02ms_60228
+00G2000W40XGG010084001820300030400GC020018kwdX05k280800004000Y400008g800
+8YG82200WQOW20A84W108W22140480400Ge8208n00H2002b2nW0H0e0WG10628A0Y2003Hr
+0000G20002UuXG9nu@@P4000000m004W00041804020000lY008010002042G4020Z0G4014
+4001402083001002I8W8aO28C0e20Q80W0080008044200000001s0W1PncmJ181G0W0G052
+4181004800440010100Wp90IW8000014WG4G81WI00W0G000eKZDF8000010G000GA0eW282
+0f00YAW00000H00500WK0C0020GA06yfmF000D0004W00C0042022000K400Y0000G402005
+04H02Wm0Q82080000Y000420OQN6000d000W00A1GOA9m000Y2000G100A000f0mmpd21GG0
+020000W101G200800IJo0WJD1002000G000G0200101f8I5000A000c2M2K42000W018GY0G
+08200n40008000HGHG07gm00G100W2GoeG80008i41W000W0G20G00004000000SKDG00100
+0020I001020G01G00Gi48QCM200G08020020084040000002WK0W00084G2IXX7LteoD6YTR
+3m1000WGHW8040e000Y00782000A2000Wp170400051Y0G00200020z20000uB0020W0200G
+HK90200004611000D00048004100Wm00G40q023G010G3f0aG8Jsy@V2000@jmCpOHcP60m3
+F0y@VOcvYegg510000qwoqY0yl5PcPOgggeggq0W@9Ybf1Wb00000O0XG80I0I1H0a21X154
+13c000C44200@@3608205m4WAWBWH0N0hW01M11M0000C4NGWAWB0L0N0h0d1M1c1E300G10
+0028G00HW1030206060C0C012O00CW14H088I1a1e289G6G6WCGa0PW89m0HI09Za0o021a4
+4300860I0_@l800G884000fW48090HG90000E244KG44e40fWpWP00m3CBZ0OPQ00F128425
+KG2Im4Wa4W091988000GI8y0yF01XPc1dgg20@P60_74coC8cLLG0u@WO6pK1W@nCJ00Uu22
+00UGJb@@L1mJ3mbHZzWS2jMbGNu6a@D3v7l1b10WQb31002GmnRiVi7zCoGCU90044uyC9_F
+33002iBhlq@@d4wCC0DD0YKWayojPS_4G000CFb100G0weU30G00Lopm5V6000WNY00GXzC4
+Fl100G02vo0W2001mNnBTd000240100104WtjJG0200020000H0W80000000W10W0100060W
+2zt00mL1G004GG20010I8WWa0G0200C8008e000200W0G4000041040W00200WcBC8F@4Yht
+0H000Psx1202000020X00c3F11000ftpW108Ws2C0000W00GWI_P0000Hsu6009040G0qoZ6
+G040w@V300302201ORB34000y_c4000AM_JYomg8MT3knt008800GG000020000Y009GDSXa
+zV2S200000G0001048440100K0W22004UOm002000220040W0040ujV3cexX3s0h@V3004WK
+sm3n_FfWX1WavDOM_44001200000102000010W820H000W00H4WeFFd_@@40410000WDA0Ha
+PV200041G4020G0W00GGX@900308A@4sozD4100000000CR__l100H0Y_F18004820080YG0
+H8Gi5c4A38XD5I300WuJ160Qo0Gn41GGg90H0824048430H2008411H0W80qQY1000400Y0K
+S93v@d6000GG000000204041100Q@O300900G0002400010H0G404X01G21YW0A0uLKUQ856
+9000K000oVr000cX00m04B0AG90G4a00mjk600b010H460KI90W8a000bUYrWpuIu@@nsLb1
+400005G0W08000080060050W0000W000WHW000K800140034100G00004000G40000X000GY
+2WD8y300X0000mhV0400W8XG800mW08G00W000H8W14o0G004GW8H408C4Hn0I178c645286
+04Y2000qW2000kRniAFD08K0000n3G0032WG0100020XX010200081a00Y0mIX0Y401211W0
+80400K00W080CLG01104Oi1XT36006000W00WO90088n4000iVIhR0600q000040G80210uA
+1XWmkLeV2030oUH00I3s0qN2X00mNGh4W30298rywKM00WTc10000_@@CWb0IJQ0000a6000
+00AbbM40BJ30jO_@V3U0mW@@1Za000c0P000C1000000qkQ000Wa000P050S1o0y3u200u70
+00E000OD00mDW1WY0k0x0c100i30007000y60000O@@B78u0W@@D00Mc60000iCD0hSdWX76
+0CFZqO10MUPxd@iz0040u3d946E6M200cCRfFlJ00G0G7o6ChO8pyaGrvO00mAPO@AIz_X9n
+JeyB3cut00100G200_X3ZznPeJpG2tq3W2D0lzPJ9w64fj4RlcGgtOa_w3000G000H4_C3JD
+BnrwCi2V2LVl120WWicEfPX7gQd1000Ktln0000800W0bqp051000280@@R000AJHG0Wb6dW
+000HW0001sNH0gCCyk1G000kpd18W00l2Omcp60014000G0110WaWDeTy4G000G000002080
+420080040Y20800G01000001180WyDDW0001000G208WbtR08j7G08W0xnO02402G0104G00
+020001050CIe0040G2020X7R0200XMsD00082140WmpPeD@480000500008W0000014GG00X
+GYxtW_uD02000800X7@DGCW0W01001006GH1G00018400001504000e00000W00010008Y08
+W00G0000004Y6520O0W0040WW00W0014e2K0G0W0100204401A30040800200G0000011081
+08kS30GW18240uaQ30008qsl10800000OyOVEJo@0S00WtQJ000x7010XzzJueV6EmWXrYFv
+LQ6Mkl5H000G0000410SPV50b300000W080upl40090_xd4pEQJ3amCiV20W8024q0000000
+Wf1D0GSEF3tVxnLqBTIQ8ltd00W7yMyJ0H40041022000HtRGyUI43dM0004dy534100WqU0
+2r_am5U89yb000YKj@308200028q0d10000S1040000080C2041Xr_Dm011mW@L0G40uw1gG
+00000001H102H0XaJQh00GD900CWaNC002004100120W04000004Twl4ZWcmq_NLDV2000pY
+dF12000W@K00G80000K2044IxR9G8000100Gw@I0400WG00m@@K1000Alz7Eks00002000O9
+40400H020G00n8400G00K0000W0O0000QtV90W82000O8mIpW000801400200002000qb40A
+Gcyt00K008013000224W68JV3IqcXq@D0G1WmfdN10AWC0S9Unt00Q1004G4000000AHeYU3
+W02002008cU3cdvXRArwGyAGO00000Q0000aff50000W80000E0000W00zJ0008z50100ZWO
+Gs@9000e54W10I90eFkZ2002000cAt500LfX10WnEq20Fu10000j0yfX10WgL1WP0000000Q
+f50000qIB09JF6404WpyP0008800G4W8000H0000000QZ8000G5000001Wt000p0_100C30u
+Ny40040X000OtV3O000mR008vE6AENBym300mCyW70qYM0C3000higB00000H5O00U00000u
+_00uxyN200mP7@R0C10Wbf5gyvM008UdtV5j@QGE_94UKH0200000020W0e6V3wxtWZiV8yy
+A0GH0Kvl1B_p0004WUqV0000OOwRChV8VQPGN@6020G00000GW0a0sF1000Uqr6qOC9nclnP
+Jv45@3@eN10WMzPobeOU304004_T21KnGkDgKgE3zxaGop9y2l15nPGfzCy5@320000dX800
+0Ge2D36dFX0VD02W000G00140801000000104m0200mQwX000HOiU3_Ot000W04004080200
+040000HAu9KjV280010W00000W0HC0010W0e00004022Pr0200000400000xG0209820800G
+08X84400800YW00004002002Y4vC00000002YErVOKT3_drWTrD002Wmd_608148rU3Ilt00
+WG00G0Y0G100W0000WGGg_900WGeWV30S0080800001040800W0000WG_YsWgWD0W8000W7S
+0806A00000818a82vFO3o@s00Y2820WW002108W00WW00W0800600RSRGdY60000002GGc@9
+0110uOwJ2xl20W11HadG_uF0emH0000ohT6y@l10804W180agp9XXF6000Kl000@@R0W0100
+441W10410G00e1000011223000000WG240400080eAV3omDjy@J001psyrCW0G8Ow_4s8ujr
+_t0Wh2Gp@C0n0000W0Ghv6aUc106000002_6DOjuj1000gWRPOq13I@t0KG060W0c00040G2
+00000068000080hctrkdO00O6y_V300083G000F2G24080W003400C0Sn40P61G080448G00
+100DPQm3tQTlj1fzN1O37WyMP0g8A04X0040Q024040GW2000W0e081G0822m0KxrRm0agy@
+@900WI100A1GG10001IK1L0000c_00m4x9qQk1000W008H00002G0WuOR9y0m17N7LBT6W08
+YuAzD0Vy0y@l10m00ALt000W080001A0WW02495W400W44Uh1fhV51i0AD800nvM1000Av00
+0R0jnFx6CyGK79t20WAa@@J8PD3sKMY3SDecWk040qw0200eD0mX@L08P0usV30018000G40
+m1Y00WGY072800092Y0W00I20820u08000e8W102A_gbehN2f00Gn@6u1u1003Re3JoG7kym
+ESvXDyopWP3pKc6UeXz0SBR6cIUOCby3mNne1@@x40m00001u200GoD5300Fzt@R000e0S10
+0u2u210m5Am2AKW5mb09WB0J0N0kGc0SX02O210140W2O2WtsDOVzt0Xa0KcS20001f000G8
+42GG84a4WC890fG2IG902000GW0uOKXYKY7d200j_p0G02eA8D8x@4IqiY7iz04G0mQrOy9E
+31sLHU0Cq6j100oFc7FX6fD89E3G00210080400004000080NSRmxOOa7G2BcR0000eZ3OuW
+zA00G0qAG29V_mAp6avF3WvQ0ES@X3ote6TC6on60G00REx100W00010000m4A10Kvi40C00
+W10080G0OdQ3cJlYJnPOzS3YKHYLpD0050mlv90G008qU30GG4abD3HoRm3w6Cek1VPR0000
+000Io@epm7_6qoc1RvNnN_6aP_67VR000GY4mDW0000000m1sVW0000044W0qCeKU38W0004
+41OIz42Rt02000040090000I204008m1u60010082801100Y800jaR014002808400G020YS
+3U200010W00GW00G00001080W4W800000I010000eMN3Yqt014000WW0spt01001txd00080
+G0002100g3t000800006400GW80GOyT3Mut000G0280W0001000WtK01022000W12RZRml_C
+4vl100Y0Eay10WG020W08002000G002011m00000W4W0000040048eQV32td10C007@B106I
+WLxnOBV6020000eyeyV600W1A0G000164120000G008W00021Sil120000G0GSqT5FPhIuvs
+0EJ183jS_xrC000Oa200A5nftpZ20GeNcyUit0L5t338i0WYan8cGyoUG2U200jON1200041
+00fvFpnzH10mCQ6rSwgumW20DG1516W04SR5W0802atWEuxA8bJuA00CVU5I104a0W000I18
+oHj6x@400N@jiB1800WsoP8e93_cPFWf70X6Z10U00000@_700wm9Ga000JtlHeUrjYePdQd
+0010WYfheTwD_uE1002G5@R0GZ6aM@D8GP3I_t0000O1LhIju6CbD3pTR0G0000100FRPGZv
+9isj19d@W000as_V0002mY3CG800e1T30140qzl100001080qxj1xVZHZ060W0000W0Ozy90
+200Oq@4_7dXWqD0W00ut@9q763TcnG_v90G02Ouy400eYKr_3LZ7o6_60801G00001000021
+0XqbmTu600001401m2w60400SDPIwDt30420J@R0001asoJ0W00Hbx6isgD0140_ft0Y0Y09
+pR000HWevD00X0000042G00xmd000010800VfBn7rCW60089Q60O000012000004G0XqeJeK
+S3MRYXtyDuZU30W0020004002usz60G01810200W2ihl1GW020020CHH2G000Vt@11601lUR
+0W40WnyJ00400080WwJD0Sr304G2000000G015W00I0000A1G0G200W0000101000804G400
+2WO4z900W20G040W01000H001aW008810G400002000YB6CGC004W02402040110G2GWStk1
+8086_@t001a0008Gsec1000J0W1002000020K000G5_9W100020080W000004g702Q_t0840
+01vP01O004004dWd001000042JSd0800Yk_D000080W0208800e08I1m0001WXUOmrz90028
+0004Glz6qE73j6Om7z6aYU2NvZ10WZsbwJ08340G2008G00003000018082G0000204WK0C8
+v_4C000O00040G04100G200W0200xlt0W0O0Tmcm@@6i8d10002sapZWB89n@S000010Y0G4
+10n@@604I03804X841Wc2O8iO3sGd700GyM60000204SX1xjd0000X60CO@VFocm00000IW0
+40Yn004200040qX2CCrUH0063l5NYFhXfRU60e00Cz03RuR0Y00WNvv1K33uV2FCYl4xMx1W
+01XNoJeE_400H0G4000H0G402Ga1l@1000AF11008003McmK@6KlX1n_72081040G00100G4
+0404YWGGG40014W3bDOC33kNq00C30zyp30W1kPsJ0001G48Iagl1pwZ100080GC182W0W08
+282044Iq8YHc2WOLF9hVL09i00WI00401aGG40820GZXO0W00WmmD020W8002WLpyeZV3000
+ArSY4000000I0arlGW7000800W020W10O00800A00e0002Q0m040W00m00G000ij_600W0Ys
+WXhyDOr@7URx700Y_802u040mHG01WW980WJm0KaW0006208Q0nHG0I0W1W0000040WGG446
+mlYFxP008OnAx9G0000900myJ6STeGWNiW0G08f008WGM00GG41G081W0n208WW00a00W811
+080A9W002000W80GMvT5W800_@F1H0045yxqWp600002imX000WGYW8080W000Y00E42000A
+IOu90W000W00JAwO0080000mB00100G040040GXCQ00M9u@V3m000200Cux_Y00SYBp5gILB
+oCcnaPCJLLK5ymj8cPQHLztYCpi51uV0eggmCJ00W@9c@F6uE04rT0CWVY8YxO6Ec9YR10Uc
+20U0Iis0000604080O00q8eGWN5200041W2O2m5m4W9W90J000c00K010e0c08503G000FqF
+60002I0r00306040C0_10yAa1@@R0W10WUYCebVXW700SxlG4NS00mp8Yx0eKb10S8uI000C
+e7R60Y0080W085W4IK940073PyJII0ayYT54208AD@10204002Wwus00040041000G02000w
+dC36Xk2mZ20020WoOpWmjJ8QT3_It002000W008000000W8WT6_1K202WWh12JoWX0200400
+06H10W35suKT6sN@Xa2a0012m1xC001100O0G2M6y@V280G00400XG0000020800G00G05eP
+0010a_mn000StIxC4lW13kRGvx90002uQU3cTtW3U8PJ_4cSt00008040GcitWdvn0001mez
+6yQl40dR0ImFXhHzOf_7W04846d1doR080028W00G00W_KF100018K00AgFXplD0W00maP9y
+l@3LGZ1000Qn000bs9HByUqpk1z_dG_M9CR@3000K000WKJO2HPOmu5CCN@3G0W0QPj200D2
+nqdGWR6ytF67QomCo6i@V2G0G040000G408UV3000WaWl17HOm9_6SvW10040o@@XOE91ub0
+Gnts008G87G3gXC10W00Fy@0008Yfm_97V3Eyt3H000XQbGs@j42d1rud00G0000W00010AP
+dXSJF10IzHQvZ5vL5Rfh2m50WEt3vmlV2wtWGwJ000WGlzCSh03XpZXR00W_tfgoR3Uqt02G
+400G00kTBXVlJ0800Gsta00WLgR0IcAW7Y040DpdmJi6qx63niH2880WQ@t00Y0mWZ6qutC0
+400000X000W00104000I000G02041G20auc1nkb000009000HAuHYB9KZVKtnc00WG108200
+0280W10WG0W00000C100Ge00050001400008CpVF0003dvHN0O0000020e1i4O0W0W000W0G
+02140DWa1044WX980104080W0000m1Yyt3mJ40J@V50m200W00W200W00K0122020G1408G8
+0HY0G0400K9200140GY50eW000004010_rpZ8kAg@pD0G0001HmBnj4400820yGG0009Hm31
+400a41H0UJF400o9pjq50G00W7_2_t@5fggBYPc1Wgg2kmX70_l8coSHu5_Y0u@41m@W300m
+Cpe@z0Cb0mqTa4tuC001000H020006100GYRC4xs30600MTRZScCOYFIQ37xMiP8tR3wyFXg
+lb8FTF0hi0y@MEjidGRvCCrU27Edmo_CCxM23ypmTv64Bb4nZR0000Eg000nrXnW@9KBm3v3
+KnegIyCT2HfRmpS9Cvs3LAdGU0O00OsCNFC00804JU2r2mG_0Ca1b4@TRpbgU0c30OwVX2Yt
+WdwDuCw4wvdXNpnOOw4gbFXjxb0000cw00WuxJe3@A_Ut000G000e0oZzaRzD0042GVu6aMF
+30409MuKYvThuF_400GhEUS200010010Stl1NUAHj@6iYS5P9p0000XDLC0002WW00WC@JOH
+@400A0aRF3XtRG@x9y@Q5WF00YnF18W00tHRmAyI4wl1puYHdiaK7L2r1unzkI000Wst00GT
+jUazmCbluz02@70n@@NyV@7@lVo@NUbPojLiW46Z_Z1WJ1WTR_Ftd@lDw@Qd_VsAulZ4600z
+av7VLkngiyV8WRQ023daXPo9fWYC100iAGKFLIo5@g00edAwj@Vkx@c@_Vvm@JUy@ZB@lup@
+7Ez@WN@@l_k00044sE6r0yGK0Oi3l1dgBAe55WEd3vux7YY@D000iE700kM6cDxV30WLqmNN
+roVlxWNHotIaDl10000100Gdil1Jpjn2TRqmV8BoL400lznl39CkeEluaFvD08000G_6W5wI
+hQy@dM@@ev@@P@@@@@@@@@FCyqcUDa4nt8Cy@@@@@AuSA6E3wXB100040001G1G8K6730010
+00040840u@VC0oO0iO1600103jYXhpCOyC6kplbSbzOpP6kTsWVdJ0000c100WCgh0108G9r
+Oy3j1BHp0W00apeDevPIoFkYVet000owQsBjAT8HSRmR2ICEz320002gZ10S60zPN18GW08G
+00hwb0000a@4IOzX4knE100100C00cosWVhBwSR6000GOJ008NFB77F100mUV4UO8wIqHO2S
+LK0_@@J0007e100YKaXht_VFTW3Z@XPMO0000ei10WUu@@@VR6kv1mY80BxJu1hR0000Hq00
+G85J2400Oyp400Cj_@FX@n_Gvj6S7Q20fH0gxek@@L10005oW0WYKtOjNFcHgb8Jp100H180
+0WeU9PMuAs6kYmta8gr7W0204379FnQ02000Gf80@@d6030W@@911G2mNmFuD008G0CI5mWQ
+1O850AY2eYdUbOChJ0000HG20u@@7W402a2c4HXzGRO6a205H18n@@LGYGG424Y4000000H4
+@@x100WG83400G08Y281000a12KHg06000G42G4100G2X0H48499I1WYe0a0I00GsCE10005
+Z00G4EG_1k1fDd000bgQCeg7Z4w0mXKDE100W4e000001WnWR000bb@@T2004820X8W48000
+4Y82100W09W840Y010W@@310YGGK0FGEu8v@V30u_0y@lJ00IY0GGY8100001980000GIaW0
+Ga4a31007Gu40000Su0000GE8W3002dva2m3H1m002Y30000Wa8E008S200WW0vGGW06000u
+u@@4I5WaK0e8L03gb_@Pj@FMy@VL@@Mv@VL@@@@@@@@@@@@@@@@@@@@@@@@xt@@@@@VOw@3s
+_@Vn@lNz@tb@@Sz@@@@@tt@@iN@@ws@h_z@fZ@Fwv@Vk_@cl@Vvy@JU@@Zx@lu@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
@@ -3639,24 +2523,1591 @@ w@@@n@VV_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@7C0010@@B4000OS300@@h5G00W@@520Gpr@@v30208A0K_@730200@@R000EZGA45qO3
+0000000G01IWHg4F45W1HAW400GW@@31000dW10WS6I8YZAovWX47K9D3XI191007W@@@@@@
+@@@@@@@@@@@m0024vh7I_enWNV210Y0GM0g450AJsOmuBa45090021I58g@@@lyebUFIe@@P
+00mpxbI5z@V200Wp120W1400W0Wo2n3132008qSvI02UW0C341H2f70fGBYYYW4e41Tu9045
+m900000O0ddAWJ000G000W00Y40u@9000G000Y0Z0O0001008GgUG00yd001K100e70GK0d0
+000K000Ig0E10oY10G2m4P6y@V5l0O000WCn000H1i1000e@@D00mOTM0daAWA@@@@@@@@@@
+@@@@@@@@@@VQG000_tB1004ll5om@@@isqy00WF0C30000Wb200lYO2W@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@xl_@zl@F@y@lV@@wx@V_@@@@@@@@@@@@@7g@@W_@Fiy@@Q@@
+kw@Vh@@@@@@@@@@@@@@@@@Zt@lu_@7_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@70W0G30642
+03T0am@@j000W4300m@@K1G00e103218XE0O8f02@@@@@@H20G0m@@B10e__@Vx1000r9WJ_
+400_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VmQlDi81000k500W1em0040G306y1bJDoXn@
+@O00Gow1hzsmAXouy0qK0m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NNBIQ000_@N20W
+00T0um@@@@@@@t9d600067300jZ@90WLhxaviTS30Od0y@@yp1Qm@@60G20O406_@t02000Z
+04HNxCy@@3000OKA00Cyk7HsB4008W60C8t_40G0WS2W1h0a0G00ae0I001000200W0G0r1O
+000y@i0OeJ03om@11000b08H60Cy@@64020MPaXK0I8pU60W00000W0002G23CW000iaX40@
+m0ydW70002wnVZw6seQ@4oTuXm7CeyX4kXWXQ_De3260W00000WIs00GJ4Ci513pzZHx0LSr
+@@jh@@wy@dk@@@@@@@@@@@@@@@@@@@@@@VinNv10G0WPkIOeB6_@7300sr@@RV2MU00028UD
+3wuAXqiy0000dB10W@@TwoX4wMp00002G000Qky10080PhdGww6010Gu@IC00uiKg0O0008G
+W08Knv35xRmZTCqbl1fbn01G0W@@D0GL2010G200W04000clpWC0Ce903wmpWB0C87l70002
+Cdc13fn004000002tWc0008010000844kLWX3HV8IX4402000000210Gi69Syl1000WS8010
+0K00014G0U9ipG20010knpW9EI8cK60G08KWN2jbcGLfIaPg18002_@d10030pJ_Gr@R00uz
+BP@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@F180000Wml@jhk9FXHjDufy4gssWmjJOrSI0
+zd00080ygR9U@U90200fFpGhw6SSb7ZXd00010000WE10002W0yoE6hRVIUu07_M2dSRGp3F
+CbT5vP@08F0WYmb0W00W000WUogurC6W000004Gebk72TpWdsIuiE3800100000600mYT9yt
+s3@@R008GWTqPO@S3000GY410G008GOS9G0040008n4SC00G40000G306CdE340008Y00Cgk
+400112JC140W0fcd000W480000001cptWYpJOrD3UaBX3qJu8k400C5cxM208000G01q0W10
+8W0Uvp01000W8000000q0W1FDc0000100G42000cgZXq5s0300mc@v06p0eSU6UIt0m000Z4
+Uo2X6y7_@zf@@Uy@dd@@@@@@@@@@@@@@@@@@@@@@@Cbz_mVtU0410uuNII0Fa@@J00108004
+WoeJu4S3400Gy8iA@@dmKR6000WMg00mV0pSYd7l6B1002e@1gulRFgcs000f3X18H2upyLz
+3rRdmCp6S8tF0hC0MAuaT1Rf3y4_Y@Xh32Pww423d1@300@@B1084WX3zO2x4_@l204I0zqR
+Ght60400021WGeQCa2e100W00W004UD3bsdGmS6Kuk100e6hStWmmDeAS3wTtWOnD88E6g1W
+XAzDG004mL0943F3eG080002001GuhD30004O028W000GFT9iQk10W00YMNYX_JG040GNx60
+2P0G000m_u6800GemT3000Qyvl1djd0020WiqD001000002800000060003i_l1rlp0400W5
+EQfPH60004C8d4000Gh8Kenn@FSz@@c@@kz@@@@@@@@@@@@@@@@@@@@Vhbufu4ItsZngF100
+0j600WBwSAAC6EtkYsdJ00W00001XekDG400GT0F4EU200KR_aRcyyKvkS9oGd1G0000C000
+0W000049pS9I6F10jB0Pj3p2vUCUWJncR0Y00W@@TI102WG022000Wm201ovs000G1Rmp0G0
+5G00200O00_OF1W000lV@m0UC00GJL100m8zF000AuyD6UWBXRugONmA000W800300WY0020
+0008W0400XG000G0W00G00010WL0COX23YkpW5kDuPS3YlBXXyJ0Cz300G08I000@@RGOu6G
+000OD06w7tWNvIeZy4GW0146_3W0000mW00WG02W0I0G6604I004000G4G0P0G8W00140020
+000G008G800WahW1HAamoT64tl100406Fd1000CGS00G0W1axV220040001O004Ox@46@tWo
+lPexT300088060evT9_@t00004Z9Anr_6008W00002G0000G20vUOG93LaCU200Mkw@FXAvP
+OXS6ExFXEtPun@A2yF1H4H082004028000a0G00008G0G2G0OCH00000jkH5p_x1011WF@T2
+02G00PW24G0G4W000020Lgl180I000DW0400004Gm@@m0002Ju00mkeK1I400W8008m04000
+4iC30EjKbN@b00GhSkxH1I0W00010000G000G0010800002000003GCE6azmCW6I06et90G0
+600u04OW3G0Wb048420GO2118W88000S0He21100e0rs_m0000cT00GJ_E10W0Y24J4I0608
+WG8a1G2H3G2O4LG0Cn00Y52800004G10Mv7600aVH_J530G90000000b_@aXK0Cu@@P0eF0y
+@FLTkQGgh60W1000G00020WENj1000LEJ5z@F3i000wcD10WWD02000006ii9F00uQ@@t900
+08m800_@F10006000000GG8000000am@@s0Od1u@Vd005w002O51W0008080020eG7a00h4W
+2P08503YIP6000Wm200_@t9n50mcF0L1FSu2NAhqhGSd00FJ10Qi20nT50el2M000cX3muAp
+y@lJ00W3000O000m0u0W10303WV000C00I18XujCu@VO0n204fGKm6x00lgm1Rif0zA3hO3W
+AX7WokB0WDV0AGV80000_vSDp06008Hy6d1N200W2@@7rhs9C9T20022_FZavmIu@@70_LGy
+@lJnlzm@@60e00u@@G000mq0W1n081101W@@bRwgM00mVy@VK0004W400800Gub_4_ft01WK
+0RddmduCKok10410oGtWHqDOSS605u0KSF3p2OG@y9Cek120002xo00W80VMp04G0mYrJ028
+0mQz6aiU20002AWdXGsD000GmAz6KnE3rlNnqP643d1Q100sZF1W021004WMu@1W0000140Y
+RaXDvz0200mVv68W00ex@440008W0GI00000G0Yu@b00G0GgtCCql1W000o@t000EERXRGgz
+602420402GHw6y@l120280G0G02000C00mBz90200G000mAU6i_k108W0QOd101005sRmCxF
+K803T@@mq@FC@F30iU0oB9dzoR9My@VL@@Mv@VL@@@@@@@@nOWsM68U3Wt00z6TuwdOaKGN@
+@@@3N2zuJBTNRG4IFyXz3E000_@@@@@B2e20mKtI4hB6DigI@JCa8U20020w08XY0a8F0C00
+0GGJ00u6T36IdXK0eOXxMwMFXa2O00W0GYU94oE3@h@GD0F000W5M00Gbo6CrU2P2mmfvXqS
+rmfFpGbzFK8F30004YzkY@@D0m11m@@pCAm6FmzGvhaisk70008b400iyk77kVIb_L4VY7@@
+RW000m85y00msHGzXavl4t3GIozXaueAWlA0oTx@Rx_lsl@dDy@O7@@ro@Rzy@LJ@Frr@Fjz
+@IV@Vqu@3T6R00QOjupmRrM2sG0eBFIIt_@pn@lSz@7d@@mz@@@@@@@@@@@@@@@@3a@@zgCu
+KxDYNdXi021G20Gg0aas@@Fs@VZ@@FWz@1W@@@v@t@_@xt@V_@@@@@@@@@@@@a4rg7I000o2
+uakNP8@r@@@@@@@X1010mMmC8000u@@40040y@F300ob02G1a6G2000G0400000495030G04
+00000048000000m00H2OGLo6aDd1v2yGn090G000000G306y@@30002w0mX3wg00W0m@@900
+mvVvE6ElZX@@D8B06g103000Gr3OG0160400O213_GuX@@p1q41mo3ozfG28000w08XA@O04
+00u@@90000cj000200080007CaG70900088iX400020010eRQ62TmWv6C0400mO4CiMXJ00K
+r@@@@@@B200uBx10WXI_40Omz@@@@@@@Ts@@c@@@@@@JIXw@@U00Oe99e4UcA1W00000G0Q0
+mWacOOYA32WoWFpMAf0L000Xaq630040Q0m000000H00YRpWDiOeSA6_@lB000W2004IaJ28
+W00lonGiS643NH0008@@t040200000G0a90000000cGyMCKwW1@Xb000hWg7O8yX4I1WYe0G
+v@VFk2p000aW2I0000G2ULW100aW80000800e103Em_10T00n0yGM0L48G5H1Kn@@X080000
+G412HU2XW8284PW80Y80Y80W0J428YWG89H8G412X4000H4WG400092100000000OTW4X430
+3a848o2GY01g8A0CICdP2000000W80G0aHU2@@Z1840W@@vi4530F00y@Vc0G420IW0008mv
+@Vd000vW00m18S200007S0000872m10WWpS8850AY2844880491000a9dAmI8W3002d0CR@@
+n_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@le@@@@@@ju@Nh_@po@Vi_@VD@@Lx@@@@
+@@@@@@@@@@@@@@@@H7@@pp@tSz@BV@Vov@Vy_@5t@@m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FU2000Q0mWw0i9I06000C4G0L95Gw@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@_x@dV@@t@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@JE202W@@D0C00m@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@V80G000000000OH200y@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vx_@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@Vn@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@p3000X@@P0000bv10W@@b0000Hn08jG09@@x10WaY@@ZY
+000m@@U0008u7WF_@d1000ec300_@@J006L@@N1001W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@Zt@lu_@7_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V000010W4O203_@t3000v@@@
+@@@@@@@@@@@@@@@@@@@@@R608100000W0S0_@@@@@p1G000000G00G80000000yy@@a0000p
+6Y10m90@@R908500800000G000WIR00u@VB5000G000002000WL@62sxq13_@F40uE0@@Z70
+W00W000@@J2710W@@x2008n@@L000G0000n@@O0A00u@@4004My@@@@@@@@@@@@@@@@@@@@@
+@@@@@@JB00Tw8YOu@@@@@V600WC4z10000G0Q00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@tz@@@@@@@@@@@@@@@@@@@@RtVu@V9QjsWS0O850A_@N2Gv90natb000WK0eOs@0B
+JmW85m0908Gk2F4606Zxd0B10W@@r210GmX@2108G8Cy@@I@@ku@VB@@@@@@@@@@@@@@@@@@
+@@@@@@pZwaUe21uh2m@@L0G00eH0L_@d700W0@@x10006R100TV5IZ0XqP66hzN40W6_UuIx
+ZXS0He0C2tL00W040W10000003000800G000JLymsP6W0008zCF0000xN0088knW000a@GE0
+0u1ZmuA40008Y00G000000Ku5m7gym00W00G0000G004kN80e30_@NhW7IG000n9W600W0u0
+mPS7004fuL00W0W004000mOp43G0W008400092008008010XBc0008WBLCei26_@d104_Pbk
+m6808WPIc14s1G9bK90010G80mM6C09020202q@@90W0100010008W60C8bIFYACA0100000
+208000108G00m000880G000024e07G8O41GWK008800G040A080wMq000010W02spS300Oab
+KU5001WHTI00H8a0W1004000100gJn00004XK_m_ECy@@30b50_@t90850DyO000G421Y040
+00e400G0O100W00040000040G01000GyqJ24000004DI01G00A1G3dOWG008spe000G10G00
+00W110140001000G800004082800004b8W0210GK0AJrWK0CWe00IK06y@V500OZUCAAG030
+mma000808a52000001889G8000104oUm084000000GG00G3881W00804040200juOW004W@@
+h08F0m@@H90000W21Y000089442001044G200400008XW80000Y42Y00000X00H011G00040
+Y402005Y0GGacI16000ODA00y@lJeE000AeE0W800W0020YW810080m1Y4000207085W4018
+4a2m38A40000Ey@V500wI@@t900mCY_F04TcPOc@0m@00WPc9QpCJq_RceTu1HJLLc9506KL
+q@1WepK6Lz70Uu10ym3Y6Uu1zV0y@V5WPV0_@t900A0C@008w0wGa1q1e383G1G7W6We0DGH
+DGWYQ0T4r0w0g1q1K1A3e0G4G1W8300H6WCYC0P0T0o0A041008200azG56000_@FA00uVm3
+002BB1200040G0804008080020mzOm008q_@@v7QZascJepDI000mY700eH0XwOBdEt810WV
+ywTUa27CBobp@@R0ez0u_wq23tWKxsOFC3INJY7iz8vQUk2dXjzCuSF3Yk2600OMTYSI1W5T
+5k1tQxnysI0_C189Hp8400K6l1x9cGZYIKoU5000G1600KIVE@iNKuZX008Kv@Vygjt04100
+Ftnm@@L0Y608vU@sktWXFt0000ZH00WN_nRok76s33003o1sZ7W000Y000820080000400vw
+@D0W_0iiVTtec0000eV8D8zq7oGb1l100@@@VPI51aQ0u@@zEoXX8Ntm200m@@u10048UN30
+88000000W20m@@L000Uu@@@@@F40cQA0000CrKJ0000GDr0e910WqUhOwvJAnHhMIDe37F_@
+@@_j3fF1I000WH700OewYAxcawhDesRO00Ot@HNH1XdpLPa0Y91OCzG23@aSo3fGy428l500
+04y400QkkB0400000Gs3@Xhrbu9WA_@t000PpLjjHyt6Se_IVuuHLx60003u@@709O0qYl7J
+sRGV9vSeV2ZeTob2I0000b@00GryR800GvY_SoXFXcubuLV6Ist00e00000W_stWrzV00WEv
+@@C804086kk0G40yr@900WWEIs000000R70_@t00880T@B10G4W30jv@VF000O_tz9000000
+0Xn500uHFFUmdaJsw20mIz@@FC_A6hiZqD39iOQ80040Uds0Wf90NDwH8HKzUO808G00G400
+W1000H00000E5200@@R0Y000000G000YAdc141000202EhXah_91004pR66ad1900W80001s
+0i1zLeoeuEz@V8W200stt0mHC0JsomxlICpQKfCe2000A0400000mGE00KpF30100Awd1410
+00040kZCXG_@P4630W080008OYpGcrF100T9@@p001GWUabupV3sz4900180400gzFapzP00
+g1m@@9000CW600GuzF000WG000GlmB1000H000GoE6K@F6NVQ0G0800WG80000K000y@F382
+00A9M210000W10sut9L100z_VI_09000UH10000kJa4vVOn03Sd80w500eZ@Y0W10000Y200
+0Mk@a89000X000Ol2W@@D00Y8moCL00100008o@CBH00000rN50r7WT@zOu13C50H00000p0
+0Gn_HbYbJ00EtJMxd6rPu1y4AHpWjeb000WmxwICMq6RTR0Ww7WpWJ020GGgsCiuUBXlNner
+I0010eyuJAId1c300LVppBv6iJk1XoP0200WkGUen@V00Cr@EC3von0400WVoj95R3_VtWS7
+I8Mc4YXFX6SD8HS3020000148xJ3022410G004800000fufD0OT32000800GGLjRGdN94tY1
+2800oct0G4003VV2080040000004kBdXvBD8K03W4000028G004000W08000G8W00W01qPk1
+0002e0000000K00200WW014G18200000WQS204040400I00G8000200008020GiAV38000yd
+j10400QjqZGnPeGV3IVvX9kD0008000WYazD0040002080020Hhb0040004W80040028W040
+100080M60mEfCG8W00G04082000202MAq00G400W1000200000CsV3YTtWa@F90T3wEq0040
+070C0020WotIu_V34W00KJb10W00G001W8008x130G000W080020002041000001G00000wV
+00004000081002000W000O4z13HnP0002WO_U8KyGcQ@122K40C02I@N2W00GVsd00008040
+W4320mGcHa3@3E4G002000i80e@T300002H4W0Y00mxOC0W0WW100Gm@gG0G00Y00m2yF0G0
+0APUC0W804ml1WG01_@t014Z0f5Q0010004xA00210000080010W08000004Y840000100G0
+00200000Y0HY820DsbGk_aW840uqV30240SNF300100004awF3e000Q@FXEzC000400W0082
+00802Ys@F1W1A420X108080034100206G4ulnPG4H0GzQp0200uIUL_xt00WW0Fyp00G4040
+00x_P00002n0000144W00u0011G021mh5946ME0020014492H0OlB60G40W1808Eq7000W00
+C000G00024084002000WOGS1X1AG4p0W8WG082G4@@R000ALI5KK02XW80K4f818n0A8Y08I
+450b8400H4004100000Y4nOX6qWu9000440H1Kdu30G401WG021Y24004uM@C0300O@V3000
+G000W06004000G00g80001KKW4000000GW800000KB00WW21458RW8WG4G0A000OH418A00W
+oU8100G4Y004XX00aQSBz_@0e08XvC3100K00W2090020000090043d10800000Wh7f00090
+808IW@@J00G201W0W_tS2900mUhd4Cg400sz0269q@c1@@d0G10a7Av1W10W00002000fro0
+000YqCh8k_4000011000Km00C30W_OCuzM301000WW00W0400080010000X0JFm00m000800
+wbs00G80tBOmU_gCrd13pLnsUa02048_@4We800000cfG4Y802K00Y0NzOGUh908W0u@VR0G
+90020G002000GWWqjJe6PI00200G80e8U60G0001G000W1D21000102NgQ00000W800G00Y8
+11044B3W006wUN50_@F0000K400a3_3_T70000JaKi70010006O02000y0000000G1500000
+0eYL0mFF0040W@T@F@V30O700H000iqk12000cKq604000T08000w4FB3800040H0q2_3TxQ
+Gk_601000W000WA00060L000C0G00000o20008000CF1000C000O0c1m0K101W10003000W0
+00GC00080P040G0m1P6KvQBPqR0068W@@D000K10@F10000_700_@@1eW200005em10000_t
+D0_eF0yN70uX6u700m37mC0000DtC0T7000EW_004z7WwIblStVG15yO7002lg1zbmit6020
+08NX4_zlbArLfGy48010a_l40NP02O_dUnheSR6w7tWApD8HC6Qrc100G2P@Z1000oqrIud0
+aAurZmqb0010mAI6y@F600GeJGbdFrCeSyAApoWGsnehQ9I9V3mJE0@@xqzK68W008QU6Q_t
+010004102000Y8064G02800W000401G80G4022000100801400203GH8004W00W02000G200
+50000004iB0H02G0020200010a10WtrD0010G2v68000fqU92kd11041ZlpGIL6G0100200W
+000000G1bZd000804G00lkR0080100002C0000000122000IW02C2H010Ak008100A003450
+0000Y0KG400W2000W13OI828i0020084100800WG00100W0004800000200G0W02w_s04W02
+73Oma36K8l1d_d0240WXnP001mGny6002Ou@V30X000W12uX@40m00000W9XU30I00Ge0401
+0G001O8123062800q8004800018mMv60_HmmeW0C900010860060QLo000G0G040opsWmmD0
+6040280X@@D008WmJ_90W10OE@7W0001W1GudV6wmd1210100WX4m0300140020002W01C02
+880000W0XaW0H0G8002104GG00090000028aGm0044000CK120Y0W0012000G0G0800020Wi
+XCG000mPw6S8U2T__mew600G8OtF3_@F1800Y@@R00G4aNzDG800mpW60W01000G60100000
+80B20010000K00G000002010000820080000800A00mp@9yUb1GCW41980CGj18000090000
+4004W000G6YWyIu0Z4QYd10W0HGC0001G0C7F30008000020YW88mA0030MGl1W4104G0308
+00080G00W8400000208NaF108002C004C21GG000200W0p1GX00000414220y5d100W08Y00
+006000896H0WaJuUu6P600082Ga022410000GG0000G400WW000W000W0m3O6y4@30028080
+0012048010020001W40440010Im820000004G0b51C0W000C80Ws@DW91WmYq6CPj10088Ys
+t0G04804000844qCl18000004GCEE6400G0W100W00Oc23oftWQ@D8HN3Q_t08000DzpGy_6
+004IumG3002e0G00X00802G0800m84908G008W040Y0000200000bzG080101I0018400W08
+0m40413000G0W9WqpG29_BnyvC4rd1Led000W20G4W000O0000W8A0000emV_6qEk10L1000
+G0H80008W4201031F040G8A408K018m082m561041G000H8HGG40354c0KoGWO2O8I000WFc
+PG1nmWWW0Gn060080B085G412Y0GC08APW0n20a8080a0005spmcR60W80G00002GiA0W2Ce
+202G0088AL000H0002000W200G40G410yal1XVR002C0002600340IW0e2C00GH814We04A4
+10Q4U4O0KWn0WH0000C004CI000X884H4WW45e0fWKW02W0438A0008X90X016015O1W18EG
+H00820m0D0WrW045G0040aZ0008HWm8W802Y8UwV500W8G001Wg8Y8X@40100CP6300Af0G2
+9010085T3gvo0285000000WK182H07000L6z60AG0000201220YO000G00G20045W1e80000
+KRIW0WK44200aAG02G00GAeCG0G1Y4401040308WaqD0KG1mxC6aES58HX00WKG200200G10
+0W4WZsV00W00Y00WusJG00200004WG000G0002eWTw@3G00G000G100828988000AW0Y2000
+_W0000sm0100060W20W03100B0020001001KWWG5060C00W2000000h6qDudS903H2UBW184
+B0EBd1W000W2S000WWm9000H48IW@CW800002020030000W82011100eWW80000000310000
+01140202002000HK4G00000GG000mXE0O30010u002e8208G114000009YH0W06000000GC0
+1000020088010X0u@V30004_@V20G024I000A00W0WAG4s6W000040H000DWJvJ0900m7_90
+005000040G102W0GA06Wf01a2H2040e08402WPIJ080400482010g02WeG000008000msXW0
+028Gg000W804081800080W0G000W21000W18500000W0010202WW9Nh02000W092G0243odG
+1Z600000044200000Gl00O0sD200CDV2000WGC40000G0X00GU@9qfk1G006Igt0000K0200
+00X0090002000GCb810028m50Ygt000P4W000n2H04NF3W50000WXy@@30G20G00a900001l
+0mCzC84Y0WeC1e7HmT00mTk1002@d100mP7qR00@cP0AuL0Sm@00KGvE000tC3WTZ000K50m
+xA09A00w@7kpdEylR0eQL1uH00mK000mQpP0qXP087Tu1inMtYe1YuE0xA20RK40_130800q
+D00uF0_@V30m0WsH40vE2@000UEnb4000WG000K0YOk3m004m50089000n0Svk1G0C30001m
+E00WA0000100WP000C0p0O0H1W0eAxD3000WI000b0g0e0K102O600G5000e000u73008A10
+GK2e2HAOC080L00Wn000Y2004L000O0KX82W104W800GG00Gp_9yDN20000000YI00CZ1g00
+06304000H1aGxz6yyT20e00z@F00006WX00000uVJ08KW20yS5WxQ50trAV984_v60yhg0yl
+x0u@410H410u10Y_F1m3mV_v30fhg00H000S3U30NL50k@0_V7Hyu37ex80q7F0eT70G1A00
+20W2eQ6Lf23go30_360yZfuPuYV3000w30sO3WEw0e000FF020000S7H0cxj4xyJ2G00XV5n
+0000Ci10WeQVOwu4oTsWoTIuXxMU0d10002zHQG3_64Yl1dYRmiu6au@3Ze@00WB304G0G80
+0a020ifl1G800gZY100G00H00gEFXKiJ0080040000W01TJRmStjSpk4FWx18o301WW0G002
+o@dXBqP0080uFwLG004OBS6ADt32400JPNnnx9KTE3po@0000Cn10000000G80aFl10G04sM
+EXXtD88D3wItWxYbG004m5wI0K0Wu@V30340s7k1G0W0400GqQl14GX000002W04W02140a0
+G4204JjP0145WaoD0WG00104YRSC0021000tR000W00200e0001008Lx40G00SmT2W0000G0
+G00020005mXu68002OLQ3020G8002vMP3Imn000GG80W00001s6X10000GGe0Sxe1zxRmOm6
+0008G0G8OBv60W820We4e0008004GOX0W4K0Ge00800KG502W10m4002000_u0H028a0490I
+008YCG80002eCG02WW82o40020W000800Y00gcp01000VbR0002GW000040200100001OzV6
+0010200G0043001000GW000O0180H0G008vA3gDF10000W0040WGWW00W04020Y100G0404G
+2f0O0O011501068000G82228080400o0000b_0000G2080850008G040004g200100nWP00G
+0W80003000080022W001W0000220104G000000K0G0Gy@9i_l1HAO0W0202200BOn000Ge1@
+D0300nLu6G01O1000W04G4880G0G01G000G0K8W420000000I0000G00a00G1002Y00060WG
+000000q301212W8W0280W8G80400W00001004CxV2LXdmTI64Kl1G100kRm0010mHpPGz@9i
+BV2000WQ4F1000W0W40_@t080409kpmwtF020G0CH41W1WP10C00002810W00000uE1W00W0
+8G4000000009ctW100m00WW000G0000404X00000000e80043Kha11JJoE_60H00uXT36RFX
+AkJ8y13658XTtD0800a40004H42o4W0000m8IAX00020008OdW203xRGtw9W08001400000G
+082281000m080W00000HW00W2000HO400sgFXj@DO0U3EwwXuwP8nN3400OCt23vhQ000000
+1W80000005C00G0G40002C000W0000C0O6040020G0c10009100e0vwPWW00Yt_P000Wmy@6
+08028PD30GG0o400uUP6k2n08080f@QGKyI00GG00801001G0081DzR044002000JudGl_60
+0O0G0W1W04W8C018fobG7D90Os901830m0068201003080010G41u0d4G0000W8W0001040W
+0000G004GAIDaf2D000040m0WolJWGX2W010WQbDOWR38000G020uBB38200880KaC00e001
+7060HGWcYW84IG1YG518KZ80Y8000iaWm1WOm010CY04810Y8A0W0000008Go0H040G0GHm0
+000486300WAY8eY4G02G2CKX82000W040Xld00800W8W8000YAqF1H008ljd00003C2G481W
+01004P408200W80Y000W82082G00001400Y8082c100000500e00WGYYH2OXK1AZ00K4iW2K
+YGWGHC0YWZ8000WhX00Y448uC42W08O4H0300W01geGG0001W040G2000L8214118420240W
+W0mGbG0W0H8E5F3X8Omm_FW00000002f00W28CO7H3000MixF30W2005mW008111G110C30W
+85K0400_WmWsPDumF3000604000ua1m@@6CAd1HyR0000qnlV00C00e0C20AG00GA0UlsZI@
+D0020I3060W00010100I0WZIOu@V30GK0WY0040X1000G0W00482AA0400bE_3v4RW40004I
+em000G00K000e01801Wu01gayb0G00WW0Z00W5K010042H0Kk@640610G00KDZ1brRm8mL00
+20uIR3WA10000500fW0WWWaEWh000c580G230G0v@R080G000090091c@t0G4I0jOd008m32
+0802280Yo@XJYb8zuD_bqWGYVGG0004a8H0990vBzm7@90AUXX8G420G000610W800owtWzQ
+DeuT6W000800X0210nwoO42U20Wi2000005048l930G10aJf1blB10004W720DxR010j50K0
+GQ10Q00GyjvV20m6000R000004L0WmBf6W0Wa00000Y2000WW3Hod00W00000200A0G00mA2
+000Wj0Gs_X000mBa@A0ugg0000G540mudC0000ixS3_kt000e300m14G7Z0W6200T000d200
+c2000uW100c100W20C300_R6f0000uBe0Og0Sml10e@_y70uHT00KLb2e3u70HS7WU15O000
+re600u@V3u0W_pF0000A0G3rC00G400WT08000H1G09MQmpG60m008cK3o1t0000nA00YH06
+0A040m1K100q100OE0nWR0C0C0O0G0nWd0Ws4WmmD06YEWn0G0O000K1008A000G00001W00
+30Y4nJ000Ga20mC6G500OC0_RdXTfCOdU60000Q7H0000yFYs1000GC20mV1000000tK6000
+0Q80g0000OX00ug0y@l10u@t0000u@A0CeV3WO00Q01w8IX400WQ00000uG0GRE6mz@cm@Ln
+E00KLm30UhhR0088En100_3uv10GL1mhX1Wv700rN0@000cQA0MTsfa_V8x_4YKt000_eztR
+m2vCS2M5tz@Gq@60200uLe4EvVZEmt8VV6IKFXh@D018000G0800000aF06KB10020xcd011
+0WEuVu5w42dxXAvCW00GGWyR0W20ODTRG0400000K900G3wCSEl1010G_zsZanP0110ml8LK
+TkARjp0000mBlC001GGos600WpCdT3m0000000UKU6QmzXZkDOI_70400CEV22000E3qWU@D
+0400GgN649U2VNPGkN9qRl1W0W00W00G48000021100G1004W01000W0CYk1WW2010000kW0
+4IG008We0G00020K0000H1K0004001420WtLD08000040qHwD00G0000420004004W004101
+GW00A0001a0022GG008000000804G000W00ex2O0200GjW6api1@UPGRt600800W8GmGx6a3
+i108040q0KSuj1GW02G000000WYe1025Gg00041825a10840408030GWI401W82X01K010A4
+8KY80G0G00800490H048I00W410094J0G001C044e40W00088000004G0m0Y8WG4G0120080
+140iQe100G0I6FXKsDG00008002880000G004000001Y01800422000C0K0008000G0GG0e0
+80G00000108Y0110000mz24WC10O400WH0400W1H00AmOK00OC80A82G00404A08HG03X080
+m80GUY600800K100G1WA0W0m0Y1a8503018014G020G1G0A0WG84C48A0yXz30W002UrWxnD
+Ohs7oX8XFqD02080AWW00002804000084pl1Wk72480K008O40G01M10WPyD000410001X00
+AdvPm@s6G08206m0X0040G000290G6st0X00000G1Y3sWqwJ0Y00GXpCCyF65U910W0W3YDe
+dV308G0000820002Ho84GW0080000W80S1U2W00W01002040uFD6g2t000K40GW8k@F18204
+3jRG@u6i@l1FWKnycF48v3tfdGFr6004H0C00m5r60WeTkjw400J0W800G200000810G0G20
+1H0400W8Y0u@V3004110A0OFS3W00Y0H00400W01O0WD_D0GK0000A80GG0zFomgpIaJQ8Tw
+c00020000Af@R0ul4000000Ga8a90000100082Gqs60400fQv74140020002040002aioP00
+410o4000G0YVCRm5664q56tV6I0X6G0808tE3cKm0k000Y020cHtWD@De@T3O001aRj40018
+I3@182002010W800000G00X0Ivy600100041GOWFyY_3@ydmKwF82HY0016mD_6G24W20800
+0WB6200401Gc4Z80W806uR138500001H21K10X00Wi7I00r0C4K5000O4G40802Y0inj1G00
+LX0e40X8O0GL500840240804G000H0KcX4JmAHsYCSrJ21zR00W04861m240WMOs0WE5Y2H4
+6X0048200mCG8208Y100000W30Wa409We0uSG6001G00020900W80O004H4X2P0510084100
+120000a84I06D4G0L00WvUm8BR6000G1GGW04000100G80904000kut0G20O081e0000200W
+Y000HyH6e7e0G204W000041W8000e850421400KW088120085000G8@9F1WW8008000L000H
+0000G1GbG600G2G20808000100K00G27TnWVVge5T940G000001048Gwv60200O3z4001000
+0011010000000LY000000a8Svg1000G0G81020000P000W2AW000o000cnF15008G0000205
+43U20100800000G0400W5e000G000W801Q82ZSWDecw4G0105r73bzRGJf64Hl10W00G0300
+1000Ci90010001a001241Y80047W286o0nEa400058H00VUd10G0A0W0H8001W040000G420
+W04000G1OGW001000004E00000YZKCuezAgRQZjsJ8H_4084001042010W0002000ug3GI00
+90010O0200GR7900YY00088040W4RDO@u400W00080Y080mJz600K11001005204W200A060
+812SNN5@rR0a01WEFO00GM0001KC0W0BpRGWS604000004J0_600W8Z4000W21000QVW4006
+gr0W010000w90010yHWL000G2SF00O10020ORu90J0000020YA102WW3lwR0000408G00Aa3
+MvAacf9vXT30000wH000WIH004000W2AtHd0G000841WMD00fw1V000cHL00m@@9000ta000
+00UvXs@D000C30FK50000g28WsAt0300W3eomNrFW0000091mM@900Y900YC04000G0m0BmR
+0H00002008Y040w011W2q100W100W9000as000GK100m0eYv7W10A030006000YJWh20G410
+We2G4H48A000H00G0T6GK10We3e203Gdy0I8FXVG0Wx820030x6106e000000y0000u8PA0V
+1A0800c2WCyJ000410t920gf6s500IWB1003WU150Dw8@u5KwM40Cl10aWNFI008y840020y
+mBjOiHbSy0QD0ueH92bu46002@EMnmu6y2969URGG_CCqV2rjPmc@F000Waw00mySLaO_336
+RGe@9W2040000028GWixU0000n@vLCLE3vWlnSwL00ePTwS9gpoWfO8fpSFkEV340009udGg
+xC4rN5WaJ0UszXv@h8gH3g3c18000t5PGEGF0G80eJt40002KFl1hqR0001WnROW0G0000W8
+00G0Dod02W0000HK000001040480000100W00000Wa3200G448080000100W08G0H000000A
+2H020G0HG04e04aCIDeNV3800110004G2e008002110dud0W00WOGDeq_4MeFXWqD00W4HnW
+94Rd1VLQ0002041000020m2W4e860104G0210G20A10020G012154AX000000D1800HGW00G
+G540e00220000Wg8aGG008G11042000400010681000G00W0Y009W0W0800G000010200100
+160018W048G0400080001828W0YH020Knd14000IwE10000WG80010WW000CYM300G4Svl10
+404X000080O0G1K8040G1W0mm1800560G0G2400IC2q82000009N200YC2W0GK000810G2W0
+8WmGG00W00WWW0G00010W20WA01G0G06am00810K1100C02O00600Y08060018008101kzt0
+m80000q0G040adl4RIK110WaDnD0020000400003zdR0020WAPCeX@48C40000O000G24010
+G000000WW0680u0m14004M00880800800d@t082G4X@R0G00mzLDO9V3W0080800020200G0
+X4Dsew@700Y2zpT24080410000W00Y00W81000W0000G4Yms0000000ycITp0H00H0004004
+1004GW082mF@900G0G0H000Y0WbCJ0W0000004080200W00G01aOc1ZWBnuha0OW09@U300H
+4004040000W0Y039i004008800Kzl120004Jj401Y82420021800890j@dGLz6W9H000Y000
+G0G00W80W000G0K0000CT738W00afk1fcd00GC18AI0nw5osz90084000WY088WfqDOc13m0
+0000a24A08GqlCWF0010040001a3yJ00004K0000200G00W6ytW9@D00m00W00WBtJ0C0000
+00080GGW00W44007_E330620000082200100WW0800000HW080004004vd_00W000010004j
+oct00W010W00W00H008Wulu7000030002408m376aHj1v8R0000G00G00W10A_xXvrPOSQC0
+4188KG0Ke00240W0020Z000a08GmG0GH550480020qWC0rWO00000WDE100G0WGeHWqe8003
+0080W04G016He0A_t08W80400GW8W082GY20LW802000W82jpR02m100I2020G00G80W2400
+M04HN_6C@t9000658440008GW30W0YI4g0402G20G01WW122nm4LC2080200G000G000a000
+WI214aH86400K048e10S00O4022G0080820a000820Y100W0n200AG0Gyw6G6f00Hq0WX000
+100A40004800W10Ga404oFc6006m0YY0G2SI44c70G40000IH000G02000W000O80F_@00WB
+XqyD00IbW000008WK00X00202ydV200108WK00X0040G12000208YK022b040I10m00a0020
+000G0G80GA4W100201a20W4HXhm000W2K00000140G8M00A08400Sdh40jS040K400eW280W
+5294AW02W40B0058000GK0000WGK0020080101W500CYk1004808140AG0004108Y20000W0
+0Ae0Y022A2W28W0W003C0G00W080kccawxD00240010K040E0mGm0C20KYl4000u57000000
+0S040W02060G0608002WYdml10O00O0100G880V4G4I070O8Y2BzO0001eszD006000000W2
+0WA2OG0W00088001400021mDi910G0ovz602W04008X01020K24W0000G0822000900ndv6W
+09000Wfl000004Y0W038G48000GWIf0WmuC6000000G2201010440080I8GW0WGW00400100
+0494W0GC000G090W0a0008W000240G02000ghtW4uaeYoG000a900000l0GxyL0ep0H40010
+Q10000G400000r10000a349GiI6izl1ek40G0WE0sI0008t2040000m10G0GK0010gM0H100
+0aQ102G000O0080r70000a300Gnqg0GLN10VWX@d03@03W_160zn10Qr00akgy008uVJ0mLh
+LQ0000Ew3F0C3F0zI1goT4kZ8Yqj80yE41uElnR000uGPyH40uXP_ln00cPI7wdmYF6iTX1@
+mBH2SIi2860C048g0O003K100C600mimmDOBS3EcF1008i0000500cn0CCZ1Z101C3WmmD00
+YC00080GOc1W0W1O600G700We000YA00cV0L0CWz0m1O000m8XS3400cx0CCp1P1W1e200W1
+00GK0cw_450W100m7X0000Wh6G0FK50kZ80UU10ytT0u40u600OTQ605Y00m@y3WlW2yFC3@
+030cfA0To90y@V2sH40000@W10300080e0CV10000uBYAwF100mB0Sr00000uXT41000WkZ0
+G0C00ZTzGjupSql1xzd0000ER000lzB1G02Wh@PeMVCoytWMuJes@42LiY6_D8Zy70100Kgz
+3JcRGb@C00Gk40000001XkjJ8q@4swsWJpPuqj7ITD10W000024QtkbvsR1Wh3GZw6iYN5Zz
+dGARUqHd49PkH5vCyrz39EaG6fC0000sj00mOwO8080u6_7cSd1080W1HdmIp6KVE3BGOmz_
+90082001WmBRCq_b1vyR0W00000G005G000W00W2005K000020G01100000W40008c60H000
+08G1400020A0400008W10WG08W00420080000WW0000040G00WX00000400804040iWV2J7R
+0018WTpDeDU304112020210G008W00G010000G005W0W0020G0080080018W20G040XG2OWW
+8008858a0G0060103W8800A21Y24W8I02G0301A44W80Ya000W00001A0804108GG0005101
+90142A08W5WGi00048C0040Y084100o@y60020010KWIA0924G104000Y0000000HW84W0X0
+0X1H0c00G000GWW08913WGW80W0GG010GEw60W224Y04W0G0YRZD0800WG0D8840G800GW5W
+O00IW10102We120KGW22G1XnWC80100GG0W101220WG000GG4000m0005G000440S0200160
+5J4mee0044ID0060420YY00G0083GKkl10K0Q8041Y052vsT30W08028044001GG440800V6
+RmNi6CUi4v4cW00010W0000009020yAU200G40W000G00CaJ3W0m0I021CQU300iNZ0E0000
+WY0G00e00828W00000G000iqZ70000088G0080W028WEzDutz4W0008G060G0600200000XJ
+zRmchLy@@30850MRrWk8CuzV30G00HW0000000W0W8G804b@R088506000zMa0Y2800011Pw
+R004XmT@tG400mt790P80WO83m3I6W0000010GfcLqQk4220000000001104400800000W01
+000W000HCG8mV3W000000YNn000W8A4000Gb9c040004G000W140G0Y008140Y00W0000820
+xJnGWS60W8008W0W896W5sDuYT3Q2tWwj3108200Y00080000C0MAtWr_COd@4W000100010
+G0000LLW006@gR008040X00G0000W900a41OLU3M8qWKjb0000qjQF008000010002a9sCOE
+JFM1iYZvDeK63QArWS_D0890GK@6W0WGyBU309400G0G0800mLU9SzU2fVpGY_6081C200WG
+xf6yIV200G000L0qnP8000W1408300GQxG300D00000K4000C2000W000868G1M0G8104000
+X211H0JKX0G0IW0o3000Ga80G3H0W8Y80JGYeA4nG820e4eoV30800aGk1hzR000G2G004YW
+O0KSn0G6P04000ux56000G4L00W200WslguTV600H51068004000e00HGC04W00Mdp01I00W
+8G49C6HW040W0140000000P30W06000A208011094098050G4G0Z844400108AR63410X0H0
+00Y00GG@6abl108a06VF11K4W0OaH0040WX01uvT62bU30000a200U0m00K215sN1e40mq@D
+0iy35K2000600WAX0m000G0f018H0Gkf6800a204H801G02000W40085028G00002a4021GW
+8bK0Hn80GXG1e0000420eIWC6200aIA0EBm00G405WdmjrR00e8I0G15G0000180Pnz0Y000
+0050014esEt0h000G001W0K000i420002G080W90K0G0eG4080AG0W80G0e01400G8W00G00
+2a00Y008G000oGAW02080C0W0002B0000G100201000801aYe900008800108004WRpz004H
+1HG040194jgaGf@900021eG04080G00W0808000atI0D4128803044020X8602b3000WW80G
+m000022WG200W00_nF1Y00480G08MG00002q8e44G00420O060G4G41000W03011080048W2
+0000Y00W04XS82W020K9HW050OHfA080G0W08ey930440002020G2402010020W80000A00Y
+021045W800WngJua_4G0000800W00W4G001048002YG84aW0020uRJ300I0Knj1RqOGHyR00
+0et4446IR1001W2XyB12W0WmmD00442000Em1B8000a5200004Gm0000g3000We30930840j
+00080020004W878100m1gT01W0K70W040000002H@D0e0Wz2iG0000K8000200000W0e@004
+0ml0000000Uk00000qH7nm5YH71000G7wuF0000mG60r@cV6I18100p1U0m@q70YuE4S72Gf
+FHEw80sT40S7u10UL1mKJ1p00Wv70Wmytmi0gkz0400490hB@004L00000K100osV3000vE0
+0pOWV00Wn0OmN3Alo00080G0q1W005G700ezR30sC000YA004N0gCp0P1W0nmbGMS6Gq1630
+3WHuu6000Ct108Y1mmC7010EmE00WE000p10046008C0KPw0Y2W3YXp0c3000000rVV0000G
+8@y4Ij730gl00000y5K@100008p08zZ0GRA0000XFO6UV8YKWdg0Kl20CL000ys820TU0000
+0wccQ000wx7m08Yw60Ku0W@vE0pN0A400gfcgtV00kZ00000tH4WxOQ0040004mNU4Xgy00J
+PA01O5_M0006iTV2jtRGhyL00ml0000W040WtkPOM9Iwcaau@Pu3J6cmo000G02040Qoq000
+G0010000aG00028x76kmt00qE0G0W0000000218z83gytW6QCeAW4A9sWRQC8jE6cVoWCjP8
+GQ6MwdXy7JuW@40021Ch63vlzGa2CmH048kV34000CgW1thRGc@FCSG2Zn_Gw@FSsE9P_RGL
+R6ijR220000000lBl12000cgF18000002zkIBX0SP8oq74010inE3v@zm2yC0020400Wnsy6
+aCl11pPW8000K0003np040000280pqR08002W014W02800eG000008G4nAHC0Y@X00H01040
+044O40418E9C100100O000004Sbt3q008U9tWGzD0WH0000000KC07uR02008000W7fd00f0
+WAkD00008400mUeJ80z4G0W000W96000000G10G00A00000W80020000I000100K02800000
+0mZ6000240G8_600001010001090G0G0W0G08000028yHX4W01400W0010002800W00280O2
+0000010W0001100X04404002Poit01280TwRWG00m@@D00n00046W8sJ00040020000JW010
+00LW0_Zb120W200003A000201102800200tcP00WaT00m40001WW0G808204G00104400102
+0003_t02W440001g0B10E00W0W0W00K008G0e0000WOWpzDObC3_Bt0W0009mRm8y6yqj13@
+R0400WQyb08000000G301404G8spt000GmH@Rmy060ip04004Ofe9Kwl1800001080WH0ulV
+60W0001000410mw@6G000020000W00W00W0158W0220000mK00qD@U0800000W000mC000Y0
+0G0402001004004a00000W805xB1004G000Cq500000HygS50020wW_Xc_Juc33Ifc100G4X
+r5oDA6izl10G000WC00200G2440G082400W410H0200avj10000100HSrl108ChsSF100040
+0G080800014eGE3knEXP@OOvV3000846D3rMP00o4WVHLvYV3810002G008000W0006800P_
+R000040008rZd0Gl5WavD0044mRoC0W00O@E3I6OZv@J0010mu@60008WP00GIla80000G0G
+0000Y7UD0W0Wm94FSui10WW0000GZI00OiV30800000H0Y00mTnOyLd40800c_oW4oIuQzG0
+0011018CjV3000804c101eI400aA4408hcRGQw900Y8202G01800008h8G000K0000004200
+4000H848001040008KJ_334QGWz6Kqk10024dks0Y002000200H000Y08Q6F0WI000800200
+WY100512HK6020W0XW00W0I1000XWPXmO0drR0001800XGf0d0eX20W0W0H3O00002H00008
+G0W040010GGW001000n2sDu9L3024045W1XxR040GWLzD00Y00000GH010Y000mC00yiV8@_
+R0000I00004810QYp08W045_R0000A0W845vRW80000008AI000010000a2a0G8000A00010
+0Y40588200800G900A4WVoJW00G00080I50084G005KWaJf10a00020I16000K085e00042G
+0@@xnsv6iAc1000W000W0W400X000G080200KBYdW20200028000m08K000GNE@G30580qUf
+1F1P01A00004K0010sHt0X000820000WG0040180002W2WYbC000G00HC000IW0WG0058000
+0000O1mEyaatg1040W010W0008X0G0848m04140FxRWG8100Q000018002804000a910700G
+10K06H040010002K08000W4W028000G0G8200UrU2G02GW02010G43u0W0W0K0420eG00401
+OG0000405080G020QG42o06onLYzSn00HWmjv9yyk1W80GA@m010000G05W00G890440005f
+I00HW0100A001G00880OI73W00G2W20Sfg70W10jFj100H0002W00400W80030000W00W010
+W00000001W00vDuXKNl100W0000O48N2e64W00Y204000004ItY9800000E000WV1aD1102h
+M00G00006O_U30G8m20000WX0G4_600810000A0090000IQ100oAt0m20060vG0I0000WQfw
+T30W0mg00400i0m@@U00y0000eAc1eAp0uVk3G7v08wJWZE2U@030PML0K505zR00oF000W3
+gf60zy00oH0008n2G_P9Ogf0OlVF00WfA5008Bk70Ogf0W@@py30mlLayMl19mP0008008g0
+WmC3e201mC0086E30000W820W824404G400W8000H000G1O0008300pDG602mQ0W04000110
+08200G420W84G4n8880Qbc10Ok10W82i604W800GI00GzJ6mS300G5e20EWA00GM000n_@t3
+00GV0000WF00wF0000000er30ZE2smkgVm0Wg2U0m3000000iR80000uaJYwF100tJfzR000
+uQ@100C06P0uT41mFY0WDs00pF0x200vA8Xp30WOuI8yV3000hO500W7_7000000u101WBHY
+w94El1bKRGntL0003eiR6wwt0mp20PURG9uF000Ce5W4EtF10S0051yGauI00W88B_40088i
+4k12800YPrWmtOe2N3WG010W00020080002800808W001008G0008W00400WelDGX0W00200
+2410NHcmTu94Xb1PaR00W0WewJ000Wom@602020800W0G0XNjC8oU3o0B100005AP0008080
+W0VZpmvz900880210W000000203UZ100hum@DOMT6UDFXHRV8J@46GF10W0WnhBHnw9qnL2x
+gpmBw900G00800KuvC00200WWm04W00042001G80010040001000054000020e042_tWZzI0
+000000W1002000020080Swk1nhdGcc9040000080208WOVP00G400W0WqKC000W000G0001e
+jfoG8y6ark108200000A200wJ0308G0@@l108WGkit0000CE500AYrWawPOwU3000X080400
+02moy64QS5ldPG369yCh400G2Q2BXzwD000200Y02G100R7R000080G0WjpR000W0W00G040
+00040tTV200co7lsWUwJ8QU6_l@X2wP0G0004000004080GW6V@X1@JeoV3WK00yxd10100w
+8q00100824G100mC@V2m0W0Qzt00W0CxCO0G0A08000W00W00000Aa1uSD3U@8XO@DOkT3Yh
+FXj_D8W@4000GCkl1W0m000W0q683BEO0006W9jDucV3kv_XFyD0004000W00W10L4P0000G
+0040040Ggzt0020G@vd050GW9bn000WKm0CCjV5h6oGcbm4IR8VOR000XaeihuCR6Mqyd18F
+f_B6410001800000Gco68sM0e8zAEAJb8GjPLk4000Gi0B3080080G0yyC300085E00KbmON
+bU2000eFSIusy400ixcKWV80000802bT63rMQmxy6W004W80008m1WEyb8593ceeB000Hfd@
+002000G400100G1W4rwP200W0000WgG00ui@DoAeB0G40I001010000HWQfT38508200008I
+500K2000WK0G0000f000OTAa2@0080bOd1LrR00A0000b00140G00G10G04200010000e60h
+PW7002210800050o@t000010m108000WDW000000G40020008W00000mVqk1HtAnt@6aCiGD
+ke24000000aC280G289000G0IGWIrh600u1Fh@A0021G000ezxGIIsc1_D8MS6000W000120
+XGl0G400c20001008G000g1uaT30Py0amP8RtWnn221GtH0000WVO0Wu_D00p3e@m3G@Psy_
+pidzC0ThR018qV3m300q3o6Xp06H40WNKD000W0WIWG0b8f48HI9WAaI0L020Y0mSh600GCu
+hQF_eeh@@JWA0000_702gQL4CpC8uX7eALLHLL10cP6ma_D0CX1m6E_bBD3fCdGTsC000WCo
+k4EuvgUsIe95FIytWKpb020000WRaipbOMK3IA@XKqJu7S6YIlYyqhenmJYNl20F50Tg3JVl
+FK4bATzl10108000G4000wwtWhpJ002000004N1G0R49HQzFKvu9lBymRoC4Fl1pv_m6z6yj
+c1800000W08200e9U300204OU2006@MwzX2ly8SV60800igV20001o3qW6yD88T3G00Gy@V2
+WW00_MF1W04000402vs000a00c800000H400esR3AHs00048t_d0Of1WYrJ8Lj780W0qj@3p
+dL18W0WC@P0410mVv6010Geqy4000Yaqj1j2_00000041mG0000W4H000W00320G0W101002
+0W008C0SVU2w400oxFXnxDOUT30001KDb1DfvnSH6000001200101WZvP0600GKlF00089OR
+3Iit028W00008wPoW3nb8k@4000NCoS5X@LnkYFyiW4zwJ2040G00G4Y002Agw1400000H16
+VB18Y80B@d00W7WQmO3I000000C05H4fF8Hq@60008W800m3OC0002BqCyozDXbmD0Y00800
+00002Yb_d00Y0WIrD00W@KCSi180000W0uVyI82008@U300Y01002mC82G2WC0Sy1OfWtW80
+2NvN50G408280O600104CZ80WelvD00400000IV1005xb60q900001050GmCp1qUS2zCP0Y2
+4800008080021C300000HGqT_6024100m_V2Wi1I4000085f0HA80G2d@pGP_6G00agxo40I
+0000e0ueM301i0CLOQ00WGO8000AG012WO8WW2WG_P08W0008I0002000I0000W00020Z00m
+Jl60000FuxJgfi800E2000H5ae8G0bWgsq7AIt0002800O0H000000avl@400u05_8R20800
+00W01Gb024GPjqI0W8008200IW4400000110QvF1mj30hK_600WU10000y50Ayd10GK80010
+m0rH0208a300uQ_60I0000420000KE300rPc60kM000G6kJ40zmC0IL00elV3ukR0000_7p0
+Mbu1KLB2uW20mCfoZ00WPUun26ozaDcP000m0p000Z100CBQw4800080H0elM30WX100H506
+420L00W4mJ0850m0ff100UH100W3sD20_GL0CJ0suN20W8Ws000000@s0W1G4G06xt0s000T
+oEMDw64ch70800IqwXV@D000A30000080Wfy_W000WtcDOpO9Y_@10A08T@ZnTv60e00e5_4
+U_sWBtD0044m7@FG004urV3EZsWjvJ0Ci10020XHQP0200mktI00080210Gv1F002102X002
+00WAmJenR9000G4Rl1800040804Dl1@hRme0Cygj1jV_m8_6000WpY000440XGlJOUy46H6Z
+LnP0008mew6i1k4t6Vog@F8010ODT6E@t000zIP1WHO09000600000448WvqJuyV30008100
+0ihS3ktz10Y0000408002iNl1000HW1018410G0040040W4sD080000Y8030000000020201
+80WYY2008040W0200100468000G0WA00K0000tE0G0004041CYG2G000w8tW6sJ0080000G1
+00W0PmnGsQ6G01W0048000101mW000W80W000WW3400MGMz68000GW100WWG010000A040G0
+000004010020a000G124W20020W00020044c08G464000120G100W01004020100408004G0
+0000g7000040oKN9W8200G00mTSCC7@3D_RGL_94hh10800wIC1G0000g200010101800080
+100GG4W000009030WW0002W0GdR60WX000101128000100G6A8W00ZG41W01G05000a00020
+uO000804002810000SH0W0020G8W0200G0000148400G0W000020@z_XquI0002000GWqwC0
+100ms36000G2020W010000W84100U_t000W00060000W0004160W0G80W1vC0040W00W0082
+04014ss81XW004GO00000bzW1XEO00700W0000UB0k_tWc@JG000GS_Ca@W1n9RmotIW0200
+04G010H08801ri@mg@C8020unV30004ygl1Gm00YME1000240G000Y00001G0GW110004W80
+0Gi8UOs0000qe200I@UfYP3100200WGIW1W03td0080W0yD00W0018WOK80W404Y0W001100
+02CP4010004gml@d00Y0Yror2141HRU60004G20000W0a_rV00W0Gy@6000aGY00myH90000
+0uS2GMq@KbN5FmN100G4Y88020001W02z8c40000G008aal104H00004X80008000000Ix20
+082806Wt60WW00GG0UetWh2s00041404020000OcP0162WWGH08XC0b00WO_P000240WW00f
+8HOQ21nG1Z2H0004KH4W6H4200000UNpzFXi2c1210W05001000Xv7Y0Y8GH000rOQ0206nu
+GI8243GWG09404120K1W2420004W20YW4G5110m00O48g000GM600G4GY1dd@@D8grGcWxX1
+sb0004mk@6qjf1PnP0JI20000qyN00ksHYO4d1X00Glq8Tkl1004GYms000gYPaQmKcO4a89
+v1Go22gKx93000X08000y_100W00880W@@j1W002002Y0GWPT83sz@XK0C000WmYu6K9k100
+18100mHN00Ohu4AHYXxqF9W1Lm400y@l1000Qw0WXK0Ie60600G00009IC0W0cS0000qU8A0
+0wRsWv@d105000WWXCBKHcg000YO6F0u6s0mT_@t000mXK100anCF0mgU0Wg_00FK50wE2cP
+30in62uN41K5r3851000iqN0yGL0GL0a573BmJ5000G0W0W2010406000C0e5_4UCp0G000R
+qR0030006064C0CY2m000WW000We80004100828oLzv0m10O@s7_@@100GL0iR40uEHOcCLn
+kHCXPg2Gt92Wc400wzN2L1u7m0m9Dn37AZEwG1rN00Vj00_2000y5@@@700uXU1006TUZfbC
+OVUF6Jw100W00800QCE1mXA00201cNTZbGE9_O3IRd10W000804w0WXFNU8MS6G000aNN228
+001G000W0G42900008G000OG6040G008m40OxJ30G200G02O@S3M3tWtxI8hS32yt080G01Y
+p00I001000f0y010008G00bmPmpSCivj1PFBn6wFS_T200OH6p_X0pV8fWAsjtW0tJW000W1
+00XK0OOjc7olwXa1a83_4400000420G04004800Hm0nkP0us70200200f1weB10040TbbGlE
+Rqxk43RRmB@C00e00011W002Yh_D8s730O008250CYP300XGSzl108001G0HG000Cs_AqF00
+KMyC5zR000W00A0W@@d00WW00G01RYa020W04004000400100W080048020I045810W00001
+aG800OwU30KG4CvU22100QvA10W40nOR000@ihtD0G01mqv608000001qos64Da4BP@GMF94
+m93WW000010ztO20O00480GGW00G01000103500200n148Y12W05u3F3G1004fW12K0A0801
+a863foR0Wn2WY_n8C06IP7ZC_JeeU38002qpF3G06002I0KRU2W800W00W8202O4z7w@mWwt
+Vu3U34000000WfyV300406Xl1vfRm509azW700G00e40sUm30G04M@tWqlPG000G1z94pn3j
+Rd000G000W8xlRmQu6Cxl100WhJlDA00CaH_R000W80GGG00Y0oYt02002bDv1400002003S
+RGh_6iBl12QF0MDt90G9O0000514HK_V24060004010000H0W800W00W80FDW1010aFya000
+0l@00W9rvv1_DIC9Xyxh8fU6wXtWTyP8AV600akiFt9LrV200e800AeGP280204eAg410002
+04AA00Wm000W0800G0000008IA69y5k1zEa00820GG404G40G40GSzl1f_R00s4Wa2uvXU90
+045Z02000041001010004Y0000100m004014W8G0a9cDOIE3MRy100G4pZbmqv6020000800
+000Kf300Dxx4e8000G0001A00WI080eaY0bcW02Ggo1DWK00W8W402800Ptc000GA000aW81
+e8004I100W010mQk6S2B300iiFH3cFr8vGV3G00OzIl1FnQ00W24000O210G0200m100OOj4
+URB10008j_cmrjC08Y186kVI8tWywI00108H0W00G002W000GG58GE0030155We000000642
+000400040K00000G1GO0000000e00CkP20600Ekz10X00000Oza00y@VE0WW000W10002grJ
+600800040082G1W00H01G2000002480080G00I05WWe2aJ8LT36HE104009uQGHoI00G9CIX
+VEfy1000ie1040O80002IX4000WeN20C0x6X_RG000w5042000020G04L2080070I90000QP
+523000a11060IZG480000800vHRmpj90ka1G20000G0We2tu6JC0100e00000C0mToC00H0q
+B508LpuE00mCgY60000MAD0MEzV3000ux00000m@mh@C00pp00000cd1000000OL0b900000
+cvsq7uF00y@lJ000WK000A0g0t1H1W2y300a5000O000O700mEWTW8Wv0O0Y000a100gds0W
+T000HWv001Y000I2000Sj764YT2zuO000Ok8_Dm000GCSsSZi1FRd0008D0iCD0acba600O6
+c1u@V300F0myC0W7UmpY2LChm3f@pW@@7G00000L30I2lhTCIOp83EL5ZHUD060WG1s6a5k1
+010W0W00000WMv00010K0000400GWW00WqsT2@8bGzjR0000kuR327sWINb0004GGQ9a5k1N
+0aGgTF0400001Hmy@6qxP25dR000NSX480BTp011001000xWp022001W02pQ_mct64bE308W
+0gTh20G0002W0s4FXApJ0040Gov9iik1YW10ENdX6sJ04j0u4VFKDd12000G400y@l1G0W08
+W00SrU20200wsn000002200o5F1eK00niBH5wCKA035fA100G0W00100080004KDA3000OL8
+21bwc11vQ0032WLUjfC_78850ClE3rLP00W000410FsdmMz9020G04I0W8W0WqwJO4T6008x
+V7M2W000E4mWWjDu8OFAjR38I000002H144012WePQ3_Kt02G001sR000W0bG0000140008y
+kV20WW0YQDXIiDOME300000uO1enr7kgMY@ghuqw4_zFX2zDOQP301o2Srd100000O028000
+GW0WGEc9004euXV3YztWYlD0222OgQ9S5W1G004400000005M00mry64fW10004UdNY4MVOA
+bD0000002G000001Y1eI6DOzj4ATtWXDD008WGg_6qGk100W00110CzC60089wmdXaEDOn03
+oZMbD_P00001008G00W100W840020000m410Gs_a4Ji4fud0e16W0uP8K0IIyD49G2000001
+004000GyJp42nMYwl91W000008_BjPeTy4YEd7600O00X00G8C3101308G4m00WtJIOQOC0G
+00aaF397QGN_900Oh_SS3cqtWbwDOPORAvd10I00800802000e00OKG3cmUZgoD84y4A8N2G
+FA0Rhx406088EZWG490DrCKW01GLC0m8841YRTguNV3000H0000CXB3cIl20004Q1006HcXL
+A42m08200G42o20088a51128OG2vfxAQhcX7MJevV3svn00400000800W000mzQkh400010X
+00uktMY@7Zi@D00002eWY0A10HVacm5@Ca0k10100000A00f0uhE3kwt0GX80W00005K0C6f
+1WJKe6Mq0Gb00Y000Y1mWOkF1008080G00G08@@@000ubUuO00W1mmkIagl1Fbd0G000G208
+rUR0e000500G@FR0000A000Sw30006000A000H00sBq6000agsRL00200e0000000500W@@P
+0008120000W1WW0006F73038000W180000000a080Gts90804220000WW0401H0GE000WOG4
+0G32K4500H483e00220u04400X0OMlS_@FX7AD011G0004XupCu_@7W20046b18200oUy100
+1K00080G000W0A10000em11000K002800W0C4i108W0sa6cLpP8lE3QYZ100O8010000IXCB
+M2b_R0980WJVC0000010f40G00Q1446dr0820W02000B2028831000YxW51820an0a0OH000
+XP8XxV208I14000rt@013c081C82AeNKG2GIb7g2WRinsR6auVE00eYh1FA12O024m0W1G50
+3030L00We00WetD0m400008fewPm00000a100000E0000mS0ihX1I0O200m489W80J0bea0A
+H03000n7Wa8m48HW90J0JGC06880GCK56MXh500000GG11XG02aW22DBb08fHBb2eb1xbm@@
+O000Q10000jq20j0000001Tu108pCUm30yW74iAL9uV000eDsC2wm34aggCZ@0uV00m3UGGu
+1FW@bmUNnPjHb_9KhD300cq10400030eFO9cxtW8JIeQ8FoUd18000200WU@dXGbE1800m7o
+6Cbz30fP04002y2x3topG8v9S5N2N5pW0080W0027e9KRdR0000nx04qxoFaok10002UTtWG
+oD0009Ggv9ig430800N@AXdtPuiJ3E0g500104800QctW2qC84U3008d@GG2@@x41W00G002
+00W0kxdXtmJ000080W000800NYRW000W8YJexx708000k60Oboe0014yTA3NvR0G000W0008
+G0022mWxmQXz00mah2LHl40H00tysW0qJ0000800C010000404MLuXPtt0002000NlVnKfRO
+LcPtWPWDenO6Aj_10800z1dGOS6qAP5WCG0cpagayC00W8oG32100WKo00mjdQ10020081G9
+v@0WGbCH1gQ@tWdyD000GGrS@0m50u7rhwGqWcB42000fB00W_Te20008G0000G20004Wc5y
+700OaPpI50080G80020WY1W808000u5Q6_oV6G020Bxx40W20G200004e080A100aYK00180
+0aIg_H500Gp_E10021000010830G00824G0WeYcucAX@N100ikfUY20e140008W8U00K4W01
+0ee0G0ePR3syF7GcF0fLT500208000I34WhCsW4NunI00GXzE1M0G4006IkR6000eN00044V
+1Wbyv10GJm5eaaWzC0WMQ000qu1u14Fy0GePX4zl70G2063t900KY9kP0mD890LWR0AHHISh
+bg@h0w00GhvEfA1Fq12gALgwF0ym3Eegg88ym3m31OETU00mYDu2LPkx4eQ6WTzd9zI6MBPf
+MOmWZ00GG2uLd@900iHoU7cFD@f8YP0cZ0y75L50vaf10WczZ2008GFn@C@F300ohVuYgQFS
+YlA3GdPQzgSH000WX300qrHTl3SNt1kdxm@tMyVj7@FRo@nkyVW@p0Sf18Xf3Jvv@JY_laf@
+7fw@qwHIE400S0XJ0000mX30000vW0E008ScJA0K45m6000E2Qc13000j@R00m1WK1o9a06o
+7x@xr_lUk@dtx@u1@@Tn@Rdy@XS7300uBx10WUF@Vtq@pTz@RR@lst@dD_@Od@@rw@Rz_@Lp
+@Frz@Fj@@I@@@@@@@@@@@@@@@@@@@@@@@@FX@@@@@@@@@@@@@@@@@Az@@@@@@@@@@@@@@@@@
+@@@@@@@@@@B_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@d400G0T0um@@d0uS
+1eH09w3ua@@P000GGI1N100WM_00GI155RmC@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@F0000000uU500_@VfTu8v@VO00ylFedM@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Cy@7Z@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@sz@dz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@M20ml_@Vd0100aK0f@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3Cuh0W@@B2W00m@@y30mhy@@Y00G0y@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@810uhx@@Y0W0043t@HAy@bzg300Ybz00mT@@@@@
+@@@@@@@p0201u@Va05000I00u@@@@@d7000AN0im@@s30s0u@Va00800W002800m@@p30m7z
+@VaG00000400043GimshJ00e3t@@@@@@@@@@@@@@@@@@@@@@@pm@@3x@lm@@@@@@GSXq800m
+@@81040u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Ey@Vn_@Jy@@Uy@@@@@@@@@@@@Vc@@3u_@@n
+@lVz@td@@yz@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V600@t@@l40W0Wa28z@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@7600UL@@N4001W@@r2yL3G9S@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tr@VT@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VJ@@ry@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@o10O0u@VL0W0Wy@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@Z1il1u@VL0040y@@@@@@@@@@@@@@@@hBGu40000Eu0000GE4W3001
+dvG00m1aK0Uu@@4W300a2G200Wc_@@@@@@@@V55020000004I20002WEUi400C30V00000iK
+000_@FJ08ZeU00000en30O60_00m@@S20U0u@@VgYm00G00@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@Q_@@@FI0FP0_@@dvK@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VB@@@@@@@
+@@@@@@@@@@ry@@@@w00ygy@VEG000I2Wa@@@12000uS0W@@r2008GX02100W4P00G01uLr_@
+vUAdC10WazBwOVj00yP@Fw@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@pf000WKuifE03I
+dx1000ak60028ebqw29fW4G000aKGEv1m000yoa4W94k@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@nouM80000000eNE6cArcv@@F3xk8Gp60@@@@@@510uhu@@Y00W0y@lM0
+@70kpFaU4J8_mAAYVCg100@@pm1YLSbV5@vpGRu@FvVf000HP700y@@d00y1_@VfTFqA9@F1
+00GWA00OoVa0080SfG_WWI0_@790100TpG5000S3300lHW4000YCNe20WFw@@B120000e0m@
+@H1yU1u@VXclgB000a0500Y@29W00020eG_@tUW@907hv4210WJkZz@VX00qeFSdeW_U0gHf
+ejFuPtRF000mpR00u@@F10y17fmLP4ro@@U0qM1OnFXECqWScqAf0F6xua94yu@@V00umFae
+G000OAJwde@z0Km3mOZWTo@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@qDv000Om700y@@@lnN
+4Gu1WY2pCBi4CE00Cl@d00sJxeBg_@3PrUO0EX0yw7Lhu@@ScMAGe1u@VyC8014Tz9G300kd
+lkUnDe95I004_iZFU0040USva85sp400m8j6qIh7000OW500aAqUBkQGhoU00Omv@VycHr00
+0200003Z_t3WWD0@@Z7u0000044zKJ2v00W__nB0z4Aqs300Xy@@Z7YkX000W30G80_6Fa0x
+Nw@@g9o00Gq1a100epp@U4EFL@@330_@he@z0401m_sx1W00eS_G000m6ui100G06gVZRTCO
+u@G0a004T@3lcdG_z9004083066_d400tq7s9AG82WggJOXyJc_tZCpPu7_4UBF100010W00
+MCtWC0C8CSISC00ajjJ0040A0@XikJ0010GIx6WW00umR304000008uI26YlN200@e5Hr500
+0G0G100002400G8008G0000210G4100G000_wm00I01JoRGA@IiBV20K90_@tfXpP0800mtv
+C000200003001100m0TedW020020100010UiFXFoV0000fZ00W@@ZQYT6000e000022400W4
+001G0000100040G00000004802WMut8Fz400ukciFRY82000000204udZM0z00iM6OPVOG4@
+60W0G8S560408K0P800W83agB10W0000W0002000200W0qnHCaUI27zR0002W6yn000SnCcT
+10400080004100000G001_WB10W04XFamXc9qP360mA0sxKB04003UO0180082000004X830
+0W000G24W00EYW@Cenk4ks73000mm700MqhB00G40004100W0WW04200002020010W000W00
+40W0093q400Y00W808y@A00mZFz@O00A04100000WI20G2084AG1Of00008500CjN20GAeGG
+i0_@F6WdD0_@VC000O000e00041WW0u4E300KWGW44100050I0A14200100kw43000ufhwq@
+@IGW0000006WW10CmY2280410482WWe005uXe4000300000G00e000W0G0000220e@@h00Wm
+mVmW110000AG20002024Oe000W04YG124Ouq4gpmWbXz0CJ3m@@Z1M0044062000H008G000
+O11W01nGec0482G50WD0DW0mM0060Tj000vCL1O10WgWx20pCZ00eAHdP6YMLLKLcPOcP0Wg
+g2z@@j00WNDQck000003G00WW30238H4N0N8kWi0E1S1e4J2G9IC00mS700W003YH020u040
+00P100C7W@@h08c1m@@Q1WC00000Fy0yVr8000GG7vGDPIbkZG3FC31LjA0s8000000060al
+R8000uJAehtKdftP9004qFODL0010W000EBz300W00O000W02Ovw4QGoWBxOO39900000S51
+uRH30G00yjf10010w8BXpgVOGR9AZrWWob8nHFAUCXHiDety7_E@1000SJ6cGWt9yf73lQ@m
+MwIy8g1vVp0040WLrD8Uj7W00080G2000WGLtCqHv32100000Ky@@300I2_zEX6TDuXQOs2N
+2H000080W101000G10C00G4y682000G0108800G0080008_3AXNSPONR3_hcXLfC0eDHmAU6
+010G0000I2tC00W0AeyP0000Y0A04W5m801e04000OG02G004YG0G000G00eW00001A80000
+W8000010K0mdsF002000400400WjFDuVF3000G8M00003000820C2108W008002W0H0WGG80
+940WBRIu@@M00o800W0Xe102020P00w008040082iBR2002204000K0000G0mFx6KJU2000G
+4000qeH200040GG000G000mo_dxIq5E3DpbGCzg000210840I8004000FrR04220S000G04K
+W110804400000E000000GtfRmbyF4IX4W0I200050008K0b420G88044028W80G0090aG00W
+0Iaxma3j12000010G0W8000H000W0G0W00GK00M7DXOt3v@_7000GPV00u@@400H00200000
+GKxw6abVE0800Mzp000802240AjsWAtJO7UFcdrWhEP00np_C46000WG600808W004GY0000
+W801iOl1jUIIx@L00H0uFW4G00W4iN200W84180KXf1FvMHw@I02f130004000H0W4000000
+280Cvj1m00100800008fJ0C_@7300G2d@p00G8X@@DG402GQpd4r@30008r310qRO2GW2H80
+00000300010800J8200LxF30G210GOW002cW86082I00Y0Y80040010022000000000Gii03
+s5030040vhz00WgQm0000A004A000100m4iI208W00410200I0OKHW800G9H0moss4@k1Y00
+IC202O8H4004110820H41041W841A8sbJ50000100Oaqj1hsPm@@60AaB080G8G0W00mg808
+KG00600qGXX0o0561O020I0n95I4uL0090185850W0851W0004008X0GA00W2100GW20G0Q0
+0G0000041iG40400mC4C4VY49fcWK00000m00W80G200000W2KWO804WGG92a08m000K00WI
+182yPW84H1HG00W402e010G001A00G0G800H040000e201AW000000m0080ypZ1FzOGA5Ly@
+l100s27FoWm8C0K00Gxz60ZG00480rris06K00021n_eC001800G00n10G8e00Dkc0000uV@
+F1qM1m@@600KG0008W0FY41045mn80O0Y1G232yKuJcYI280WGCW40WG0410G00210040001
+00000044200q4k1hce2000G7100jgQ000200W400W8WW0102WIW00a09W02WfPc1P7G000w5
+0800lPQW20000m0z4W0GW_80ahZ140W28100W1Y40100GoIa00W8ULO3I1n0000i00QW0O8m
+20410YX0000008240nUcJ_WF04008lOR0XF00000000S00_@58WmF_w30z_@0wVR0mV@18rL
+RcwLDu@VO8w00mq1m0W1W106030006000H100cx008M1O080o203W00f0O004PW25h0W00mf
+zC0000Yw00G4G6Wu2m005m5WI8B0b8n0AHYLGY4h0k000P1Kn@p04000014W0000@1W00e_@
+1G@0a@F3W0000100KXB6NHR00A0Wy@J00WY9000000Oc00WxWm@p3ZdXXWxJ01@802wM40mp
+CuF000_70OF_016_0q3U50008YPQcqRPO7mGcmDaehJ0N00mhraCEpClB2ps@O00O@jUxV_@
+N20W015Zdp@@L0aD08DXA0000TYE3JIf2002800010G040401H100OkD3ogF10G010K00kFt
+00800AG0000I4zWJ5nwpWd1000000W040QQtW0pJOoy7UDtZ7oPeSK30G4C0W000004oIg60
+W00001ImLh9W004etS3oHsW@@D0180m4@FiVk100KA@@F1040W0800000CSyE30040Es@X4v
+31G20010G01800AP000WW09020ufU3K4210004W008Gz@6G00400A80008Wc@D000W0201Wh
+tV008800000mhF0000000WW0004eqT3ccpWZsVexT6sRNYx@P0005GkQ609008M_76F8Xt7C
+euG300G4kll7000W4E00iZV2jyRmFs6q683@rt20G0082000800AsF100G0@RPmRyC00p000
+C2G2F9Cy@600KAUr730W20Ppbmn_g000e00820m08202W0040H00Y30008k3S3wks00200zt
+o001G420GaBawXm72W@@POc030G41KBf190Ppx@9KPV2002044W0_PM21FA10Y004500BIB1
+0800000mO200QZJ240I2H_RmnUsG0000Y00Gt@6Kjl10080000H4kF31oPmi_60008200GKy
+wL020000m@wCUI0000SBT3AX1c@@D00He20182000Y0eA41000000420Y080824W82GXhp0Y
+G000800Y004X00o0Hg00Ip4Gt@Iq9d1WcP0_@tWLvCuUS300W40580OwJR0094000110Y4ns
+j60H500Y02W000416003xRmKz6G00YW00e3G0000824ZWcWg00WL5b0000dt00W@@JeLS3W8
+00O4G80J0m2gK1004m8@@33f08108G000A0GaP8001W2000900G02088000005041G00W0G1
+040410850410CgitWiuC0W0cmD@C001W218080I0000H9@@x400040Ga8401ewhs0W00021W
+0008802108WN3420W000401000G000Y000W2000G2W00G0090G0o00WvEP8A0300000Kv18W
+S9000G000Gz@VR00ZG08WO0A4010K4042009mP000060000244W04044_l1WW06gttW_TD00
+54GwFF00800004W0HGC000Gu600EpLYVvIu@@P401E0000mG00OcqC0a00OFz42nE100W008
+2281G00G080G20ms@O00WYv@V9800G4SLE000w90100mH000043000020P1000In0PW0000m
+8000021WK0I0r2G0G0LWAXJG100GLG6K1S240o2000WY1000Ox2m@@Lyef1PGppcwC000pN0
+0_do0000yWoR@p00k@F0020_tT0kkU3000Wa400_@N2L0udo004Gm@000CGu@VO000cv008I
+1KHC2Y203m40nmP004H00GK1WWO2I1m0G0004100O_100m0m8GE6_@t0000n8000C02045W1
+00aBwJN2008000m000W1KFd1@@t200u10UeA0KV1K500uBe0u7u40000IV10000KZQ00W2_P
+000W008W0z14008000O@0C_V5WjH0wT@10Y8WIiA0000008WkA1@42ONePsneqS6wbl20004
+S100_@7fT@D0080mbwFyyV23TBnvwLCn_300eTW020aVs9jwZ10W0af_zOiZ4wM3c@@D0WiB
+m@@vaPU23qpmmv6SzR5deB1004WQjPeNT36j@1000mo502Qlpcs0bOyR3Qet00804VidGZ_6
+000020400000YUuD8hT3cLqWfzPu@Q3c@d100MantJIrS6SFE3hg@mFy600W000040Y00000
+m0ZnR000100040000W1W80a1W1vqpGZv680W0edS3wUp0000G008000080800vzH30ML0000
+0Q6V3AFt000480000008X00400001q8kR4S33lfd00402020008010800CKd104000140820
+00C0G001W002G0G000Ilt0008W0002cMt0G080nVR04040G0000W001040W00000007_0000
+080h7a00000021000000140G0000402qLx6qeF30100sgnWSzV8OM3I_t04000T_pmg6Rqql
+1fvdmQrCSyl100EyECsZ1jFPpV680000C00uwtPYp73mfD01vpGzaELw89000KUzt300GCo4
+00Y@F1002HfAcmTqp4ol15RQJ4qR00eaflI9APnZKttORC3ozd100G0neJIa59CwV5WMD06F
+@10082Xa4IIAR0006kTB3kBz400GWx@RGoxO000Wnw00GDxF0308AzqP00W0i6S5zwRmdmU0
+0800401GHu9yw@300U46wF18000m0Y050008880ON@P4001000Y000852G200420a2e009K0
+0A10OFn7kIT6mLC0R@p0faYWLP@10W0090004108W0aG0000040WG80GKn5a4eE6000GuA00
+SzV20W8G0I00_6k1V2d36WG404000K00080K0000W200GyHRqhF3TLZ100cx4_P00080500W
+iCXvtM9G008G008eUAOcv630iA0tudmMH60000gjqAos@40G@D804mr202X000G1000o4001
+0q88202UUc7E1009_p0wB0000GAv@RJtqN108uTwV3000V030000@3J6ymaml40Wy30Wv7G7
+H6WE0G08000800086000o00ayi7PlPGf@L06A0ewV300O6000EWA000C00Wv5Ju95RgQt650
+0Wf2XXu00Wl@D06O000E000000k2mp@@V60S0000W@T3W@lRT8duVoS_900OA9DxYkuFXjUD
+0004G9u6K3U20800oh@100G0200WAjFXQxD8AW4EzoWW@D00D30008Wl@P00800200WaPhOz
+O9o3WX6zDOKO6Et@XdjCO@@40021qPB3FdRG8n90G1089r4CA000W008iLC_ucXa2mutN32_
+@18400@@vnT@g00WMgOS90020arhDfYpmd@6qyl4W8400440O008Oz@4000W000W040048W0
+00010W00GIUt01010RdP0GX30004XtlpmOp6SO_3zdPWW22WlvDun@DW080a0k1D2R000080
+001Vpp0400e@@J0402Gvz6000100W00009WHxJ021Wm7z6000W6N01Gr@608G0000W0G0202
+1280000W4401400uoU30500qtG2hudGK_94cd1x3a08080000W000118000001OGP3URF100
+1000K0okBXNyD082000100m000xkd000G02m0GfdR000kzUvCu3E3001G0G1344G0000W201
+8WtwRGm_6W028008WGq@6CEl1Drd0280W6wD8YV6Qm730C4000G0e000iy_3040008W0G001
+0W00qVy6yHl104000QG000W8udU9_gd10020zrR0G01W8_3v9U3UoQcP@n0000lf10W1FLvj
+K3_jU30220DcmGQn51WOb8OFIAiF1000GnfkHDSySu@6WRE06QO3G000dLo088G020000G01
+AyxaXvi9lE98W80ClQ5x@@08H000100@XZ108000440ProG0i5jzl100QvpWTZabJelV30YW
+L0000wSVC8004yB_3LlXH9_m0O@YG00WuNuCqxk1Lucmmx602G2W0WG000H838100O01_@@1
+00WGhrRGwc60G0000005000odlB2000gY1W00G403h@mK@600eC30GY00W2A0410000e0WG0
+02G00K00mNyUScHK002il8F1000XnadG1_6iWV20300050O230eWK00000mmXzz01e1muA64
+ULEn_@0OMWWtOCuIN90C0WG4000020000014eX4600K004G08G0eJKCs_t00W0000222IP90
+008s500cZLYYgIevy440a0H008109Y2100WDnbu3r7Y1tWrvH200mHdu6C_F3000o80100fH
+000820060000B0048m52i00H4GFV03ww3ZzIl2432mq@d0G01uBeDACt0J41WK5000000W82
+0eCb4My@7000OPpQ0H70WSmP0008Y10WO401p8890G0J09Xa0IYC3a4Pk08oS1u20045004k
+T5nQd000y5e0000O_1cyk8006MRkR0400W45b00@100000pCZWUu11zNL2wm30aggK5000Um
+3005UlKl_648n60ZA0EjLe3@b0484myi9Sxb1jkdGV@C000HG0G0002001002dTiXE10W4Hg
+00000400Y@@bG040Gy8OCBD3BCRGhw6ykE3PKp000000802W000cBuX1dD8@@400Cq@uF600
+01IAeYx@tep@400W2an66T8KnjoU0cq08ZUaAscXgoJuiy4800400800e00Gs@600G010W00
+02A00020jjRmlt900a0OzS6000GRU00eP_7Qld100X00G00Qkc1W04GRbLHM_9q_l1Vgdmiw
+Ca6g1Jopmdu68001O4T3o8F1000G044W_@t000CN@enmJ09CpV224000008jZl1blZn9tF80
+001000ql@60000AA_4800130O08E_40000100G6000100W0W000VnP00W00000mVzRGAu600
+000yn1Gty9yEl1RjmGX@6qwF3004GAxH28000DC7oJ_F00W0A6T3QMtWbmb8Q_4000WpR00e
+4yDG0m20010W044G_NBbwFC00izN@U608000100W200qs2FX_hYub6WeeL96A34100SDPH5Q
+hYB10W9tFPdO62i2f4v910mTKxsmiy0Fv0Q3Wi1WGyF10848000200808A00G00W04048x_S
+Y1k5000eC600MxN54400200008001020uG_S8008KvVB00mNwcgbp_DuKSUs3@aw@P0i_3mx
+@gWG000KW0mQ_9SEfDTnRmNbg000W8Cy4I3yaKhAgnvM000aa_VBlxb0000Wj@P8kxM0G90W
+00GePPL0IJ0i_@90e102axXUuC3000FT10WLzj10408p10WH_XffP383004@fA00SjkDk5YC
+02jyQm4gHzw@6hNnG@@UuA0G000000_7W1_jvExA08484jW4vy@0000qimsOoVF0006Sgc4b
+TBX0000000GtUd0480mn@DeIS301040010e@_4w5FXUbP000u_OtFy@F320W0AE7ZioD8FWA
+IAGYQpJOZV6wEFXM1a8ey70uq0a5W47gdmZxFqzF395WH9fOykk100W00400CcU201O14000
+i5F3bdRGIxC000WYT00mtuyq3O5jhnm8yFi_k1tlRG0x6G014ujK36Xt0Z0009K@000iiunJ
+8jT3W40100W1W000000140180DOR0000800W0jkR002GWBwDuyV3AXtWpUD0080qIu900001
+0040420WtmDeaY4wfWX1wJ002WG0w90010ejT3CG0180000G000WH1WMBCOrU3000110W040
+004000201G000W0woF100C000W00L0W00W0GW000000G0400bWRGI_6aOk12200s3q000300
+G028W00k2u30100900004008HP30W04G000G02G0101WuRCG0000140000I14G0100002000
+1b0GnYx60G00004000850120800G0008024I0002201e0W@yD04000080G40100401800000
+WWud13EOt0e40400040282U7nFvOB100BZHzVG0000WW00200000806fd100WGPhP00GGWmo
+VuCrSUHFX@_P0100mtuF0mD10008on@6ixf4XwxHBzCS7m91Y_W000WubD00008041WYnPOQ
+q4000nGF00e2V32PfYU1g0W82m@@CiVh1nR7omvO0080uP_4AJl200ol3ah28Y0W0Qne2UF0
+0Y0SqG5jiQ0041WnnJerzA0bB44kG2ND0340001000FhJ24100H800Y000Ye@1G000000051
+0000080082000GaHvDea06000WZ61000p0Gb_600Y0u1qD00W8UTF300W010mW000140G1me
+_s0006G40WuC@682010000mxyCKGV200e7NptW@Z2ftY4IVmWEuDOvU3G000000aP_VR00KG
+_@k1W0200002Kul1tvR0e00WtyD0Ok2m@@6GA000aG0GdgRqbW10GA0s08XR0Ounx4IbRZQn
+n000Gq9B6qbw3RzR0000AL100DvdG8B6Kj@3TwZnt@6i_@9rXN140020W00G300000WW102O
+mx4gptWpND0100000YP00000W10MWD10001TsN108W0e00100G0_oCX5_DezQIEll200253w
+Omhl600W0G0004200W8@Duk03Ezt00l60fzR00Y0WWF2vpD36hDXBqD83URcUt081200W000
+m0000o40000WG8w64004G00XULtWwnD0000dD0900000Q100_gE10003DqB1000Mb0000050
+8000Cfe10O0i02800080AmSdYvNYgJD000qYM0000OcPQ10000FyKMG2H_J200CJ70000uX@
+heaaW_P00008000O4W086890G0400WW00041000P000o0a1008304KEk102000ND0000000W
+P00000Z1W0ZSRGGzL00002100c3G000C7080000GI0ihh10Wf2o6@XUxtuiz4000G008WuOS
+3000g0000m3p0eC10W@@J00j0000GBQ1GB0008uC000000mCp0000Gh8xy000g20lM00000U
+z102Pd12600PERJkqIitF37wR0020000BBDNN1W0GWArD8AS9g3FX6xn00080080XQihud@4
+4000yul1ZwamS@C01000210m2e908P0uB06W800qxj18000WW0AC3c1NrBn4hO4@z3HeRmYv
+CKZk1N@OGmu6axV2RS@0480Ws@D0000f_00WBqDuix46JtWfrD86sAc1E41002t1ho1vI002
+00040000800G000G0000CJ_@F30W80Q_tW3IC0300mTjL4QB60002cet00004000W0068004
+40W8002011W000404G02G000X0nWW0000A4W040000G420W46G20000WW2W00GG0980000I0
+1000RiP0eD6WvhDORz40042CE13G8W0EXBXHwD00eAGwS600000008O_@6O0G0G000004000
+4G080004G010W00OYU3000WW8W0IW00W000100H80W00G0400W0800KGW020406GW04m0H42
+00WW00100G7v60W0A00010400WOuC0z10W020WM1C00X040014000020a0W2401002G000On
+5CCId1DuR0G01WwBC0G400021eLkIW824000G0I800410CeW01000H00K410XW800010W0W0
+0509e020000Y2224840142K080H008YW0X000I00000W4W1000WK0G0201X8G00000408000
+CbH002W001042G11000K010a0G0801402000802204G00WK0G002IkE1001W0GW00004W00W
+0W08000W0G0840000G12WKfl1f@pGCz900WG080002018W00G0W00G880Lkk10000W08010G
+00080000020W00G0100088ikF3G00006U0iyU58W20wwdX9kP000BmiW9qxW10000EhpWgyn
+040o00G020800jzdmHpg000WcS00GjR900H00W01004000020DjzG@@608A0uryP000O0400
+w1V6k9UZQrb00Xcm@@O0W808vu7ceFXfwJ0018ITuCyco60K0WwNpWyqyuXyA02F0KWN500W
+80W80ynMBzrx1010080400G0H_lsZsJb0000ly10WWxV00200Y0CYPlmOOV9mCH0q7O2XiN1
+00WH050e60W00A00OaP0u2@AYwt008800e00sw@100BN1km0Y0040200e00H05HYqnl14H40
+E8E1G000m000_dZ100800W1YG4Y0C6V27ON10023Y80404052ZGYcIVO873o_@1mj20@t@00
+8A000020008G4H25@@300P02oZ1a000AA20ApZXsWDu2DF6Rx1000G000I8504028000GX10
+00Y4_V0000Oa29i@630W000W04Cd73nmPW8000G100O20000K0000W000m8000008Y00000U
+spWyzn0100m@@O008000122000G020KnCM100YbIvmOY33AnMYKRP00a00Y0000020Hddmtv
+RCrk1BKQmN@9qXl1W5000G04G1q204G00000m6TIu@V30fl0qfx30024W200G00Kehu7004G
+0050e9_4AAs000a0200800000020jcUFIfpWywJ8jO904014x63Npc00002j100dLA10002e
+00Wx9Bng@L4Vh1v3Wn@@6W6000J00mnc60081ufy700020a20G00r28R10W022nkPG_@900O
+Q8Gy7020309G016000a01W5CI000010W50000uY100008080000a0000W000K300W06cSsWi
+uCeD03_@@10e0WUf00fQ6V100c9TG3_vl50FA0@@dG_P6OA00Kg00e9p000Wm9j6x100O60c
+P60ym3C300uX708pVF4000A0C061O0me26y@F300W3_eq002000c000o08y793000uDE00y@
+V20e00000P10006000e000O5W1008B0I@F10m410WO2W0m40100uN@40O00Ga1mOiQ3oYBX@
+@V000K007T40cfAU500ymC0KpFC00kt23N5080000Whs@d1@0Gaq000EgLbXfnu8z4kIl2GF
+E0Zql40G0WOkhOGv44000qli10004g_sWipDG00eGHu90042000W00G8W7_D0000do30WK_P
+8G06Q4m08W00t6z0000XSuI0080mx_600400200KUuOyT23v@@002000002HddGKxI00uxI0
+00G7x9CEj10001IEWXMtP8wrD0002000988UIcvqWKrC8Ax44210S8k1hNRm7xC0gj0u@VCQ
+4mW9lD8lE92mp00001pqRGfw94KZ14W00obd120008W000W0W4UY1LnO0000000Y0GGGG000
+eqEe13od008000220rdd0K100004m@Vd0G000G010dfp0010008G0@bR008H7200040KH9W0
+1CSM209400G000KW0y6G3oqt0000G010G00000WW02G1000224000008W80GK1W002020000
+1G000W0GW80G0010e0A00101X842O0WGW008C44004000008GuX600uh12000408010G4000
+00o410408KW000GY00W00908020G018W20OPS3Utt0G0207rPWWG000002u0020G0X8008u7
+H30G42G40000080004YhmD05021140048e00001G080W40G0W080000O14000801WO03082W
+m8400Y082014H080W0001200440G02028Wk2D0W6A00008m00100080000A8004080C00020
+00400300G000224G100G3t600060e002010Am0002G098000002a041X21W8000CGBRNHXzC
+000021008200eTwJ00W8mvd9081GewU30a085qy3s6000010G000W800000W04100WH00Qvs
+WGuC0W00004WX@@D000D0100020m0hpRGWf9G020024081A0WFln0O02800G00026001200W
+1GW0W0WG060W0402CG000GU9d100W00410YQF1410000Sq1G00S8U241000400ip16008WGc
+80y1C3000H0020041000000Y01004H49HpGl@90W0GeGV3000418WGeR434021ieW10GW4kF
+vXt@VG4d0G@z6ClV2fddGlwF4Ml1n@cmYx60I00W0H4mp_600020HGamPuX01PC004160080
+04a0HxRmJW6y@l1hAyGmU6OH0WCST682W0iuc1@iRGMvCaHj1PoR0Y0000100hfd00W4000W
+H8600YzUZqsO000aW002000GYDmp00G20HG048000gD@XQdPuG_4U0qWiyV00C2W0000002G
+000CMncXjrC02HGKzb6KiV50G00H00004I80e0I4W8100KH4WPW0K3C20HK104Z2008AWO_D
+GG00059W00900Fz@0O81WMRDOlU30W8ITxl1podmd_9WO88We0Y8H0200059RyR00GK40200
+qW8X80Me00YG600904Hb0g200@@B10G1aN_D010G04uYPW802WG40WGW4G0e010000441000
+GW00000W8014W000WG2000avoDutU3uC05000KTAV68Y20aTl1dqp0008004100040D1208W
+80G4000800000084H400000X084100mA41Ha2SzeUS6AJc100W0@@RG1yC04e08nV30088E0
+k10GA00G09000a2428GO_6agW1L@d00aI000000G4e000AzQM2dyR0HW20G2008G00YsFaQi
+neeV9_ItWyzD0Ok3Iau90BY000W0Gie6Cml10002sAFXzQJent7kxt0000W0100VYNb4wbee
+N36@@11010000GCk00G00m0n40uGz6000004u402oW8G0W00400U5_XLzD00D1Gr_CG0G308
+0208WC144HG8A1m_yrZa1C8vf4oomWcKCemU3Y28XKHJ00WKE000200G40200gfp00e00H2P
+W0W0XOpb0001G1mF000W0088mVO60G00Of@A000qq4d4PnbG4_6e4080080GK0Cauc121000
+k0qx6221xl00X8840S000YQP000aI0GA000WKYu6iZO2e70000WZC5@3W1002aB100G4H@Qm
+@@IG10tH40gg3_AV1C@0oOgf0O7T0m3B0Wfc20FF0r300sD20_@t00C0WqN00Dw8F300wU5p
+40000BP008eD6000HO00Ty@V32sc1000y0aMQ0moqu100Wbf1GCz9OKL0qegSaD5O0HSrL60
+G00006UUD600W001W102020600G2S6a5d1000C0m00000avOV3400080808nD600eR2000t1
+00k3y3S7i702mF0ovF108l1DnPmv@90K100W1O6018gRoPG000i2W0G1a504030006000H1u
+e@DyI1000LEn90_360CJ50OcE0mKbIz00Wx8wfVF0WV0qF@9I3WbH30BZ6B5W0CF30g0Y_@P
+00h600080ND0WkQ00jA004NT2Hyd0400WdxP0400GMuF000W000900G0808009ARGV@90000
+rP00m3GXitS2XLJIDe6C3U2@Tdmcx6000Gv0T3G0010W000X80mQ_60Y0000GW80GG400202
+0G00004800WGW0008240004dG010oytWhNP8Mk40G00zgk10812Y2t004022010W000004G0
+22000I00040Gf1amaH94Dk10900YEFXNuD000Y00020000IXmPGiBRy_V2WSH1UTt3801200
+80U6YXdyJOay4UM8420025kA1008000a09oR000C0000W4I01W800YW00gfQ30O80000H200
+0BQ1GWoxDeMV3wgx11G00PqdGlO60000W018mL26G00G000CGnT9qHN2le_mBp6000W8rR6s
+Zt00200pgOmOF6SUb1RvRGot60WI0000cK6_CGG00400W04m0G0100vf@08010008GXsRmHu
+6aCk1J_RWe00HG0080200Yp@140G0vvRGot6qUl1010WILt0000180114010000801W00082
+001000W82szq000010W0002000mP0Qj@400e0W002usG30G000480G0101020WQoDeRB34A0
+0004008140a2015000080G411420Y2e3O3020a48F300W0_zWXlwCOIR3QLt00W0088W2400
+00W0GW40004W0W12D0200002400400G00I100G9M00Ow@403000G00G0101002WpeDW001Gw
+w6000110G01G00A0G0040G8QNt0001000G8W0G00YW3G4020002G008WJtB11000G004Rqo0
+800W_@D0410GV1948Y1LIPmQtC00WMy423Avt02Y004W000G0220040018Ia@6qT43r_R000
+W000118OG0000200H0G00W0180WvxDuhKCUnt00010000a1G00W00OOqV6W8000G00G0000G
+41WvgPuvE300x080000400HTy60000G4H0Gp@L0HGC8_Q3UttWtwDuD1641G0aAt60G0Y00H
+6z@l1020012G0CVl10W8Y000082001040OuCL0002ms00GZ96001000820H000001YhQlHd3
+60W80834382600000020A2000Wt1I8LzG00060800OZQ6gRtWLSg00W3U6y6W008ucSF0040
+ixk1L7R08200Y0008000000Yma8W000Gmfy6a_k701W86aF1Y080nUdmcvF0000K482m4_90
+as1egT6W800iGF60018090000c0000cX8000000W000Y001000G0G44W0Y8AWy7C0A00GYzL
+0045100G445100484GH40W8X00G000W00Hs36W8G0W8010H00aflD00G0mOv60G4000003h0
+0G000n00G000Y0004Z0Y000061neyh083021W0GY214aX8H0W8020Y500Z00IO00oeY800Hc
+nK500O0220CWuU_RC3X1490100Y2054H20HWGu@682Y0W00302G40820H000YgqtWy@J00GH
+502000200200CsvtWYvn00Y40e0400nK00G80410G0Y0000010006H010008Y9000000C200
+06OU_O0I00OAy46st00G009hp0100WK5DuXw40500ifc109UeYXB1DG40F@l1f0000GK80GA
+00WG210eW20G0mf_90004wBK3Mpua4xJeWU92iN2000aY600EPtWd1tej_4G2H0040006OG4
+000WDhI004100m000G4809008AG45zV5W00CuX0000000W30Gri64n63FSRmhs6KyZ1Nup00
+Wd420W0000n01W0004G00004400eOFm00601Y02000WWO4W00001824H96E30G0080GW0008
+0a0WXomn0X010A00000W0lEPGKTFqDL2B@R0GW0WupP0O11mm@9S5i13qZ108001H0082000
+W014dk1ryb04W080200V9QGhvFKUO2vUR010O00000W090sksWHoJ00003000200020G20M@
+F1WW0040XG0000g2OB10XGgM0GWDqJuf632z@1000z20000uB000020I0000W54000X210OI
+MA100G48A09020Ey9S5PlRW0Qy00000s000@@d1EU000uWE00WHe00V8YV3MP@100k19ZV20
+q20BJ3WePfI100yOu308GE3C0WhA00NL0NfALkQ0PyF0YyX74Tc0qUC60c080O09100m8Zl4
+oat01W00LmPGxq600010400040WWSlD0G000Gx7000C100i3m4W0OE008pl46Zj2600e4mCW
+GmO0e0c000O00002000O020m0W1e30306WEW68T0DGW200FxZ100u36000Gu7O0ukH0Gt1u_
+E30000u800O_V60G40000410g00000000Gr000OmE000000GBj0W7E0UFan440m2C0WQkvl4
+00W7YKm0mZ@1U0_PEht0C1002831000WY0a2YW85415C1GA81RhpmaORSVg1fyRGh86qyl1d
+mbG6M6G00000WUC000008a0ldXH9SaK8V508000000j0k1t9d0020XGtO8yR300000W009yR
+6o3m00000O00WW0000EOG8G0CgWsWstCuAy4W000aGk12W14cszXq0Iuty4_Gs00500nRdW0
+40WopPOyO3QtV300e00008mY0148G2H3mGk_60001wxE323NYwmVuBfA24lYmrP8ez40O00y
+@V2F0Q0010WiSC000VRU3dKVU2PkR0028WAqJW010GBw6KMk1Jq@mjt6yJV5T5oGaz9ajc1t
+op0854WixJ0G400800G0G10heR00G0X@xD0800Gzz9iXV2W0080002sCk1W0G0H0WW000GPv
+AFQAFXftD01000000eY_V010000420000GNpa00008K200A00040000W00vUX70G00202201
+0002A8G12000a00CG008W01WG40W00W004O12002D00W0402G008W208000m02CW0wZ@XiqD
+evk4EjsW7_JeuQ6Mvs00A002G00sXc1008z0004Ait020Z00020080220088LU300m001020
+00WW0W140008G0W000040O028qH30J000WG20000100400C02llRmmz9qx06Vz@0010W3HPO
+hQ3QzoWUHJ0KY0Ga@6KtC30001e0000W0A8eU30404W010ehT3000200800040080W028WW0
+G001100W01140K0420055G01lzUImqOaEj40008SF004Be4FfRGZr64It3I400A1CX7iPOs@
+4MAmc7rUeJV3klq00CxpxX8ncO6Kjl1lCc0000eOsC000Ga010WH6JeZD36wtWb6J8H1R001
+000020001mby9izl1WW30oYqWH0I8OR300E0SwV2HhdGUd6CKE30008MTCXvwh8KXV000800
+0WpU00mJwR00082W000E00W5FPOcO3YqFXitD0102Gs3sKww3XQcmPw9008QeRn4YHE1000H
+0402@vt00G40000ME_t04WW0dzR010000001410000001G00082WIAgIac1C5KQ0000Wm7JO
+5I340000OP1udU6W0010000I400000280K4000W00040808We9U3000o0WH0004118080003
+03wdGHW6GW10uZYPMvt0G150pnP04100082KY007000I1A042G000000E5050G0000200000
+410080e080G200020p000000W85080004I80G2ff0O001000HA0840004G0W4008A030G20n
+C0SC503g@kYdpzedU3000Q200W200K0000A000OFbQ00m020G4000iYkuFXIzDuAH3_@t0G4
+00C40003C08e0000G0000W00G44200H000G0202wDV3000Grdl1f0OmoXOKlF6000y0E0001
+G450X84A2W0080GWG4301U08Y2L48Y00G6mHW000WCGH0WO01Y80081000030W800pmR0K00
+1G04026W003eW0004WX43400G0008Q0AYW001620G0001G424201W60eG010G01A0Y00804W
+08YWMpFPYz7002a08480514081e042400WA0400001G402W88000IiX00ZIQ0800WvpD0W04
+10000002W000800G8020200Y00IW800010A100W042000W001Y0K4W0GG000G0219000200Z
+0G0W20052G20fj0Jc@60M404GG62O10H00P8000410W0101WLB0I48R1000s_W40400bGi_l
+10G08L2040G6W5802003100m80048084zt0GY0qBm21410m01C0G000O10G01O8W504J1W10
+00GGC40AIHee_V0Sv0G1MOGpC0000KGgv9W00KXA10008kAL1m@_JLL510@B20XN40_d8m3F
+m3uV0u@@0FyHWPcZeRQIkud100C10002m4G989WI4m0b8W98H0J0J0cWa0q1C1e4t3G92E00
+0Eq20Y0c0000041820003GKku60808409Ii2OYS7a5GEmFWauU09Ho1IY4NW49k0SHS1o2i2
+m5O9ABmI4nWbOA0C0i4Z288IygGmum3000gCZP4yV08egcHmCbXW@1WPEq1LLLA06GGL100W
+36L1yF00000GLpb10000Uu1000000eA20004KLLKbgguX7Em@08ePcHG7_WWoC31z70FGLLg
+IL7yVA06O61LbA2gALcHre0CWWCpC0F4Wj7M200O000000gB01AnJIFgiYq9VZRGcRC000W2
+c84m6mC0W00ukR9sAFXivC0000I7T6q1G2D44II1gSql400Um6BMYbrhO8v4sYYX_jPuHtAA
+l2cHPOeyk40yC2qyD33BNnwx64AE3zrpmCgdiAO5bJ@GjpOafP2jflniIXW000002Gmx8mqx
+@9JUYnX_LCcV2rvdmOOI46sFZ@N1lx7W85cfC_46St0G800000180W04n59HJpmYu6iVl700
+0GKD00iJz9hrRmPbpS0nLr3eNw1q7zm@FNyVp7@lSo@9l4_rz60e50eH0X2nv@FW_l3f@tWw
+@CiQKE400S0XJ0000mX30000vW0E008ScJA0K45W4u400sRkYjsJeIO3I5eby1IurN6s3x@y
+q_@Ek@hpx@v0@FEnw000noU00uinF10Sr@Kx9vJkdTt5WMypPKV9EsNesph0E00mE@ma5y6V
+Bitoe_1io1Oa2HZOz@2sH2Og0mJ6jyVRTU000kyWao2KS9u@JI_@Ze@l8x@72@@Wq@@7_@@@
+V30600T0uG0o_j0M52a0GG4G000001H000008G40000200YE5IE1000ZJ0w0mX@@@@@@@@@@
+@@@@@@@@@@@@2_@@@@@@@@@@@@@@@@Vr@@@@@@@@@@@@@@@@@@@@Z7@@tr@Fc@X10OT_@F9z
+Zw7uw6WAL9v@@@Jd@@pz@@@@@@@@@@@@@@@@@@@@@@@@@@@@xVF4000jyZ400wsX@f2004m@
+@B1gx1Ou@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fjjsg0K00msRrz@F
+60010YXJ2030095qu@@t2i90u@@J0000_@@@PFy@@@@@@@@Lt@@q@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@dF@@tx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VLy@Fb
+@@3t@Vm@@VU@@b@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@VB0008_@V9000K_600IAWq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@M00W0y@@I000WC000y@@y000G_@t9GD40@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Mz@dr@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@7l@Vnz@Fy@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@FX0020_@l800Cq95Gw@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@F
+S@@1@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tEG00W60Cu@@40010y@FF250
+000000002u@@So3W48000@@d30WKz614AuW700W0q0W1@@Z40N0W@@@40001L00W@@@1008m
+05Iy@Fy0V90_ymf@@Z2000lm00Wi7Aw@@@@@@@@@@@@@@@@t600Wx@@BqhH6CDZM95KKZG6S
+d4F@@@@@@c1yf0Oi7gIpoW@7AAGgMGUu1XKW2255f5K2mB292H0p02u11aQwi8000X12FY65
+0400W03001dttp3P_840WyX@@F10WN000g020a@008uL9G00020u0W1001008200G000Wy@0
+09208000G00I844H000000G4100000GYG_@N8WpF05794060W@@D00CQo@@@@@@@@@FfS800
+u0000000W300000o11S00Gu4W@@v10Gmq@@@@@@@@@39Gd6W@@J0400m@@@@@@C0G6Hz0000
+08W_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@rg@Fjx@FB@@os@Vi_@3x@@@@@@@@
+@@@@@5w@FX@@@@@@cs@Vf_@Jw@@@@@Vl_@px@@@@@@7@@@@@@W@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@l2W_20@@p0400W@@52010m@@I0020eP0Io3e2v100v3mpS1p00048
+uWM00ur@@F3z1iHI1gqn0I@@d0e61W@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Zt@l
+u_@7_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@F10
+10m@@L0100eG0O0Gt0q70F14iHI1L0004ev0O000GeJ00eFWS288d@@b6aq1Gf4my@F3000W
+_@7C000ea100_@F10080F0SGr5vy@FL0XM0w0WX@@n0100mW0Oy@@Oa3002S0Zi78v@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n0000R500eij7IkIY@@NwjB6QfQZ@@P00W7p@@i100W
+OZCOIAGki7_f_k420Je2nE9rjDgSZ1004sFbj1008Wp_aO2k70I00y@@6@jzmq5di_s30_10
+s5Wat1guM3O6QJbIyO0000fL10WmtsO4E9oZBX6vC8OlAQtBX@zy8mFCMxx1001lt_jHXaLq
+_t3znz@@@L00H0O1W2_@@@@@n6eV0mogF0W808f0Et4Ih@@@@@@@@@@@@@@@@VC004uCHj47
+a09eV1W@@J000y70000FyFWE400_@@@@mX10mophRvq2W5@@toVTa0000Xi00m@@V200T_@@
+Mw1iY85yePXJIQG200041pR0em2WusVeYx4wAC700W0W0000400i9_30280w5t00G00008Wg
+6t0000IhZR0Y04ed0I0010000200080000W000GzlT202000000znD302800000j6W1001aQ
+7mW5pD00010W01004000200s8mWp1C0080400000408vZR0010W8vO0W00nq_90280y@V30W
+W00001000W8W14WroD0W000100WI3D00020006nGpJ000G00040G0045ddmp0641W18WG0Q7
+mWupD0W0088000200WJeR0010mGqD0102m6bBbvl1W6E0odeb3n@lGz@7a@@0z@@@@@@@@@@
+@@@@@@@@@@Mu_@@VC000GXD00eKvYQXxA0000006T@@NKWPD0hkLK8tUyDV500G000400000
+zYUF000WhO00OdEU2UFXPnP0214IKz902002010G3y60000I0W00W00084009nP00004W000
+0080000810000005o706CbD300kR500WKWF3JmPm6_9iYl1001004000800200X040000042
+020WMYp0W00802e00400qHV20002G05GigV200G8G004y@l100G2ITp04004NsR00W020010
+04000WW000000WK0noz64TV204000P144VV2000033m00210Zfd08000000W8000x1m00I01
+00000810M2d1040C00402G104040mHxI4mE6J_b00040000mny7Yx10Wnl@Fyy@@U@@kx@Vx
+@@Fz@@@@@lH@@@@@@rjLykNCO200S1UQDObG7r9KyE900KW34GY@@X9F0I0010Kr537JRmoq
+Fy@F60r90IAugPwEvfPIWA00y@VKlyXHR2Iqtm6fiR00W0000XvB2Wn4yLiCk1HWRGK3OSIl
+100800040D0F300OGU@E14100B2amdt600WW8Cy4EXu1mF60XXp0W20W@@J0002qBv688W00
+G0Wm8v6yIk100WW00G0KFk1009023tW_mDGG08mHx908018uE605C0y@l1XVR001000W0W00
+08_St0G02WlYdmnw900800000jJ00WttJ00W0uJUC0O010000100GGG0040W0010W00W00CA
+030WW00GG0W000001004200@XR0100m3wC83ZGAwG50080006nQ1@@@@VW80000W0nMyX100
+4dH00WWr73004HP@v0080f0UpsQXawxn0qM2mJzc1H00G400GM1p000WMb00Gc_ZbjQ2fFC3
+00skk@7R_J3000Gz@lD0s70QKWjSciXN00m@@Z1010iQeS00mL@@lPWWW0_@F70d80@@F6o0
+0WZYp1000XR00W@@730L1m@@Y24100G401008e@@d1qf2m@@c100FaA0000UuhCSc1Q00G0E
+DMvS2bEd00WtqxkJONg4_@leKcJO6S662N284009k52uM4WXnV01000210WFfn8GEC0020qu
+i1fIRGI1FKCT23X@000GWNpV0000KITF0000Nu00GKr600102G000008WPBC8MECIk332000
+0G000801KbKK00ME@@FAG400rSd0080WAza0084mAK9alk40020wUtWFlJ00c3m@@90W00w@
+V600e049O89_zGcy640k1DcR00040WG00r@z0000ebpJ0G00H2u9C7k18400w6t01000000W
+000WBQ00u@@40GG0CAU2G200_@d1104020eW008010400G0040020X000020W0G0000W0PtW
+700424Lk10001000maDW1dfpmdx9000WW0W0GCS6Sqk100W0wUt00041hjR000@a@@P0f00m
+Cu6SCk1tmd000W0G001WGG008W0W00W0002001W2000W00K080000284u_T60O00Cmb70804
+0000@e8600O0EMy1G090vMYnsuXa2cGDdHIePfrr@60004Nst304K2nhFsJFL000Yuk@Dg@d
+1WG80xm@@bcU0H01e4GI00uTbi@O00W0p_VZq721860m@@frsJ2BnnGMSX0000MO00mt3xz@
+F900wW_@FjdUD8Ou4G00YSRt90mT0_Fcj4aPePu4YHE4U300XjCcI0000W004000gyD100B0
+x0U20WIz@@@FRu7C00000P0u@@400G400W80H00m1sU0000PkY4_@@D0S7WoK50K50py@V80
+00K_@FA0012jPl1W00W1k9v1R3c7s0Wh101pbJTvO00200085GFu9yyC3vHPGTS9qw23bYR0
+080XsrI0010W08GWmjIu5T3sHtW@ZPG004H9vI01008eS340004iS2L0OG3u6W000W010Grw
+L0W04O9S6wO@10W00GW00wFd1CW4000MYpCzX6tVezd4wNX100200010YKtWFiJ0800080Wm
+9gD0040uw2XKmt3D0AHIV90aX0uI79ALNbPmDu7S6gmE1W004G00002G1y@l100G8AXt0200
+8bYd0400mzrV0WG00804WkxD0W0020001000qo000k3t0000800H0000YO0000028200W0W0
+0G00010Y0000G01080uEs6000120W080090W0m0G08G00G020K01200m1t6G00GG004W000W
+LpJ00041408WH2D8Q@700800a00OAU304G000400201mf4F8GW0000iZ000W306G0000G20G
+000001400GG1000218W0m8Y60G8000X0000C0H02W0Ca2000080480G000000A0020010240
+00140104000080010090W01IGm0G000004GW01000200040nNR6ioE30418G10000G00W001
+010020010408G040yyM2000XW8000qN40000000W2000W80480000I6200X200044G220081
+01kUt0K00000010100Wm20080G4920G0000082G010G12040002n6y6CrX1pvdGy@6aGd1VA
+cmvv6SaB6zROGv@6SCl1000mq400Kbk1000W6zt080000G0YMet000010X4W000W0040b009
+0G01WOBD000X0W0G1W0080000WG8W0100en838008aN@39LB400pjqYzeeT3020mCoU20800
+00H0KO@6PWmmhHaiyV82F00_@F4K0009_dGso982108LfDkf4fS_DW@00GFrRKtl1nXA1020
+000W1loR600BZEo31004mkfC04008hIF020HS@I2000G6NpZiz91a60GYsXG0190082qEv90
+H0000068I0003200LwQcz10WItt0G000X00811210H00se@11000m000_@tZYmjv@@700OXz
+@V80006@@l200G81XJIrZRyx@9WxB0QcCA0000G040kwDXa2W1000H5VC000Wm200GRVd4f_
+9W80000GC04Y0e@@4YC7cMTV00GiLXnUCoo39NvHk_L0W1G2800Gv3ga0g4WtU0_@F40G32J
+YM1c00WPvDp000m@@O000oaA00W9kZ80E@00SI0E9S6400008906XjbF3P00800004000GQT
+Lx10080G000m000knEXI4D8GW4_@l2005KB0KGW@5100au@VOyX100WKsL40MZQ0CrnhnuKR
+6kICaWkVuhx4w7E1GV80jR@Ge9dqB66BIPGPu600040010040010800dXR000WepmV000G00
+00XHnD0084Gpu6000G02000020180G0000Gm3014C_3rZB100082002PZdGCS9q7U51cdGgv
+68008eBS3k2tWwqa00C00040WHnDW010G5w6CCk12W00002000O2C8z40011CSg712mGI1O4
+50353O000GWmrPexuGUFtWtgC81T302m0CD@3000GMYJ20Y00@@V204010G00014008G0908
+0Omi40A0WC3V24000cPB1W0000048402000002G02GAQ6aOl1VjR0000Kk100000Gs7t0W04
+0Ng@0980000g20000008e200042200006000200G008100G00GePA3000eSuj1lwR0002040
+842800180001080040001004G00vpR060040GW00010YkB10WGG000a0080SLW15sRmwz68Y
+0000Whf028agpD8nR30G800002H030002a0W000W00i0000008W001KW004024204G000GC8
+SAa120001G0098G0u0S60002020G0G000W01600810010W00010G1u@V3000Aigc1A000004
+00GG0000049u000G1006418000W003Oz_48004X0000il801e0801044000EKp0K0000G218
+000W81W000AILO604W0G9AG0O080K0GC2000GX0200800508W002040XCW000028W00W000W
+00048WLdC0m2HG@@6KXl108WWUs8XkFCe8V6k@tWN@J0W40Go_COJ00OOm7__FX8wD080F00
+000004WJRO000000048t_RGl@60000vkV6080414080H4G4o0000082zoR002W0604000000
+4086pM5ryl100Nro@DO5@40W8o4LC3000GW0A0axF6G400QOoWfxP00002081800G000Y800
+0800Y020000001Y@@JO2DO4qf0iRV200P0IlqW@@Puw230WG0L5o6@S_0G0m000W4000pG40
+000W010002001eY@D004GKHspOPG00020vzz9Cxl1BnR000G0W000Z_R0840WV_g0006GRwF
+00G0W00040W0YIdofy_700WaX400ebV3AQ@1000115RGILRaYQ219a00001GeQCG8eemJKJX
+8W41025000GG0108001440YGK_l1PKeo@@60oZ94000b000qOCC0002uQsFW0G00G02KcMO0
+100I8010I0002820z@R0005000W0e000000H820010W22H220H00YWW801G000W0YW8000X0
+0W1fJ8o@G000WoIG01040504000010Y0000mGYG6408tR38004G8000149WX000O140@@B10
+81O2G001sombj9G41008004G0G020W27vRmc@94f0C00GYFds000500W80IWhYOum8HLCgBt
+0W0G00W010080000W000CoEaOKyF9WZI0sjie@@P000a0WW8100GG9UOmtw6K5B6vrH290W0
+G001NZR0010WRqn8tDOs6MYPuP8f8L000000ma12W00080001000004M2sWrUb8oFFsy@110
+00OW10wLF1000J57@00e1WP@R1u13200008520JeRGW0L00Q0gkSCw6G2041WKG00fwA5epC
+00000000i600e69kbWC0007CpC0yX70uX0ix@9000eKC000000q@@00LLvF@1GLg2m@10W@z
+@l100WHDo@@PYPU0WrKJCSL0W20acQ0eCLvL00mCg200YgF1100W4030906080C08iD3E0O0
+0080G0W0W0010200eNnM00meNwe120004GM0a073N_Q0808WK1yeEE3wWF100G10yH40ug1u
+c@0mD5eY@10GLL5WKD00r000Q40Y200Sqf@p0gn0000000@100000_7WV2xt0@300xw7Lq_9
+iiV20000l3d4000qnh7LkR60W00G080GqR6002GG00000G0000G20W0000010060euD32__X
+7cD0008m9t6KZk100MoEbs00000I004IycXb_JOwj72dNYZFVeaS30008G000eDS3mW004Hk
+10e00Y@kY6mJONG3Q1@1Gtd0nR7oEwFqnY7HSPGTw6ygU2Pnd008014000200600008000gc
+OCUGqW2WImk00Ghy60020OxP3guCXSJVObUC_Sz1W00404W00501yxF3K005e0200WW0XGAe
+W030004W2004W0028080G2G01a002WUWDWA00I_u9G408404G0W02000xA0G00U8t048m041
+0G0080080013000W08ePNC8DE30W0a0408fiS3eG00CZM2Xs@00004441W60000L00802005
+0G20000420G02A00400k9130g00_@F11100O200K000y_i1FuRW0080GG0002000qb10Y0O0
+004A0YG02010Y8W00G000aG0G0410820016G1002411002242ONV3WG00009W00W0W001aF7
+C0020nw@CG001W8WA0406040W0000W0040000210G0XW08G00o800400G03y@l182W000G08
+4G01818A00W600W00008w3tWP3D00204101O0000EG00003008A00G34WG0X040004048GW0
+400G0m8WG42G00X04G404G8212G4G0W000220101520W0010142qGl400W0042G0040000ZW
+000X0uC0000W10GYwsCW03C001000Wm000g0kdd105000800C400DyV242011W08000000ml
+704I0W00001010W02G0W0Y0010000O100Yf_RmQw6aJV20X00k8qWv2P0800OOkR008000G0
+002G001404X8Wwjt0100800040002W0010009OJ_U0sH0ukV360cX8mb00WXI@y9y4N20G04
+M_tWspIO_@4gcqWzxC0400GpL6010GevC3001000083008G9x60201uWu4kpb14000e00800
+0HI808ex@4G0018260050000W0Yr5DG01000G4W_YzeaN9E6tWHxD0110084000G0G0800G0
+0800E0001I40WX000002180402G00068rt70W080H10OJ_4004ij_b1pzRmV@6y0X18040GI
+000000008240G4WdoP0022Glw60W40uj136_d10W0G002008060000yv130100Wa00SNV300
+0C008G210X0024400040K006Vl2000GNrRW0000Wv2H08800G00XW00000Cq4y600X0G0W10
+02204000000Y001Y0004PbJ30G4Gqul1CW808000yQS28Y00kXd1G1012800H0Y080420G06
+4802250C204X1CCK4emX612b011G0022808IZ0L0008K80eZU600020W0800004210041010
+00uLAH4W0200X1G020004Km04f0GG500Y0G8XW10020002G004fAYGGKYWc80ucS30080000
+8Y80G8I82020IH00W080G000049hR602080041W48000G02W0G4KWW20m00I08K0WW004G48
+008e0OeG8Yo0e8610840500W0i000byd000W0000H82000Y00W00000W000WW00008020K02
+801000C3U3001X00001043YG60W1vD00000H4Heh5D0021mxA60W00010IIa064_V2000100
+0820I0W00410a20280800YG0W00204W0042mR@6q_S2VYN10100084Y000G8bK08Y8000000
+eSLA0OI40W0085a41n40WKI1W8200085004I0120Irik140G00020qHY1vcdW41000000K40
+0kXN20K00220G00O004Y018200H32AW00040GYgmF1A000G600ATT3OK000G0G0YWW1C0W20
+00960100160020G4I850I0018026i00AW0G6210f00808X2A0000200818200PGQ0G000009
+0JbpGwT64@V2O02I000030200m0W00000e00122O80700iXl10W10gvN582G1MW00000Gm10
+0504W220000G0XG401G12688010ZWX8005W9GDG20040u0XyvE1004004W8W20008A400200
+0q01000080WWyrD8wK3_@t0m000Rhmm@@90W40000G400G0GaA8400G89000WK0001I420K8
+WGe008000120G4000a8121W001200WW00a200ax93G440s3zXInV0045000a0E0G400G0020
+HWe00080u0YW000YW0Bf@0800Xwcn0W0000082q70W03mN000mQRw30Y03eN082828W03W8G
+V003C0V1z1W80Hy50410040m004416000120WQ0000m009gRG0T6000eP8Q9yGqPAZeLbAFa
+fC_700CpCYkgg4zV@3QLLH00000WG819eG2XmW52X132116181eC08800m1nidmsR60W@D00
+00W_WdP1WOtY050004Tuv8mWVHm5k065zXuky3ud51mpB2CsL4uPc8uYB8QbLYDs3m0@GW1Y
+0WXF0e3F0GPcn3qNWPCp1re60VGL0gAH0y550u7CuE0YXB1000e24q10083G7G7WCWE0T0D0
+w0QW05W01w012m0G0W1Wn1030m0m0W128034G148WrnPGFZF0233000S0@030x1MYC7000er
+W9HpFGYcV0@C@0x1s1y3i4j7O9oSmIav7W8pFmFYEmS090T020Gy@l1200HC040a573nm910
+44G4W4000WW000000a21X24225a04A8822GG4K800W4WaG90912XG221X44aW08189400GG2
+2m@@I0WC0002Kbg4CJ000K6Q61bP62wF0cHcPyW70u1F8u3UGm@yWWPA30LbAFSW3OcP0m81
+9mJr1_6CXV800G00087lSV8Dr3px@6KvF380000008a5S20010kvs00041b@RmCp6iWE6WKH
+000008W0GehA3EINYKmhu9S60G01yZh4@hpm6z6002Gu3T600I0ydj1xiRG9TF4lz3XZQGLu
+RizV8nc@G6yXy@F3DWdGZxCC1C3008000W1Msl140004001quZ1He6I9zFyG830000G0W44a
+k1080GoVt000018GW00000GGX4000A021GWL0C0G00414002080ZbR020200800028G6zF10
+G00G2000f000020000010800003K40208G40W20000080X4K00G008W608000000589U3_Ft
+001003wR00008030279_00086GGI0020Gwcs00C20G06W02880e000G00100202041pJRGsu
+6W0W0000400402a4000100cDmWi_J0000cL00W6iD8zz44000W002e103000G0W20G024JAl
+6OW0AW00G020G05G000800C000qTj140080g400010W408m4s6O2008uE30004O0040K2800
+40048004080IZF12G2001290W0Oagk12G4aH00I00G06000H9@600040001000I_COJ00101
+840G08mW0Gm00W00G0064028008I230W00W2041000004W804002800Wo020008005000G08
+WW00001002CG200W00e0W00W00I09000C080000W01W0000W000800X0300800KK000WI0m0
+auYT3AttW1MVugU3IvF11000WT30A_91004GFzd00400Y0W0HlR010800Xe07@R0b00WCCVu
+qO3wsdX_hP0400IZ6Xydl17iPmfi600020002m5y600006r00GWa6yJS20042W800KFh128Y
+8002000O84000014K00W000004080Y00p0Ocf4_9@108m01hR00G4oKfDewQ60020qPX1ttR
+0K00800206GG00K00SUP500G00Gq1d_F38m00800010O4flV3Yut00Gq0G8GG040800W088S
+3gkt0P2009uRG2jIaaT2nqoGf26KCk1WW00cqtW7oh040000W0WBtJ0KG3mgULW80009H00G
+00WCtD006YmsT600000044H2B680000O00m3B6qgL27_R0a00WOwJubU300020G04wi_40G0
+06AI20000QQoWfzC0020mLw9qJl1O200QTdXpxJ000100GaWriJ00YW80001M04oG000wWt0
+000108I00002000Y8KT60002205G200804G4aXhJev130020W4000001008C04480000048G
+01G01410WWW800400MW0004000A0800100n__9C3O2dXO024000008zbP0W0608oG0000410
+88A0060g8A806W4H1460016G2000H20mC30041W80GW8ZXn000G08W00DjQ00W0008102100
+w7q00050W100E@C100m000000102000G8sN3ExtWl_D0200a000WW_C0yN1m3z6084100000
+4101G00GGcK1X800OW800K0Y845X02G4e65610GGy0a84m40001WA2L1W80400020Y0O0gG8
+0K4H0004410Vip0080A0004000e050210000K0O20000085aWa180WKI1W2H40W8500WK000
+0a208XO44IAfGG4a2KKS6000WwcT305014ul1S3W0A7d1G1203sd0210Wl@J00X004100W10
+0fJ_0000o3rV00WG8000A02WcptR00m000G40008210K00aG2HG010G010WK00a2400G0000
+0010XK0m004W04OvZpmAj64Xk1004kc8dXb_bezj4QXpWXzV8b63U2w180GWWG000W0G0G30
+2WIW08018a1004BI2160W065320000ZW0080X0408W01001200604W000G10H0200O0Agc14
+8008G0000000yI000010000200408G08008043W1f0OmU@94jl10W1201000000IW000000e
+XzD0204uY8FG40I44000e00Wv@DG2G0W0H000GY0W0W01008H0G0G1Ga00e4jiuC04G000I0
+0WW00xQQGDII00001i200040001080G00000WG1000000410002C2HpkR00000044WftQ080
+2WzmbOQu702000022100W80048000i00GW0O8mA2410Wj0W0G4mOtC00WXeNeF0828WPlb0w
+31G01uBW50410WXa7W1F_P0210WujJ8zg4wBt0W0W4Ncd000W6000J000QAKtWTLD8vK300C
+0KXy300W@5XPc920@30LL5cP_F0yV4Ocv80OLGeggYqX7Kb@0O6panCc9w@1GqP2WeFq1HVe
+3_FJPyF0ouV04D0@3wC1u@@40007u@@3GLL5j310001vCY_m34zV04Qc1UuXezm3HxlPYMcP
+0jCpO6Pg2pO6ZVm0WpR00@W80wE40y5eS700uXgS0000068206250mC30Ou1W100m0H0qwS2
+0CW40S1O0u2o2O5m5mICBWb8m0FHWLGYWx0k0t1x1k1y3S9A3eG4Kmf0u7GJmFWVWVWz0l0_
+1k6M38D1CGQ0O2s0m4m4WB89050N0J380A000000ZyW2mCu60m0W0e1G5G102W2000500500
+0A0C0K0O0GCv9a2W1m000W101KUi10400INnW0aPm70g204yF04HcouX7aHLLHmggYWPE31@
+302Uu1cvF0yF00uV044ym3e@OMGCpaW2FiPaXPpWPf6sm2EiX5KQPAOu2JmCOMcCZfIB503F
+SSv600erFHz4O00000OWF7P3006H0W0o0Cx821aH0T71Wgl00fFL0Wm20WX70100W14T00HA
+O0000000WeK10000KmCXS5rlXH9SRKgk1bMp0ul6WXbV00G0me_644tCNPZnXsIKKR5xQB10
+0W0000KM700MjL20008D24IrQgyyT5j3bmnbX008iSTWPoUxaaYn8BEI0m00iwV20LT00000
+00G2OyR604000G00eNWMULtW5lI8AM30110yhk10400000024108nK380000804W0000G02W
+FnDOMT3AEt00021nid000Y0000OQ308UCt000W03KPG6w64Tk11vRGmnFiEl1Hj@0500WoPP
+OFV3800WiAV20804sct01000prdmo_6KQk1dqdG70C00G0000lyDz9Cjk140WGwYtW5sD040
+4nUz9KYl100082Un012000040000KqAl44G0G800000068lT32Tt0402Wzkd0081WF2Om4G0
+mO_9000X9G63Iqt0I000yHE00100CEj10840800100YHeKV3obtW70C8EU3oZtWLzD000KoV
+y6K2U2lypmky9az0351PGs36K_W1dulnHz9000G4L00mOrR00W0eKV94400S4W7rY42000eW
+iV8ZaD00uOt_F6T@NHCasqgj1poAnAZLC3l1nXp0ur5WrpnuiU3wOOZAEP8fqGI7@X6C99tZ
+4W900KpI8f2@mq1FKsc4Rdpm5CmK7k400cSR9bXj@DelbSIjFXuzDOET3_JF110007Va00W0
+WS_JezV34W00SwE6WYK0gJzX__IG050G62FqKj1v3ymb@9000GX000Gx4OazG51i_GOg9CxV
+2DwP0A00W3Gg8Dw7IE_XmKO88X4Av_1004G4100_0rWvvJO7K3_SB10020000XG800i6c1H1
+K10WTW09yWf20000002W80feOW4I0000W9K400000402G08@@400Z00000004Wv3_6Cal18W
+00Q0mWK0UOSQ68000006I0H000004me0a0a00000000p509@d0WWa800004300kzsW4oD0Z0
+00000M6000@@d000Y041000Gia_@d12KAH00008c00aGCLh2KLL1VUea17_PG709a2G2tTRW
+0G000G0820000u10y5l100a0W00045G2L2m0000KZ0G0f5p0G00000O012amSJ90m3095W7W
+000c205j1amyq81000Lp00000004090z9om@@6WmG0uN22FSq008aWX_p0X00W306WG00GEC
+vK5j194dGA0F450A@DR0000860000G02XCG0000WG4000000AHG8Ia844f0X81000W0G5HW0
+U4IG57eQG306qlF39XBHK0Ra7G200u0UjdXtNU8GWG290ZmlCezB3I1uX5BV8A0Co3W1Gd50
+5FQGg064yF312GI81I00030IG1e0G0281b2a0180O0AG20385060040A0008506Y2eYy0I00
+00hz1C08150VqR00G0006W6f2O0i0C0801IWI3924OZe1g0Sa0008n1E9700000uaSmX4000
+9E0NA090000WSmH2H8041279000IuWpp5506v1O0IS0000xAWZ40000000v01H000d18G400
+0f2OGW06000SsSmHIA0K4506Wa804a890000GG220000I49804918J1WYe0E10006oWa8044
+8u4000GGS00000a4vPg068FHI0000WWy4We1Wf3d@t0w@Ca_@2g@hmw@9mykfkAoizaUfnuE
+jekejY_8F1u23mbRcb7R27DYHScRaag1dpzVUbO4vy3XM_G7_C0O70ujl@7Cbagg3100dN@X
+@@wF30WW0Ekd10W000200glFXtxDuARCOF0049v@JqYnsq6a205FjB10W8WEE@VZq@pOz@BQ
+@lYt@d8_@auR1006G70Ea205rEx7008G402H02000084r1W1000WI1WY1gt300a3d000Wn90
+T0m0EpnfK0ae9x@N2@@aq@@8_@Bo@VG000@@R3000Q6300bA@@eqks4F3PF03300W_i@VFy@
+pJ@@xu@lE@@@@@@@@@@@@@@@@@@@@Frz@Fj@@I@ZTSqJ02X0aktd000OGC00y@@@3_@Vy_@@
+b@@@@@@@@@@@@@@@@@@@@Fo_@Xq@@7@@@@@@@@@@@@@@@@@bw@@@@@di@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@3J000u@VR000GpC00u@@w000Wy@@9006cw2@J00000XP0oUu@@@@@@@@xo
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@lQ0080@@d3eD0W@@D3G00m@@s0000cX00m@@@@@@@@@@@@@@NV_@pl@Vyz@@_@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@VS@@5@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@h5000m@@p10GRm@
+@c10W0u@@S0iX0y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@5t@@m@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3000y@@S00q6y@lP0040_@F70R40@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@7e000W7l3Xu00GI1a_@@@@@720G0W@@n0G00m@@60GN1eo_F1000R
+100u@@tgi@a85I00mTSi7@@t@@@@@@@@@@@lw00026Ivae0O00001100WZPUxweGY2uXa2Ux
+@@@7xfkPVI00mTm@@HXym32f054AAIBe4WN4I4Y0c14m328rqPH000234U4DA0800016002E
+FAWJSE000Y00u@Vd00u500WAW00vF002UL2400W00E0O00G000Y00040008@F0GY00200040
+0uH1SII1K10000008m@@L0W10u@V300Zc450A00000M10y@@@@@@@@@@@@@@@@@@@@63Al1u
+@@40100aFOQ@@dC0aHKF000002e@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@p
+o@@tp@lzz@Nl@@q@@@@@@@@@@@@@Fq_@@y@@sp@Vzz@Jl@@p@@@@@@@@@@@@@@@@@BU@@Xx@
+Fu@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lQ0004@@p3WT6W@@@@@@L100Wy@FFWs90
+_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fq_@@y@@Yx@Vu@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VN1008y@@600cK_@lE0G00@@h2el5W@@hJ000
+m@@CG000u@VC000WpJ00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FxjL@@l5
+GZ20@@pVsRRy@VB00Oq_@@@@@J0000IoUX4it64500_HSC000W00G00000_@@C000T_@tCW0
+40@@d38W6WpCC3000K204azmC00U4@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@jgau@V
+R0Sm0y@@@HAy@@@y00W00G00000100100008W000W08W0OpC3k1mWA0Cu@VL000GQ300u@Vd
+Mgp0G00W000008400080C403M1mW@wC8nkM00aR7O@@@z@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@7nkh3YNn@@Om500u@Vg040005000G00o4t608002001K8t64KGB000000Knai
+FL0000100I0000yFH301W020010X02OgY94fGB0wJ06i@@0@@@Yz@he@@9_@@@@@@@@@@@@@
+@@@@@@@@@@@@@@VvUo2kDO5_D0008yq63@@N100uymmfQe03Q2t0002G2200E3tWmmJ0G04m
+@@g0Yb1OI@ekqt0G08001K000000605C9y40100K7k1TxzGvUR000WXp00Gp_x10028v@G00
+8Y@@@@dk@Vfz@Fw@@@@@@@@@@@@@@@@@@@@@@VW0010wuYX@@b00GSm@@l18008FCC00W0y@
+V50vB0_@djEkC8uC62msW4iD8@wA000m0J00u@@v7UZXjtC8zD30480K1M5xXd0040WE0O8B
+k40Tl0y@lJ00X04G00C@c1VqbWG0A001080244ECB1001008000001q0W1@@l1000Iy300@@
+x418W420000401000002001000000G2WA0W00O8011000000040Gyw6G00000400H0000G20
+jA8HFx900GhD1YwwZda@@J0uq3m@@@dw_@ds@Vf@@@@@@@@@@@@@@@@@@@@VFlo1W000404m
+@@g0E308tPFYfJeNrC002Gm@@90200W010m@@d000WMq00GaUfj2T2f7Jo@@900GJz@@A6zo
+W@@5Q7C3gBpWseJ00200G2GW@@L10_1m@@EDOj1V_PGcr600010WGW0004YihD0000ODX6a3
+M5@NR0014Wi7OGD00mUrKz1k1D0OW000000G800YG0001CRj12000AksWtmJ00W00001a306
+00W0IBtF008C9I1gG00ISGO20009000G00AW04I00080WEuCu7E38000y6U2W00001W00290
+040208W0m@@P0m83m@@Q5NU20404IBtWTpDuKlG_@@1R000@@@@Ow@76@@Vv@@@@@@@@@@@@
+@@@@@@@@3XNt@@g000WsC00mWvlLkD3vhH200Xp@@PhXh4Ioh5WGE0@@@swwOy@F6000WjC0
+0y@@OdgRGiWjyEU2002al9q900008G00kTp01000tM@GCuOy2l1xmBnez60iW08sne400G00
+80000Gmc_FCBu6jxRmo_C0000QqU30000hP00u@@qIaq600BHFWqoku@dh_@to@Vj_@@@@@@
+@@@@@@@@@@@@@Vqr55zTB001000ieyKNQtPRmWsp0OJ0u1Em_@@7000qO300_@tC0G02ZkRG
+6vj002000WAQeUWz3d47mjn@@L04G1u@VgURNY1pJ8bCO000Gf700Oz@kMJKYlrbuN1C_@t0
+0069PFmsg3UqzF608V063@@mq@@B_@xo@@@@@@@@@@@@@@@@@@@@73NK0F20@@hr3m510006
+x00GI1a_@@R7x130WUq@@FCZl7008Wy@V209E06DVihrXvez4QQt04200@@36004efsj10W0
+0002000A1PFOvPxC0mu1e9y@NI@@au@@8@@@@@@@@@@@@@@@@@@@@@@VsYFU3020W00G0_@l
+2mpF0@@ZNvnUy@V2000OG500y@@@Bdl1000m@@P0800ILtO0QK0eYmn_NtWUuDO7U9_Pt021
+00bjB1G000000ee700Iq8G0010@@l100ObxyOZ000mo_F4ll10100oN@X85OWN20GqZ1Unl1
+VyZ1000VT30075I8G0GWj@n00WKLgvSkol10LA0cN@@GLB20mAoPc7ccH58000400000k08U
+Y3l623000W6200_@tF010000400004z@l40W19100000GR_@V@000DaZo64210G4000ss1u@
+@@@@dP000C@@B10090800WE0000hE0i7MW0008b0804EC6000OO10049SW0W0G4a0000XKy@
+@A00Cuy@FUa844X000000G42000W4O2X0L484P0YG_XiVD00S2GNSM2W00ygv4a000y@VW0G
+4W8000ySr30X00_@t000Jh@@l700CW@@J00VQ8090W@@D008150001G0O0KG20500000C0u@
+@z0IW00000W840m@s6W100u@@40Wpp1EI000au00002y200@@xaS800u02d0000W370000o1
+1S00GuCdK0e010W3HI00000E44000GE4mJ049UY40GG000000a4980491000CsA72I5Gby18
+9bw@Fv_@Io@VKDpE_F4000ew100ME5c62Xfn@V00eDqdA6X7soK@sin46l@@0Oq5Wbz@4000
+2b10YJHL12000200W@3E9fqJ6iC400X@dCeIKeCa6g1dsQ0001aPEDeusJ0080STW10002wK
+D100e04G001008000GW22000G400028@@R0mM5W1OP86W4wlB100G0P0ZHNi60G01uHZPW00
+001408yL3wzm3000ia100_@d1G010d4@004GW5RJOdO6080000W020002G008W041nPnm@@U
+ysA3rpc000048WG001008000G062000GI61900eFFJ4v_@760e90@@33020100008G00o2c1
+0830@@V5008M5300NL3JRnC0020udQ30010W00001G0m@@H108iBS5LkBs06G600050m800G
+0200X4002800W0008W020004_I2I@@@08t6WglLvf03k38100G00818Z0dXbSkYg00mBqdS0
+j10W1c1W2GW080O3D34G500W8800400C2W0H0006000_@FA006ZDQh20G002e0000010WI0G
+510mma00H4W00HW04200WW2YG00410800210W@@Z2ap3m@@dW400000300A1000000W0I4W2
+E0Ha8H00W0W10WUjDG9a4G2uFac1Iy400_@dahsCuqZ48QGGW8W2W1111H20000We5qRWWW0
+WhL_CPU_1001PP00u@@P0006000OqBWQ000WXrlKvpVR00a1z@@90eMhfD0MBRjwKsQrgMjg
+LjMD000H00W800WMjMsWPjivgJPpLhQLhMrQr0KOV2080040000W0We@SIYGV3G5C09bz082
+0WYYgu9jJ0W00W000OPV30040G000iKzA80020000W001000001040rhdmh_6ysE3000mzi0
+0aUU2P1O0044X5dV8wP90100CEF3p@RGz8I080000G0GPJR0200e5_70040SS@300U7JDvXo
+fP0000qH@CiMQ2JHX10040000208000008G000004002G000G023tNH_O9000WeXC3gi33G1
+C20001o2W10G06ltjnf@941n3Lvn00GWG001W@SbGn@FKbL200G00401000WugN300W000G0
+240208000005W000W0002bEi1G700000Gri53Y00000W00800inK60120108042002000mbs
+Cu2M300K0KXW1DV@00G02108004G00042048400200018080100008k_oWgtCOyD3U1tWlqC
+OAL30040keQ200wd10C00400X0020000hAnJ00C200440I010W0600000O0P08Ii400010O0
+0u0@400W00021XW14800KWcbJWG0082W10W00G22000G0m43k10G01040000G0AmS3000020
+G0GG000010WvAC00W02G0061080000W1CG000000HW0000000w500500134804000W23m_R6
+00GWWIW00G009W000m2000001070G00001WG00W000WGGWoDB1020C0100W4W1H0W00810m3
+U60W0W0000Hto600001000KI56afE3vtoGByCaUn30W00000m9V00OvtAIVm000C01pR0010
+8004WbaK1100000808000AUd180000W00020WS6i1z0OW000000403KamPz9G01000090W00
+0G0000Y0001000010eXa400GEz@V2080190020000200048C0C200WP1aGxpC00080A00090
+00e0000Y000G0004008L034000CT630W00900000m0ACkA2FE1000W020m2crWkuJucP3IHs
+0pST0RyPGv_600mW9ro70600KSv3800Goct08000HSbm3z900200040PgO608400108mL_6y
+Af1T@R0040W20D000W00CmC10040W02gus080845dy00002QI00HbbmY46Sol404002DZXwK
+auAV3YeNYFmD0Y00olXCiBZ1XxzmLu60204uyV900eFyEu3G002cqyXutIuTS601400001eX
+46s4D1400106000034Z09m00GG0060800208080AEn0G0000500o7r050000006Gi0Y2O0G4
+01WA000K040C810OU@t00018zxR0ml4XeoIW02340G081e2G006040454sk10500010100X0
+8LW4mi0J1B0G5m1C5W0040m0WzgOW000000X000000W200040W03000G00X8Y061W00A500G
+2H0W0200800040G0G00GG00a@l10G0500080W0K01Y8Kov6W000ubC30A04040G8EU3ii004
+Lc100004WY4GKA00400000Y00100XHO004080200f2amPw6G020000800WW000Y05gR0G1W0
+01I0fYP0086WKnC00bGY0SI30g400314o7R300098105e0W21I0K0220mZuF000u8gC60G9a
+005L0W21GbyF000G8qC6000bW00KWI0A4008WmnPG000GgOF0mW0000E020002402000Ho73
+304OGWW821030CI13FTc0mQEex1P0W11128i0C0044944UyyXGpO000A6OW429m00W040cfV
+Zmrd94tAaF00CShA5aQGFj6aDA6fhUIQlIip7600syo2G500m0heQ02W100001xjdmNmLi6i
+1fYb00WYXynKvz@707T04Ls3G006p2uXaYh8AWAI5h20G700WB0I5x1jMTjH1S200021Khrf
+2u40W800004rBpm70FCmB3W000Fko000040008W00G4ui400a9MNsWOZJ0GG0GppU020G80w
+4sOo000W0n@o00084010WW40008082000GW00Ge_6000X0000O7e60m008w73020W0W04OCA
+3002W4Oh1W00008002W000WW000000mxN0000008200201Ogv4Q2mWODD09001000G0880Pp
+cm1k6iHb1@@R08040020WD0O0800020100G2WZ6mWqSD082000W00G04000200G0Wyw@3090
+06wr008100100psD100WWW0000000BH000008HW29S5i1vLP0000000A4vyQG7260G0000W0
+G9I6Gm00OIu4008000802400000080040402WAQYX6@b04GG00800020002GG08040004000
+0HzH900W00002Gnq9000M5100Gns6CrS58010cuLYQiV0001uMs600010W00HSs9iCX10G20
+I8E1010000G005402G100400840WG000W00W000W0030200110010X7nD00500a00Wm_D08V
+0uoo6000e0W04WW20G0G008200GG0000000KG1OPc60A0W8ev46CZXMqC000200020G410W2
+0005000G004000801040200W00G10080100K022016K00e2WW00000W2000G42QW01140281
+0G1KG81200G00008W01001A00EfVR028000WW0000W11080050008W040000082Xub08000W
+080@@R0If0002eG022880WW0000080G02904Y2500O42001W0G004008A084m@lDux1300GW
+00C020G020W12080100414C0104a0W40100W1G0Y110G22eJ1002020E08800CO2018000G8
+WW000180010000O00W2220008000000HCRQ32Ym0W80004400800002G2000uI1600W25203
+2G30200KW0220000I0W0G220Y40000QWW0202G008020K02I010400WtuIOkS9000C000G00
+m0m8M900a420000008cN_C00100020104004D00YUd1mT0400810000W0044004WW2000800
+lsP0000001C40000800Wysc15NpGPS6q6T2W0000OW00O000m00004GY7vD000101W000200
+0H00_@t00808zRPGywC80000100100A000aWbVR00001G000bCc0bW1a@@J0C000W010G800
+0083I2pWimIu@V600G010X0uSH38080qJe1JSaGux900029yU38000sTd1JgPmNsCSXl1094
+0g6FXA0K8Cy4CYT0q9F3r1QmSzF4nL2NtR0040e9xD0m000WG0mC@JOuS3IIE16m600010GG
+004Uk1vt@Gco9003C30W08080WwcOu7T6I5oWhxDeE130010KT033kRW0400000100032DB1
+0GG0Xx@0W00W9kCOK_7MtoWC5be4U6sXt0002000H0oZN200YJ00G04000iOF3ZlR0400at@
+DuyT6QDZ10W000610kAN200010200003430jG00Om24K4000GK4040239X42DG0100200010
+03860X441G0W041000b840aQqD02W0GkV600000ms2Ae0W0000K0W1W10W010Wb020000W0G
+2020LNO001G0W2209xR02010000386W1WY0OG00q0H0002100400mFnR000Ke6eC00840160
+00W010GO2844004100e4408200GG00001GUop000W000W08W020002018A10KX40W1H000I4
+042T_e1W00200G14Tj1O6000G00aTd1012100000cX00G05009000200080W0000aac1Pgb0
+10L2084020a010m0e0e0uR030204aPX1XczW2rmH0g40GB10Ix@XynU09Ee1D1K90G60G800
+oz@100rz5Vp000W00ee32G80K00WaAW78X05eWW2D4a499RWG00WGpI0G0K020000a03Lz@W
+WG0WupO0002W0800GaG0zPy000000XAG5bQm@@600WW010n0600098011DB1060WCrI00GHe
+2O00GWW0ndzGMy6STa1dIxHgy6yzR8000mB@nWbzFvy73gXtWG5DevVCQYtWjAC8_CI6fT30
+0V5@@t2e000000320000002UFc499lHePLKcC39bPGyPL0gD0u@V6000EaV66r2@GGp6m100
+8Jj4QQsWGpeIOrQTyoFy@l19fbpOu@7cUc000mbC00at@Fn4gISy@@clV000ub800St@FVW@
+mWd604G08fg4_@@7000F@@ZqCV9y@VK0eU0_@dasVD8KE3sAtWRqDuAH3UIdXFsD0001Ka28
+1000oI00mKeaKWF30004g@VZoFn8tbSgOpWLJL1014mr_FG4008UV3oU0ZTI42Cj3mUDBLmj
+1F_dmX_6Si@6hqI2008K7300XU8KT@CW0003100000pCc10000C30000100C30WP00000a1c
+10000GcP0ajKB00uJ7sz40O00nmP03C300001C2000000m80CWP00008006000f0OGD9U4Ea
+D0v50cAQ6010GTyPG2vC4_l108000S100Y000pCB21Y2000W840080000Z10WG400C64H410
+00f0Omg9geP00uguJ000C000200CmC148G0080GG4000W0W1c1001200OG0Y60004006bs00
+0W840600041G0O654G45X4P600000G4H83008Y84WCp0W820WqoUuBvD00y@kfy9r4R01400
+00002G41C2008G00m800000G0Y80000X08W0Z0W028mw7K295WKI26Ia004GmuyhJeuQ3AIM
+5WZE0bFVI8sF00DTWWQG202G423009Pd0000G044000WPZkE111W02QN344018WV18@w4A2m
+WmUK9xXJEmt0Z0009Rd000Q50640G0WLYtcXQ5CewQLAnc400SC@dq2001eahDe2V3UlBXSh
+heMAa0aJ0CSKB20G0H000800GuiU3MqtWPkh0008500000OWK1Mp00q2W60C8iRL000JuH00
+e9DO8000adz3HcpGqrI0R00WD00GERvCdU5lfNHosI004100Y0GUsISF89dcp0C00Wfsz0m4
+0mLxmK@U8xt@0004W@aP8cT6ULcXyeJeqz4Mmt00008Q100AdFXxkDeyV32ktW9lD0001ms@
+6K2j10400ZasW4_P85_D010Gqcu61GRGYs9S8k1JGp00Wbus5h8WH60200SIF39RpGPZL40k
+1HxJopu6SoV8Wg50okFXtiD020WG3_L4Yl1rzRGNyIq7@32G2090K00G04e1N6kkEXe_D002
+0qal60000c4000004WF9D00082W12aEODuPT3m00002808IhJ0000I0100W000WW0008000W
+00glEXk6O080080X0000OW00GG0200208000002G10m_rD0e0280200GW8W000O00W0020G0
+00010140G00000G000C002008ow4028000Wg4800mP4600G00000QKv90G01S1W200W02001
+1W02mty6020W04028004G120004G008W02G040W0020X1GG00W0000100W02001G030W12A0
+04W040G_Ts0030G040002G01OW020000W928000K0080020200W12G0100000032000A004H
+m04W185D308W000eC3400n@s90000U0_4048010001GW00W020W0181pO00054002m028W0C
+8210002G002W028040G002G0AG0FqK20801VMmWgLV8@Q6oUW10880F39Hyq90eV18fq7osn
+30GW0did000GWqxD8VP6YprW@@D0C01000G0030000W00H0004000080Kmx64503800C4W00
+00n0OMQ60004qvl1000O1B000040A@@401G8azl1NtdGA_68800u1w4G0G0808087T34040T
+YV2W800wp@111GGZwRm7o6yDT2XWRGkz906008283YpFXsxP00plx_@90000R0U300001010
+vleAELs00090NCpme_6KTW1000240040200006G604000GG0tfRmq_IWW0084R3Y2m018002
+4002qj2mq63NzR00CWC0002vqRmU@9SOY1hfdG_06WC00000Ge00048008R5aGusCSsl1BCR
+G2uFyyl19BY100103030P@dmk@6000W4e00GS_60W0G000Ymz@6K@l1VxY10020040000GG6
+xcX_@J022006G100003O60XW100W804400030504000K1tR00048800000112rr0CGi04P00
+W510GG210e0E0O0100300000O001WMUk100OJczt00003OcWH1C1u000K090051G0mdtD000
+10204WGvD0050I_06O4010A20WX01000Y0010004100W00ASH3Qnt008m000008GW2HWX102
+11000008081VqR0e0WWGvDW00000GG03000G0W01A120Y0GI000mBw9iyD3WNQ50001081K0
+000000G1080GG060E@sWF@C8E5300H0G1000008050000G0W6H01102G010064808W00Y1xD
+00W2Gqr64OD38907e8W210240240mNoC4Tz3AZ42ebYGI808W00Om7ZIuVI085R6GY1EG115
+go@740004Qc4SGH094010110erz7Yk_100412W1000820100e@T3MqtWGiPe7R30H1C0000H
+880G399Szl100yI2i_1008X2W100W2Yaij4H1y086X1Y0G0G010wgeYAwhe4KCkks30y40nk
+dG6@6y8r61ypGGcO00009Ox7gq43W400BNcmSfR000W0y00000O00080000200C0000aerjD
+W002TLZ4fYrIArUaNT500ChopkYehV8US92DxXr_J8wQg0H0rcdTKDkRGQvCCOE3dazGCL90
+10000H0G0@64Fd1Y02028r0v1004000MMMY7@aeqU60G4madk4ftd00040021W00G00040qs
+b1XbzG90FG00X080080042001W00G0085026010WG0GA06008A70200004WzvD81C3A1mWOm
+I8BW40800220X0W00800KY82Cue_401000G100102GWz60G00400220100W000rYPGC16SQl
+1a000RMx102a400G008GY0401WW008G44cE0C0W008W000WX80RydGI19K20300GW0000200
+0g10301GG000004092G0000040H5PGF06SgF3zjgIcJ9awV20004U0c1000uQ000EktWDbz8
+i16QCmWwrCuAN6Ezt00054O00e00MG03014IG108400A0480010000800010G808000WSQCW
+0020020020000A0W400G8001I00G00008001G00000045080W2000000mB004Wp_R0420Y@@
+D000G40480020002G0Yzt00400W2G00b20080W200040GG2020G0G0004200100021Xmb@6y
+cf100GG0W00006100K0020100G0W00444240000000W200X04020000G0lYoWdfD04W0IB49
+0000e0D300W04Bf10lL0gep00280060092000KG0200G0G10G0201W00002002G005802088
+W1000100120W042G210G0G100W002840000W0W02G010W0080X0G000009G04C01Gb00021W
+001G08K0G0MW2pIo0024GW1GG00G00OW040408000H0G00008G01W0200060W00200WL7I08
+001W110000KM000024000G02880A019YptCWG88b00540W0e000800GW00GX080004OeWwcC
+G0852b00G0W41001K0I8000040G004G0040020W14000m0I000000380X0601C0NMOGTZ94x
+C3hOd00208100W200W4000000020024000000u0DVR00W5V0044NAoGhWCK1k104000G000O
+O00m00WW00u@CCufD3k2t084420100Qor00442@@d00G0C000040040008O0O0uCn40802Cd
+h1FbQ012080G00HEMnKr60Oa0eIx40W0GW002eWL3O0800080ulg4kusWShD0010OIh68000
+0060sWz9KsO2nTR000G00HW00210YVpWuKJe5j4EcC1004C00001046a_O2@URm1B60006bq
+40mut60W04eGT30WW00G000W0200G0WMrJ000G0010WRmJ00082020aXUDe3G3ITnWzmD010
+0040m0a10400020002szO5H1OGyP6G0200900mrk6KsV2zVd00WLV4000O0000006WC00220
+Gm43646B3LXRGg0CG08800W800G0WiAJOzO3MtM2000W0010snr00020@dRmExL000G08000
+G808W008tqPm@@90q50u@V60G00Y000000WOAJO0000G000Ipm6ifc4@@R00A000000OWO08
+4W0802GW800mKO608000080OA4F006A6120422W008e200GW8080q_e1P9a0000CnY00DhP0
+6860Q841mG8081H00K2400010W8000W00XcP0000101G1f2O01a180W110G3000eaCNV2040
+208e100W089P3e000011GaI485W08Gp00GmP0OG000I00000W00202480000O020G00aKc1Y
+g208C8184W0080W001200G00080G0000lxk100IK9W008004m000W040482WG04XG4000044
+Wwk136WqWN4JW030000001Ce082u00YI0000K0e10088000W08rrRGGpFm4I6W3EDAX0WGX0
+20vZj10Wb43eXM4G80GG0WG0008_C600000SG89zP64B95G3jaaGGI050HWWNV0980GEqCe0
+5SYW21GjY64ml100W000080WW0Oyd4o7p000030020gVyXCbDuAe44GG008001300044WW4f
+bWO11G_PC8800205m0000WK1y0W21021e4C0000942wV@1G000000202G0a6M2x3M100G004
+10@DQGozU008ZVl@400mWK@Z1J_l16G0WIUz000W000a000I004W000010X00OPVC0100EPX
+18101U_r3Gc800000G08000000X04mSw6SF66008G4000804I210300G8WX4m8giJkd@1800
+ZndL1000K3002Xc91GT0WaqaeqO6000Eafc400142D3rimNAJ0cY90pm4_@BY@xou@jGYw@@
+Y23d1J300bcuqfea4xVB00efINv@pP_lSd@77w@mb_@Rg@xsw@jn_FRDQ9020y_l10W08gNl
+200120000W8080W000200nbwICCl1000mq3W0Chk4p6pGgs94Fl17zRGvq9C856jiN1020Ws
+hDGW00mQyCaCW4Ryp000QK21010G0GMntWagDWW00GBq9ayE61mRm9u9S_g10080AbsWIxbu
+@V3G00G00800008Oqj6KEj4vJ@mzz60OZWfTy708002000PZs424eYvyDuF@700404_R2080
+0sZ@4Y0W00W008000DYF6000m9D20800GetV3wIrWYyJ8ow7wztWvwJ0200IVyLSLB300080
+0K20040AiR3040028010001Glt608W08yS3000GacF3@ddmpr600mKumT30800y2k100G010
+00080X0W00unv600004W00OzsFqwW100026LFXv0C0W0080102G08W04284800aAj1W00001
+002000W0G008000W00ev@R00GG2G80001GG000WKCX10G2000000W11100G0I41008004000
+0Fj20G1K40000I4W000040H0001080G14m020841010820G01408400500W0282K000eW200
+04G00800K001W00pPy6840040W058W00m024208G00G014G01G0140008030m00mW000W00G
+0200X00026000K00A0oOt02W20G00802400090GG0000000e000000092400W0m0400pWt60
+N8020X0000430W00W088020m0G1000W0Ga268G0W00004G102G00420G8000G02000WG0001
+2K001GPoR000G20020W0OW100G12O88GT30W00CnS2BidmJ6CSEj1nPOmZgFqml100040008
+0W0000mO0408WtfDeb@400G88WA2082101000080140000e000040G020mki6yyV201012@F
+XeEOuFv44W40108000G00200WluD82b48W0000800200000G0G0W0W000000030101400mus
+6i5V20DA0Qmc1000WGG00E_FXUgDOxa78G00002WG000O4m6W00WSNP3gfNYFxJ0004G2u64
+RV27ld000W000404020sfFXcwD0G00mr76000cWo00GXs9W8848UR3Y_sWiyDe0V3c4vX6gJ
+W0W0Gm@6040Gu1S3O0004Oj183000004200GOXaAYkcXYzD8wQ6cBX100wDfOd0o20ewYD04
+0000H0mi_V0040G8s900000X0WG0AF0000EhS3oQ81004G000868t00042JuRGqz64No3800
+00K0010000O00GhvI0C790004Gww60004OuY480804mU2@@R0200WWvD0000Sm46iXU2F@_0
+0O800000OWP282e0800HW4010802101100400IIt0050001W0cIt00m0n0GGb0G0021X1000
+0g1W1800a0TlR001010G000008KF2400600010068c02I40G2G4C8G0080204010W10WCtDW
+G0002001000302040035ZW11608m00a000010O0O200e0quk10X000000G1008RQ30008I12
+45H0WY00040G0W800WG00000G101010000G0802080G8m0000480A0I0X1108400WY00set0
+0408J2R0000000Ci4000Msm0800AYW01100W01004440KH4601G0W0W0W000WqvDW0200000
+00D0146Y042I0ial10W00000030104010GqrFe4IGWYAI8X0WYQSO8cP3G20000X184R30GP
+a80jK4a21040HWygV00000uWYayiPGPa00jKK02100GG01wxFXaoD8VW70e6QIGX0G028msG
+F0WW08bw40000A80W04fm0HWGXtdV8ww70GG0008A03Y0W0GWWjzV0000Zd32Wi0U04fm0HW
+808W000G40op_130009Rd00185C8200110Yk_XEzD000YmLIISAa4B4J200@aytVucJF004W
+00080820GVKUyyV2400WUAL8mn901S@m_1R00200810my06SaW7PJp00uybehV8zT3U@cXGi
+t0000ls10Wehb8FRIIs6ZehNDgc4c6t020W0tFa0802WR_D0020G8K6K9l1xhZ1ey2mItJ00
+200004iduJ000020W0W7xD8LB90040m000ezzA0800000a000080W4210OW000C40WG200n0
+0O01024110H804G048611G000W002010200GW0080080059F30008100020810000BE10GG2
+0W000e01W02G0W20028W042G080pMPG9z6S1W101G0Ycd1002W042H6Xn0008W000011W020
+818iU601G0ijl1BkPGIQ60800uqD3cut000405kbGszCqql10600008g3080fC06EXBXa2Iu
+9k4ood10008W0001K40iel1W00000WW0G018it442000200104082000X804020042080808
+02200Q0400GG8e0G000000GG100000101Y48IegD6c@t0WG7800G000001AGW00G00e01200
+180248E2cXE0CupV3I3cXw3OOCi4AFB10200000O0002r1K2R@R020eWwyC8Wu4UaB102G0e
+000E4m001G07tP0000GgY02R5Rm6260103OVl400Y0qe73dvPmCT6010G000000AEWlpC0A0
+000G0H06800408G8000G080W0A8214G0G02feP0G11G228WtuP0GX1G00e0044002XI00005
+000001GGY002W2080240000048W0OYo602011Wo000WUJ000840KG1080201400W230244G0
+080000028000GG0eWW0G4100W20bAO0I010W020014G020W0cW00000120100008044O4200
+00G8080W200W00400017K00G009101H000W00400480140cPA10X1G000eW2A000G1000140
+212080m00K052X000W144W00202010H00020W3000_aH02G440X00G0002C000040G100fhQ
+30800020W10G0e8000G00W0G0000482G0W2W0088W0G100m00WX0008020000m0400SG80H0
+0410tKpWc3CO3H340008001408000040100040qHhnJY95b000000W180040000GXF000000
+2G000e000000KG12WgLp000000030800GW000u6H9W000020e00G40O0000014G008020GiG
+e1G80080G0CKj1010WwbIYskbe6R6opH200Y7FFcGoVC0030x1SC0W0101G008GA0010We0C
+eEI30000TJu30084_3F19090RYdG1R6W0G0e5I3G0009008X00G000002a04G40080004o83
+6190w1m000000WWG80D0qoe1000010820008080WGna600A08zH3000Ga2k18000X000Sce1
+7MOGKPC0048000002C0Wd0DO1I36MC10101ndb000220G040088wGtWKqDeD53oKq0rG000W
+000020m0o00O000200W25a0000020WWCrO00C00040WZrJ8Vj42pCXuCD0W24ms360100e0F
+3_RZXTDD8ln4QNmWJBJ0080ntd600uVCsp7Y8nW6GbOuk722OZ1KC002820c0O003028G000
+04e0e0elH3k4b140200006GC0J090W09188I0020404420WG00080800002mXf60Og3000G0
+10010m0p8G20804G80D020G01101W5HD0C00080000800G0L0G0G0010OGn0e8W000G0W422
+009200aqf1004000m090040030000082e0028210434OW11WGY00G10008200W000G001020
+50100H0G141022GW10001W0Ga01A10021000418080gHr0W0W07XQ0000CC9010010YAp0Xe
+50KY400I00080G0W010W1000400dlO000G000G0XcP020PG2eCH28400080O0O88_C3w7oWG
+pU0041Bo4G8A8402001C000W800eYL3000100IG8_C6G21AG3052040m_zI00Wa7920GIQC0
+H7q0Y21003GWAMJObL30092000KEJj4G22Em955204amYgFaAs34900m43WW1H0G88GGePI0
+WH08_i401K0906000X8Gw@I0iG3A@C60OG00GWA03Y00GGWWaqs000640020Xm00W0WGQ2x1
+0010hkQG7Q6See15EXHm@a0000qp00GEk6ydI5@@@GZ56SsW1JbX14098m00000G044004Wc
+1@@N1080aSPgu@V900WNFGv3@@x1e00WERD0008vdOU000aAqC3W00Cw5GT000mnwhFaLZ1@
+@d03G0WGpOu_G60G20S7C3f2Knkm9aas3Pg9HePk_@@@@@@@@@@@@@@@@@@@@@70p@@tyV@F
+@lVq@vFz@zL@N@r@pdz@Mwda1l1F@j10W0WezIejGF0JF0q1x6HqPsrx64vE6000uS100K9W
+Avvf5G00Yhxn00GmOQVNDel19xlnVk9CRk1Ryl1WC1WH_FfwoJ0Wm0I001Syw4G0W0ygk104
+00Y@t0000W0008IwFXI_DOSV9Myt00010000eCB00s3k1LZdmJv6C1G2v0OGHYp00G018000
+801WzeD08W0pDr6040008042G0040008006000000402u@@4cOt0W010Lnd00W3YitJ08G00
+001WLoD080000W0aV1C8DS30280iCl1000G020W04GW0000I5m6CUy3F9O0800002140G0O0
+1GG0G0000021055G0000W020100G0G8WuJ1303W0000W0020niy90400vjK30C00CRl1W3F0
+2Gt00224050801006fk1W000024G028W0W00100400084fgd00W02008W0440004WS3h40WG
+0678XU7OeEw72MsWdK91000bD00WHLzudVCsomZ_@D004010G0O00000010X100alD3@Np0W
+0000W02J_@0000YlsD000Dudg6qgk1W008400m00002010He_6G080G0W0000W000O09lR00
+0GWf6C0800GIn6020000208020u4@Dm0000008WesD00G8mZ_64ui1TpR0W00WGuDOY23000
+01800iGO3sxt0200000C000G0cyl16mT0kKXXglD00010202WcnbG0000G40000000I00000
+0G000AA09YkE16G610400G000KIi1zFyGK0C000000W0600AW0wJu0V3cmt0000y_100IZr0
+606001G06dTZ2xD0060s4t600W0OyS3o87300G0e0026stWW_DuJy4Auz11020801040W04B
+U2fip000AeW@DOnT3E9NY8qV8j@4kOdX5jJuXV3wut00m0n0O4S00200XP0000G4606XhrJ0
+005mMF645G28204mC2Je000X0C0S1y6000000mGml@60SN10H0G0000A0m0m200WG0G0yEl1
+O0O0A@t0W201RiR00800C0000c060C2W082WW0800100O2808lvR00W0040A0G0000W23K6j
+100110e04GK2000100W1000020WH000G00101W20000Y0G00002WIW10001G082a0a20001e
+KxDuhQ3050400G2ewU3m0m00040eeU303W00G220W00G0z6022000800000K0400000GViF1
+001W004000e000000202GK06SGV2HNp00AX40eec0K804002SWV200W82n_100G88105e4X2
+LJl100I02ud100Dp8800000043W100020WYE00X08cV6Mwt00GG0pzp022GHE0WGxzBHsqI0
+20I03Y005GWWRzV8lQ60002162XG8C82041Xe0U000008k5ed@Peq33m0000150u_V3000YC
+ql4H1a00K8aRDg83b7EuO34W00ZNx1000Mf100T5x4009a3@JOQ13kfkYe0C8zV3000Iiiz6
+00KhJuwg0hJ000mOsqFqgV2xwR0440We0mexV30TN0y_F3f2uHK0X4TTQ@@RGUsX4506HNhI
+RKLa8U2v_RW000000pB1N8nmPy008WeZA32Po02200jKP0010YEyP0X0GGrJ9KML2000O2wm
+W4xV0eV3mz_6SgV2zuRGVPI4QM25Wvna@6ybb10484xgFXwvJ00100008mouCOz@4Ejo000J
+4000GMNFXNvD0000hA00WcvOW0000800020009ejH9TRyYG2PwpGd_LaiV2Pxx1100000JPb
+yhIT@a000m0W0W00G00O00M0024GGW1010000H000G8WFsOGW000K150G058bsdmmU900010
+W004004m46I0872040200200O000W0000W22uuV301202140eFW40G00Cfc100100001000G
+yok4Y49XwyD000Gn5L60400100G0000440080402084W0H2008W0QZP602O000008000402G
+4010G00C0040000X00000mpoC00G08G0140281000ObF00000W08a00G010W0IC014G014W4
+ll1010G028W040008W0Ix06022000W02G0100010NgPGWV6C2W1@@R0010110G008G84CW0A
+052G01Y00W8O04G822GHW00G02O0002208208G00000G004WW000W00020O00Yi@D0001000
+0G018008880140184000mr60G08004WGS08082022f6K4G00W0400082001C00402m0X0000
+1804XdvCG00W08W02H000G00003W0000000O00000G00GGn9Q00001u000Z1cGjVL0000140
+02SW20W0C0B4P00G00G000VyPmN16008WP5m40@a0qRt300400400000Wvpi701C0a2G2L0e
+mlVOize19ubGPY6W000W000m7MFKvO2040000160C00eEm4wYq000Y4nHc00G0elnI0060sg
+a64iL2DKOm@@60802OXm7YuY1a00095Q000080G00TDPGmM9Skd1zCo00400G001WW00W000
+aOP2pDoW1o7Wy3PW00W00000004YLMo00G0W01Ce6n70000HY4WCGn70W10KeO202000118G
+0008Ln4_dq0080000068002qgO20W01AbaXGpI00400000O73000W000040m0m08rF3kdC10
+G0092Q004000200Xcb04012001100410040DnO2H1OGzQC000G0880GNY94qN2tVQG9a6C2f
+102400900yab100I0olqW0vI000bE80001800pfnmlS6y5g4BWo000WW7DPuDc4W000KHf10
+W0Y0W4010540026500G0101G00O1oqpWpvIW200000G0g0m40204000IW0000H0020108200
+000A00000TRf1WCP8000CG00W10C0C401800b020400040G0G200W8GKU602Y00002080205
+08001060C0W081WY00021006Y4047_PW000W2UC00Am402082C00G8G24o420O0GG8000G1W
+WlxC00101040Ga4004005C00008160010120H328L4G84G00KOG101A0E300020O2200G000
+004S121DSc08WW00000W0800ae80GG00W000000gZCCW000YA000A00W8000W04G000002GW
+0000240K05sP0W100WGI00W00G22000Y1erJ30G9m2CX4Ye21GWW9ayQ200M0YFB10001W5C
+99aW29a83G240GyPC000000WYUeP6yEN20G370WG0a4B300WA0000002Yy@V6G01Q4Vc4A00
+02Dp02000450000000G1019m426000X420xC_W00000C00BpQ004D0GW034X1000204Qc40j
+PWkCrWT3D000100120C0002946_@@10110PQbGK06W06004W8ISMF0002OyL3U1CXgKneBN3
+040YaAf7000Oq000y@lJII00400000404108000600WG0XxM10K2WeUD0011m9lU00GUELuh
+000IbAc7XqcGK0X0md08qi7W00CcaM2d3lHePd@@@@@@@@@@@@@@@@@@@@@@d4p@7vyVHG@F
+aq@1Hz@FM@t3s@xebVpwL00028IQ3YH_110G0xi@mzq6CGj1Xtd0uH4W_an00020W10WS_D0
+060G306CN_3f2a0800YSjbeSz40Wm0iBF3020400G0CoU220W0ortWpHJ000002G0m1ID000
+0FX1800000W000VkE18000@aQGUa9iLg100G06_EXP_D8gK90200sNF3vqd0M00WZxb00C0m
+y_9yj_300W06pF100my1qAne3aqIF6xbZn3k94bi1Vcpmjp945G20040000a45G20xS0YKmW
+t@J0G20mhk602GW080000G1WxaD0000Icu9axV2W040AVs0010G000004W008008_V3000G0
+GH0u@V30W82qzl460G540000W00G004X00G000W20G004120ijk12GX0000100020000BaWO
+010G00G34000080e0ury4o5rW3@DW200GaxC001600000820002Y0080100GWCUi1P_R0WA0
+0000G29040001W000eWO30004e00WG10G020W04001040Am01080000H00108808W120W02W
+005WW02G00000G0010000A0aGG089W80000We800150Gm080a00488W2G0004W0A001K012e
+0GGG400W8YuBU3K0308W220500W020040HG08080008008Y2Y0006O001G0K2A0W_ct03W00
+000A8010001B9wT30024HW0A00WK00G800W02001W0G0A0X240384000011m01OX10KG04W1
+52W0AE0000030010016C001W128m0000uMIG1m03GW46n0X0046an040004G020e06052K0W
+1G42009a80080000m0A401mW000004W0000Y40020100W00GYG2G00KXG0804WIGa0080W00
+kbt0G000bgx1G00W4EC8_R3Y0vX0_DWW0000104000mM4816v@XZzD8iN3oW@1042000000m
+010G000G8000120G440dydG74CiyF3G8106bEXFyb0200Gq_6000I000Wq3i6qCD300uQ0W0
+0C6X1Fgd0WP0000400W80csN200809qQmLt9000420004GW0WM@Jeb23ArF1000200030280
+H082O5V30040CEG2FrdGFs60000HG02Gn@6azl101004fa0ikI5G0040000s0Y1@_dmap902
+10OSx4_OnWlmb8d460002000010G810C1idgDOv@4s1FXQ@D01000G002M0W903000G0W000
+010003C00WDkP8gx4gYmWK1I04W0m6vF808000008WW00W000800Jo7WXkrPWW00mRy9C_j1
+NKp02W0WCmPOUT3QD_100OI00000G04iz@3W800G000y2W1LZpGFt6SMk102W0000800W000
+9GGo@6G200OqU30220all180088080G1000IG0a800311m8W10800AW00104021001W100W0
+04000K10G01011C00186285080a8GCAC04fW100000La0iCX1FkR0200A404C80008C03020
+W0JWCAO0020808d@R004W0W001W00000100W1W10A00G2201n102YA0C2C002001800oUG6G
+0W001G0000900mG0WW5000J420082G4H1WW00004G002002G0Kql1tmR000031018000WW00
+4WO0626000000O0104004e000m1M04000800010500000e000180G0200W4080e8WC4W0000
+00G0100104G10000GWW00hARW000qr@D0XG00W0H2802W000W0K0Gyul1pkd0G000W04aC00
+f4OMH1GI85000000WK00m0000eG200C0F300081X50Gg0Z3MWIIK0C00I000WCuK@CWC0000
+G0430GA145Mf2a000Oak_Der@4UVs00120aA1j078aaF030Y40sYd1000C8004008020G0WW
+10Gz_600010008uh@CW800040080004W2G0H181Gj2WB_J0008000020I02004GXW08aAm60
+00001X400001X04004KWe0s8mT9Ml6ZXeg00000000Sn000P1So8saaAl4rKLHXJCimz6rtR
+000Foi0KvuQLs_c1A00OAW_O000WrWV2lxdm60C45m3hcp0Wo5Wi021080Hk0C4DJ2f2K10M
+jMrgLjgLdDbhERQD3sqQr200q100u200OrQLhQrgMjsKkQjfr0OBhrwgH1S200021iQjf2uq
+rPmai53@ldGEzC00WL@9D9Mmt004G0p2uHly6idI2VldGT094ib1rgP0020WppC00940000m
+pzDOwZ4W040qUl102W0800004040200G6@6800004ZZmH@9000GG0080020W4@DW00000200
+40080000G020S_M2F_R040800002@_d0080XctI001Wmk@6Chk1vmRW000W8@DuV03I18Xun
+I88U3o3m00mW0n@d0000CK0001_R0000008G0xYbme_6yyV28000M@F1200000010020Cu43
+0GW0oSOZ_@D0400GLz6Srs3b@dGz@6yR73001000e@kql40011_xF104010400MphYcsO8m0
+6g@tW5eC00300000080080e201008z1W1zmn0I00014008Q4I0G0mG0H0G0000G00002WG0A
+00YFo0e00004A4C00ACZK22O00oBp01000G200Q8g20000W0010G0000X0W2110028002020
+00We00000W0WG06GDT68W210014GEP6010G0W4400080000104W0H0W120W0G008W0GG0044
+000100W0a0W00010X0WA0006G00W004n04W000m0004000IFZ003NP0020004020W0040000
+H0GG004W2G00000100WG_1m020G00WW2m0240W2A000004G0Wj_C0A14002002G000WW0000
+404200208000G0C0004WW0000200480828W05008802080W0WG808X00W0G006000m004C04
+GW81O0C2WC0K20P0220284W20K481804G020K00W0000kjOW14m0010020010801Oe010W00
+00Y1G1200cO010000G000880G00000110010G05K012005m1080W2G0001060001G16400C0
+G02W104W00SfO2020G040000188jV300E00000Qbl70W04iNe10G8WQDqWt0JexE30400880
+00yf0mTCj4s830G0000A00040u793QIqWJzCW0W0miY900W10009GcY94jc1r0QG9W9000W4
+000c00001100400WA9CXW4DOC930080G080uCo400G05q83LDQmUZ64K832000010Y02080G
+W0msZ64Qc10020ssY10800021G0W00002Xu@@4wSqWf7DOJj400G404004G80uUbC0We5OFI
+6Iba14Q00VCcG7c9qXI2RTPm2b6qsO2HFaG8b9K0e1000004002401000O3m00WGpO8bn7Yh
+C1s0600004AYp007E0G000sZC190002020800005021800W00m4W10000GG2XC102001OQ00
+G0G0000W00W01800060W9W1mLX64793HQQmvS90021ud9FG000yhf1fSQmDd60000pY00GMd
+C00080G00GiC60040OhJ3E5D10W000010000G0000a0W0G0D6STq300000088Cvf10O00cWm
+0G04W023008M0O4O00W0G2G00m@@DW201m@@600G80G0G0008802aA0W2i0o8m008002W000
+W0000SD0W00080208028L03041010600XJ080W00A8C061600000140044000004W6qC0008
+000S0m0030WWW10912040AV03G0K004010G00808000K04RSQ040C2500G6400G48CX0WW8V
+C30000d7g10006010008500W808OG000B8000GGW41002000G0HG_O60Yr1OVL32QpWKwC00
+000W0OA312m2040100038214020KMW6000K0W000GG0WrJD0K40884282h1G040004G01000
+CqC6Uzq00O00aA0v07WaaFc4ZYo000m008L0aAAG02f04Vc10O80000aiSg47BQ0f20BG109
+daQGKPI4TQ20GgW4NM0cfM20OG000GD4Ls3W0GY000W0K048qC6000GaFs3004YG420048H0
+84OKyPF000Kz@@7001410001528244ieWRD000GSg0L0G00084200GGmCrO8MiG0310Ln835
+cLn6e6ShR2WVJ0_@lYCRJOgf4QwbaiCn00WGml990410000G0H80WGqm000m020400023G04
+0IxrWywO8mjAw0sWEts00W8q@@90GA08qCF6I330WZ200i2GVE04Qc400ae_0_XeoCGC00HI
+QO4Qs300E0QUD10040Xc1y@@@@@@@@@@@@@@@@@@@@@VAC@VYp@b0z@8I@72r@VOzV7O@lXs
+@7gJ2000m60C0002mhuISjU20G000800_Ff1r_R000vYCohOWkSYcFX0hDOvV3IRrWKsJ000
+XGRn6SWk4H1yGbx60mp18Rr4wAOZstb82U6_yFXg3E1028mYzg000WJ700GqZLqQ@C@TRGY_
+98000eLO300G002G000G020010000800880000ike1Zx@GK0Cq_h1002000KotXk1O000IiF
+dWxb00W0GG_600508LT3gyrW8hDu@V6040A8000G010GSu6C1W10840QQd1G500djdmGx60W
+80Or@4ozEXOtn8SP62Ut000G0WAA0GG1H090624100020010G008004010f20G024GW2A000
+0G1000C001500W200GW060010403G8W0g7mWfsD000WW0A8WoMDmK10W00000C00G010000C
+AW0G0WH4W0000004001W0Ee7ZBwJObU36Od1080441WWCWe22WA0X00m020G00G40400H404
+2102OG01m060000m000W80WG1000X00BCC00O014C016W0Isps0A0035VQW1800402000iu9
+00C0000030G02000WK014W0484140000040030K0WsxJuxT3cKEXAqJOIU3_ysWeyD00W0Hq
+_L00C0OVZ7ALzXIqJ8cz70pO04ay300O0klKbxKh0001mlx90042040000WW0000G0W0W02G
+0y_F30X00k@tWQND000Wc00G00102fkd00010000qa600J5W100820a01000200040W0Cm_t
+9y5l1nTU2080000010100003000202040008440800VMdmW2Laml1LyRmyy60001000200Yb
+hMVJewV38W0WKWF30008W001iuYD3H@0G00040W903082is00020XBmGAr6aRW1WW00IfsW5
+yD0KP3Gj@6ip@300e00001000C8FR3cFr60010Lhd0088W71gulV3G004G0G000000014W@_
+D0000uo@6000Wny00m3lL0W04earS0W08040KecT3K020IW100401X0m00yG506560010404
+0W9rS34080G1010004008U0m8020GKi0O0320IW2GZ230W000030012000eR20W08fV3wUt0
+1D0CKW100020O060n000e1W14100105012VG541040G00gVt0100019OW90W04120G040000
+018W0yIU30884yES2X_R00800204GmW10000008W8umU30OW0i4k10G00J5m0000G000180W
+Wq4Z1x7JomzF0000jS6600A0Gg0WYM0GIsqCaIj1Pud000W4000A0GgW4bMWW4J18wQ6000G
+AD008L06000000K0WKW07eW0anWO08W0m6ls00e820002831088e01M@m@2F0A4008WY00GG
+mTyJ8wQ300OGz@@30XGYW00W02129L@4AztWUAofHfJQlUZSuJuiut2hYap@D0000Mi7Laiy
+IPJdGqrUaIz3Jzp000qxK1sea9FsylYehf2W0jQUsFaDG21MxKvuLyXE3LtOGDwF49l1i700
+kKVZtzDeP53Mmm30400PJzW00000W002010W0004zU2G000W002Sml128008020S5b1fgbW0
+00WptD000WW0G00001000S2101048G2@zd0048000W00G0008088000OzB32bt0020000100
+G08iq36jlPG306000G010GmWy9KEl1jmbW00WXwvDe8638000aMl111aGGz60Wy18De4IgdX
+WxD0000KnC6aDd1JrpmLEFG004OuXJMdFXCwsOkU38000000060004V00Ww_b004W0000Wc6
+Ce_U34010yWV2bxxHB@6G0G0001000G0800IW20W0040008a00040000I904020G91e42100
+00201GW0000200004004Y030000220G40G2ae6C0I000400000EL00000O00G100W2000000
+08100W10W0000G0148QE301001044OA1300G8yOt340008008SdK200824W008WX0G00400m
+010800ZfPWG18W50DG800042800800G0W010002012G110b0Y8004GG040W0G0G000AG0XK4
+8G860400Y8W040000kI000H4002021410W0WW0G418YW0OR2300010010u0j4Mqt08404000
+40K0000KG101G10020140000W2_@t00K008011C004O204002W0280111W0m01140GI10HG0
+0090W0W6A0m0OG0GC03400100GW0W14W140e08G00Gme4W000W81001OG1Ie80OOG08022W0
+580000Az1002GH04W1180300090W0a802140090039OW00004G108204ctp000W880000210
+Y000W4I00220000018G00W030qwd180WGIst02040r4QmFX9K5e1V5Q00600W40201002bm0
+210G04000088020008002002800400100g5p000pIF3QmBM60000I0010G0WWD1Vepl40801
+4a730208A7a100K0diz0100G808000WH0000G008eqG3swBXN@O8A03wcmWT4V0OG3mbY9KX
+M2J4_GaT6iOO2W0000800Gm0W0A0000200XW00xHcmSV6aTe18040YJq0W04000001040Kse
+117QG0Z6W0000040u8X6G0GW0000001G880008020_@F100GaU4000001C6P208000022000
+G400O80C0WU2DO3o4_Aa14040BMP0102040000022YfaX29DuiW40W0000G002400p030000
+2HbPGWS6yf835uP00W000O3OXcb000ZvIBDeJn40020kFX1840001G0aV63fsK1W00000010
+o0msfqWBvCerG6cUB10208ruWn0T6W000G000200024W00XIc00100Gw80vAo040002020TG
+Q0W00WPPI0000uaT9Sh33jr9100G000u0G000gfn000o0W1W200GO121640A8C061cfDDOEJ
+30030Lsf1600GC0CW01040KG44200020o8W100100W200W0000Xf00000W0K00000W000G60
+04C0OA01082K04K80a0Ab5G2RHO0160alJDW000440K4800020WWW004W0060C09000140G8
+1xTQ0G00102000I00U0p0CG00028W0C2012000m0H00104030000GHIhpWRID0028000W100
+0o0fAa10404QM200SU10000GG00G0em@@9041000C0W0O10O1800400oFp001m0NSa000210
+GW0010100W40G080G1W0800W5mC0A80GncF008110I5YeW2bGpI003000WWaDEV00420G1GA
+15LMndP000WC00m4HdP0ms5WvEV000080aA2Ib500XK0IIp00C20000IgEpW@@D0H000WW00
+W2WK00kiswaX1HDuIM600041040080YWGGGmFaI0m00GePI002100W0A400We0U00004r10W
+@@P00GG4e000W082002ZEMAXaqg0W420001ct5D8qr42JvX2QPuE56kUAaNPDu4N3MCrWaMz
+uAtY0100Cng1d9Q0406W@@h84J3840020000300q@@60YO0e@sDQZoWB5X9zL6YARZupU000
+0NyPFy@l1000m3DBXEPD89j401S0SCx3v34200020010Xc550W8iaqo9_ih_@@@@@@@@@@@@
+@@@@@@@@@hZv@vS_FEe@VJw@se_VDh@J3x@pq_VsSO6Vt0000yHkQmBxLyUk1dXx10G00008
+004002pdXUudfYzD00SrcCl70040_orWovhuGN3sfDXeUJuGyP6oV3m@70Nb7LuYmSnl7000
+me500qXT50008040000004G02mvk6qWF6W040h9sWE0CemN9oMl280G008W0e001iCj1FGpG
+6v6y2G200iZxXeY3thuiT3kItWTtheuV6W001C@k1GW00W0040020020GW08000G020W0400
+100140uTQ3W0000W0O011W04800800200X0WG00Ssk12800W0000mX0G00WGc19aQj120W0W
+000ymU2080400010W088IS322mWnrJ0040000800W0188000000CBU20G820G000008G00G0
+020010010802W00080600240W0GG05002GW10WW230002W05WW14OWC3CW040myl6GW18W00
+C0000C120100008200G000002Gm3060018uXT680300020W08000GW008G00W00GW00y@l12
+W00cEs02W024000wZcXL_p9dO3E2E100OnnNeol8dyLY4pId01W0000G200GW_@t00102n@d
+00G0mWqD02400040WP@D0G0002000WPF0Npdmu@68080020000W006000pRdGwr6KqV20400
+0W1Wjwl10010MPs0000G000GsZ@10C0000820G01aA@30W000400ajS2fBRGY_9a1W1r@R00
+082o2000040Mzt000W00802040000G410I0GV9IymV240000006010008000110bfNV8ZR3s
+s@XgnD8Rw4YHP30Y00H1a00G0000i4RyN104008020GG20YkE1W102p@R0402W3@J8FR3082
+004J0BAW4AybXqoDuUS3wlcXd_VOHT344008G00u2R90_o04wX1vjRGPz6yll1Pwdmx@94Zh
+4000GIKtWvtD8x130044800000G0000X10WW0010100W00GW00G2W007060m0002K0Int00C
+0008000000X0000109a200G3081G04280W306GK0000A00G1000au30000404gk100e10W03
+06160012407920HGG0Q4O000080G008000100Y9YD8A03W0W0O0000HG0210X011mCW10000
+4Wq_k10002020CCpl188080K00W06000300440WGxJW00040W0000408020000800020400m
+k86SdI2t_R000C500000GW00205q0330eG00WG00BA004000e020G0G0W20W0000O0W0uFZ4
+0I90000G4004C0mI2010000OW00W004e0eL_4000Y00002G0O00a20m150ptpGA3FG400000
+10G0GA00NK0440I18XQ_D0ux3GawF000H10I50eW2eyiP000GoiHF06000408b0W2gyiD8AW
+4G2004TD30002G40004G4G808G7@CifY1H1811G0WKgP000800002V000vPp0000AG0000Xq
+Ga002cdT28400opsWajP0H410001GXe00H18njmEb3l1TFDJoBy4dDCWqU0EpgeFim00meGq
+r602m08wQF2nsWe0gGa00GM0LaYj1PSXHK0Fq2E3PJVIArg00828FxeQ7@10040l3PGNt9q@
+Z7Hummf_60Wg0u1gDIPFaZtJeMV6UWF10WG0W00040000010OlU3000W0W00008GoGOC0100
+uCU6000404000984Gkw6000W0B040020W1uV000G020WWfvb0080mEG6Szl1nGPGrMO0000W
+010mLz6CMF3G0008000axk1G000cAWX8aOW010GT_600mf7080040WWNoU008mGR0CKzV280
+04glFXvyDOtV3oM3ZuwD8lh400005pa1nymGdOLKt330n90Eq7csvOeSDC0G00GG02002800
+K00A0000000100HS@V2G01000A80W0Ku@V3e003SVM200804GW101G20G230000G2100000O
+5680Kzl1W800000AaGJ20E00e0W00GX02GG01K08ekqC001020008W0W2K020sHp00300011
+040W04Nb1001G0005eW08G000W20800HG0000W0001002A0808100W1G00109W00G0000081
+0W006220000081e000I000W0000Wa02090W0000420010Ssc100w1sRp04400G800IjAXIqC
+0014GkR600a0G0eK0aG200041260400000W01I08W80G80800G2WW0W000800600X40G2100
+m0340X10W4C0120K00C00W000G00GW184G000W2000801180020G02820m10AWG00000We88
+00S000W000005YW00Z03104431WMSI0W@10200000G040m1G002y_V28Ga00W2GW01000208
+0120O001aW1A88000G484G400000241818G04GW02000PG05810WG00G00eWW8G500qiV20G
+01G000O000000080002W000@4QW020WIIC8gV3C002CpF3fJP0046Wi7C0000lk02W7yI000
+C000GeyoO0W214W000100H1DQ00G08002002W1cRp0W0G00500QHqWLHCu@V30404y@l1011
+0EMq001000X00o8qWW2J0001G2M6000H87B300GK001Iu@@4W100000000WUC004Wy2C0400
+G7P60120W0K001C0Wk0C0004GRN6aSW1G000sJqWC4D000G00HGeS4Vupn400002004I0000
+20WX@@h0G08GGb98000eD43sgy1XY30VIMnna6am83BMQmsbCqGO20410oZJYayCugm4040Y
+0G088LC3W080W0m08HJC02WWG00C1O000000800CC000GyE00a2P200W4ItC10008040W2DZ
+XoBP0204G_3600080804000ncCrCuJD3IKK2GW809KoG7dO0020008000G0Y@@P00m5ODeOC
+Od1JVQGhbFiSP200eG0801qT63W000000GG04000008000420WA404KW00Ge80445W80W1O4
+m000001Gksq0G003@@R0W800J908080000M00W801303aHW100004xTP0uI30W004RHaW200
+020214004W40A02GA2G0D2O0000C1808400W800G0OOHG30G000010X0200YK6010888HO0o
+7W100004080K000000G0006080W0002W04fW00M3080100G142W001050FaQ00O0mkKDG0GG
+020W340X2420OK00G00006G400004A000WA300EBr0C0100040006102GG002I180e800HXP
+1O00W000050tcQGG26W002G0G0IN26O80m0201HyP645W1Xfc0Y000G01HC10f40KObFs3j2
+Pm4f900WW20c22f0AAQ149C500M7pWaoC00Gbp@@FW400a508baq2hi0I000CLg0Fy@l10m2
+08L8HbAG2v38Hog60G0000WGgG40YynI0043GgOF0G00080090204K220jaP0008G000Hr_O
+0mN0WPQJO503G41000G84010GM0900208qC900KG20001WW0GrP60002OeM32DBaLLFPvL30
+200000W5c00GLXOa5m3rak1400WtFDOsoJ00W4CGh7000012000008410000Wv@@@n010WKV
+l90089u6uD003GyEm3zUOGoT6G10WJ1q73000kynm8AW4IAp0m100000WA5sWPVD0mS1GIQL
+Cte1P1OGkm9SCC3H1mGePUaFsFXcrr@@@@@@@@@@@@@@@@@@@@@@1C@NWp@30zV0I@@@q@zN
+z@_N@dVs@tgF301000080D0OGDxm0Y00ORkn00G0atE3T0mmbyaKRh1huzVRy6040004W00G
+00000200020cS5ZX_h0420miWQ1W02O@y4000AyWF37Je2000Oy100zz7b00W00000A0W0ge
+sWJ2QvyV9000I4qOK00010020000K002CGap6C@f16000oAm60a90BIMNs3juU00eI1gk_d1
+0X000810QBtWmtJuxTL00afbKmLRORGQt6010008W001004600WtVEZOy4WnGTAp@4ot_X1l
+D08c0Mwt600O08I1LuE00qQQKzvM1000YkoJew1L000000eQ8Ure800GaDk1000WC000W0W0
+m0000Y100I0W206WP030GieGB00000Gu0ap3L60804040qrl1042000080G0228Gn0W00000
+4G000002K0aAaAHA4LZxIm00aI0P1508XqiftervD00KHbiBL1qp0Y820002W0840YkEXif9
+PxU60fn0i9LTz3h2000wScaBzeM000000e7EKvkockY2dX9zT3YVUfehF9RQL000GA400ecw
+k0808yUk100H01000cRV22008Mtu4005Bh6b6W00WCtDugS3Ukw401000_V0AblYcvFPU9I6
+ht0400H3binozR000WWJ00myyIC8W7bm1JgP90040Aqi4G00Wa0o900oE65AA08020G10000
+0Y010eLV3G800ysl10880owY1e000Zz@my@60002Au060TM0ivkJ00500GW100W200120021
+0XWG02000W00A8002H000W0280140009W00200004210140008WQsC0010W00008400niPGy
+V9000W8GgeG302G8200W4009400I0058G020X42000400KG000e84G018008801G000000I1
+024000GW0ZOO010e000W0400100008408eDm400WbSM7L0O00000WK6c1bNbGXO94pc10802
+IIKY@2h0GY3mS@KX00100000001XMuC000O180W908W07AomfP6SVc1G000000WG000fLk40
+0000WG08i738B00y@VK040200010G008VD3Ebq0G0000410AgC1W000@KQm@Q9qWM251o010
+0004sRzq1c0W0WKAD0802GeP9C3d100W002014x230G00Moq060600008MFp0mfD0@@t500W
+WmCJ8sJ6_UaX18DG2G20000XZDD02W0mcC90000KH00m@@H120G0G08000000n000O0O8000
+000W080220a20Z2300000030W0G0010G0000204080004100080GW40011005Y108G1WO0O0
+W0004G0W250000Ru@@f2040GeP90000W20Gef00031WM2080000G1800eaK30005qJf10010
+0e0W004000C0W00041000000WW00Oihf1WWA0AS5C0080aA2e450aaJe1XcnGghC0G0000I1
+2uY2b33P00e02000mKiQv@@P004G20001eY0204ieGps00W018100W0Y00111s7A1m000GG0
+0004N_@@RV5D3eN1W@@730WGmPcsaag1c000_@lBm000XcHIK0d4QsRnd9H8Q94Vs30CC0_@
+@@@@@@@@@@@@@@@@@@@@Fb@@Iz@V_d@ZFw@td_lzg@N@w@qp_@yj@Blx@n@_Fym@@Uy@kB@V
+xp@pEz@hN@lws@d_z@eZ@@vv@Rk_@bl@Fvy@FU@@Yx@Vu@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
@@ -3673,9 +4124,41 @@ w@@@n@VV_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
 @@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
-@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@3600W1000WggUBPC3080010000520000m0020G0000
-0Z0000040G2044102X00HG0W480G140O02D6pW19DmGC09W0010WG9GY3600G90000060O00
-20000060G106zW100GvLLdm7zW100M2oTu70
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lN0m0000G
+9GQNkW1020W0000WY00000P0O000c0000090GG4084204110IW005G0W180PI3I4qW1O0020
+8002A1O8n130A10000O0030Om36e0018w130eyLfJuP8w130B10ax0F00W@1
  ;
 ' // Loading device with a `jstart` instruction. 
 IRSCAN 10, $03cc
@@ -3748,4 +4231,4 @@ IF D > 2500 THEN CALL ADJUST_BIG_DELAY;
 IF D <= 2500 THEN CALL ADJUST_SMALL_DELAY;
 ENDPROC;
 
-CRC 5378;
+CRC B812;
index 90687d64616ac2c8683f190392f4be15e0d42589..41c361842ea9e888e7467a11b62550ca7b6f5410 100644 (file)
@@ -4,7 +4,7 @@
    NET  ADDON_TO_TRB_CLKINN      LOC ="G16";
    NET  ADDON_TO_TRB_CLKINP      LOC ="G17";
    NET "ADDON_TO_TRB_CLKINP" TNM_NET = ADDON_TO_TRB_CLKINP;
-#   TIMESPEC TS_ADDON_TO_TRB_CLKINP =PERIOD "ADDON_TO_TRB_CLKINP" 9 ns; #mdc
+#   TIMESPEC TS_ADDON_TO_TRB_CLKINP =PERIOD "ADDON_TO_TRB_CLKINP" 9 ns; # ADDON_CONSTRAINTS
    NET  ADO_LV<0>        LOC ="AC9";
    NET  ADO_LV<1>        LOC ="AC8";
    NET  ADO_LV<2>        LOC ="AG3";
    NET  TDC_RESET        LOC ="C5"| IOSTANDARD = "LVTTL";
     NET  TLK_CLK         LOC ="AG16"| IOSTANDARD = "LVTTL";
     NET "TLK_CLK" TNM_NET =TLK_CLK;
-    #TIMESPEC TS_TLK_CLK =PERIOD "TLK_CLK" 9 ns;
+   TIMESPEC TS_TLK_CLK =PERIOD "TLK_CLK" 8 ns;
     NET  TLK_ENABLE      LOC ="R24"| IOSTANDARD = "LVTTL";
     NET  TLK_LCKREFN     LOC ="L28"| IOSTANDARD = "LVTTL";
     NET  TLK_LOOPEN      LOC ="R19"| IOSTANDARD = "LVTTL";
     NET  TLK_RXD<15>     LOC ="G33"| IOSTANDARD = "LVTTL";
     NET  TLK_RX_CLK      LOC ="AF18"| IOSTANDARD = "LVTTL";
     NET "TLK_RX_CLK" TNM_NET =TLK_RX_CLK;
-    #TIMESPEC TS_TLK_RX_CLK =PERIOD "TLK_RX_CLK" 9 ns;
+   TIMESPEC TS_TLK_RX_CLK =PERIOD "TLK_RX_CLK" 8 ns;
     NET  TLK_RX_DV       LOC ="M30"| IOSTANDARD = "LVTTL";
     NET  TLK_RX_ER       LOC ="P20"| IOSTANDARD = "LVTTL";
     NET  TLK_TXD<0>      LOC ="H27"| IOSTANDARD = "LVTTL";
     NET  TLK_TX_ER       LOC ="P24"| IOSTANDARD = "LVTTL";
    NET  TOKEN_IN         LOC ="E6"| IOSTANDARD = "LVTTL";
    NET  TOKEN_OUT        LOC ="C13"| IOSTANDARD = "LVTTL";
-   NET  VIRT_CLK         LOC ="H19";
-   NET  VIRT_CLKB        LOC ="H18";
+   NET  VIRT_CLK         LOC ="H19"| IOSTANDARD="LVDS_25";
+   NET  VIRT_CLKB        LOC ="H18"| IOSTANDARD="LVDS_25";
    NET  VIRT_TCK         LOC ="K34"| IOSTANDARD = "LVTTL";
    NET  VIRT_TDI         LOC ="M31"| IOSTANDARD = "LVTTL";
    NET  VIRT_TDO         LOC ="N30"| IOSTANDARD = "LVTTL";
  NET "VIRT_CLK" TNM_NET =VIRT_CLK;
  TIMESPEC TS_VIRT_CLK =PERIOD "VIRT_CLK" 9 ns;
  NET "REF_TDC_CLK" TNM_NET = REF_TDC_CLK;
- TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 23 ns;
+#  TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 25 ns;
+
+ NET "CLK" TNM_NET=CLK;
+ TIMESPEC TS_CLK =PERIOD "CLK" 9 ns;
 
  NET "A_DATA_READY" TNM = TDC_NET_GROUP ;
  NET "B_DATA_READY" TNM = TDC_NET_GROUP ;
 #   NET "FS_PB<16>" TNM_NET =  FS_PB_16;       
 #   NET "FS_PB<17>"TNM = ETRAX_NET_GROUP ;     
 #   NET "FS_PB_17"   TNM = ETRAX_NET_GROUP ;   
-#   NET "FS_PC<0>"  TNM = ETRAX_NET_GROUP ;    
-#   NET "FS_PC<1>"  TNM = ETRAX_NET_GROUP ;    
-#   NET "FS_PC<2>"  TNM = ETRAX_NET_GROUP ;    
-#   NET "FS_PC<3>"  TNM = ETRAX_NET_GROUP ;    
-#   NET "FS_PC<4>"  TNM = ETRAX_NET_GROUP ;    
+   NET "FS_PC<0>"  TNM = ETRAX_NET_GROUP ;     
+   NET "FS_PC<1>"  TNM = ETRAX_NET_GROUP ;     
+   NET "FS_PC<2>"  TNM = ETRAX_NET_GROUP ;     
+   NET "FS_PC<3>"  TNM = ETRAX_NET_GROUP ;     
+   NET "FS_PC<4>"  TNM = ETRAX_NET_GROUP ;     
    NET "FS_PC<5>"  TNM = ETRAX_NET_GROUP ;     
    NET "FS_PC<6>"  TNM = ETRAX_NET_GROUP ;     
    NET "FS_PC<7>"  TNM = ETRAX_NET_GROUP ;     
    NET "FS_PC<13>" TNM = ETRAX_NET_GROUP ;     
    NET "FS_PC<14>" TNM = ETRAX_NET_GROUP ;             
    NET "FS_PC<15>" TNM = ETRAX_NET_GROUP ;             
-#TIMESPEC "TS_ETRAX_NET_FFS" = FROM ETRAX_NET_GROUP TO RAMS 5 ; #TO PADS
+#   NET "FS_PC<16>" TNM = ETRAX_NET_GROUP ;
+               
+#TIMESPEC "TS_ETRAX_NET_FFS" = FROM ETRAX_NET_GROUP TO PADS 5 ; #TO PADS
+
 #NET "FS_PB<16>" OFFSET = OUT 6.8 ns AFTER "VIRT_CLK" HIGH;
-#TIMEGRP "ETRAX_NET_GROUP" OFFSET = OUT 6.8 ns AFTER "VIRT_CLK" HIGH;
-# NET "A_DATA_READY" TNM = TDC_NET_GROUP_FF ;
-# NET "B_DATA_READY" TNM = TDC_NET_GROUP_FF ;
-# NET "C_DATA_READY" TNM = TDC_NET_GROUP_FF ;
-# NET "D_DATA_READY" TNM = TDC_NET_GROUP_FF ;
+
+TIMEGRP "ETRAX_NET_GROUP" OFFSET = OUT 12 ns AFTER "VIRT_CLK" HIGH;
+
+
 # TIMESPEC "TS_TDC_NET_FFS" = FROM TDC_NET_GROUP TO FFS 5 ; #TO PADS ....
 # TIMESPEC "TS_TDC_NET_RAM" = FROM TDC_NET_GROUP TO RAMS 5 ; 
 
-# NET "TOKEN_OUT" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
-# NET "A_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH;
-# NET "B_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH;
-# NET "C_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH;
-# NET "D_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH;
+# TIMEGRP "TDC_NET_GROUP" OFFSET = IN 10 ns BEFORE "REF_TDC_CLK" HIGH;
+
+# TIMEGRP "TDC_NET_GROUP" OFFSET = IN 11 ns AFTER "REF_TDC_CLK" HIGH;
+
+ TIMESPEC "TS_TDC_NET_FFS" = FROM TDC_NET_GROUP TO RAMS 5;
+
+ NET "TOKEN_OUT" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "A_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "B_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "C_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "D_TRIGGER" OFFSET = OUT 11.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+
+ NET "A_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "A_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "B_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "B_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "C_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "C_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "D_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
+ NET "D_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH; #TDC_COINSTRAINTS
 
- NET "A_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "A_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "B_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "B_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "C_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "C_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "D_TDC_BU_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
- NET "D_TDC_EV_RESET" OFFSET = OUT 12.3 ns AFTER "REF_TDC_CLK" HIGH;
 
 
-# NET "A_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
-# NET "B_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
-# NET "C_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
-# NET "D_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
 
index 546d2d32fb96796a138ed429ca2adccdc7f87255..1bf86bd9f68d4bc2fb9132623d593d7ac2301442 100644 (file)
@@ -4,23 +4,27 @@ library UNISIM;
 use UNISIM.VCOMPONENTS.all;
 library ieee;
 library work;
+use IEEE.STD_LOGIC_ARITH.ALL;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 use work.all;
-use work.version.all;
+--use work.version.all;
 use work.trb_net_std.all;
 
 entity trb_v2b_fpga is
   generic (
-    RW_SYSTEM            : integer range 0 to 5 := 1;  --1 -trb, 2 -addon with portE 10 9 as rw
-    TRBV2_TYPE           : integer range 0 to 4 := 0;
+    RW_SYSTEM            : integer range 1 to 2 := 1;  --1 -trb, 2 -addon with portE 10 9 as rw
+    TRBV2_TYPE           : integer range 0 to 5 := 0;
                                         -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto,
                                         -- 1 - MDC,
                                         -- 2 - SHOWER,
                                         -- 3 - RICH
-                                        -- 4 - CTS
-    TRBNET_ENABLE        : integer range 0 to 1 := 0;  -- 0 - disable,
-                                        -- 1 - enable
+                                        -- 4 - CTS+VULOM
+                                        -- 5 - CTS only, lvl2 is automatic
+    TRBNET_ENABLE        : integer range 0 to 3 := 0;  -- 0 - disable,
+                                                       -- 1 - end point
+                                                       -- 2 - cts
+                                                       -- 3 slow ctrl
     DTU_ENABLE           : integer range 0 to 2 := 0;  -- 0 - disable, 1 - old
                                                        -- HADES bus, 2 - opt
                                                        -- without trbnet
@@ -28,7 +32,14 @@ entity trb_v2b_fpga is
     HADES_OLD_BUS_ENABLE : integer range 0 to 1 := 0;
     DSP_INT_ENABLE       : integer range 0 to 1 := 0;  -- dsp interface enable
     SDRAM_INT_ENABLE     : integer range 0 to 1 := 0;  -- sdram interface enable
-    SCALERS_ENABLE       : integer range 0 to 1 := 0
+    SCALERS_ENABLE       : integer range 0 to 1 := 0;
+    RW_REGISTERS_NUMBER  : integer range 0 to 40 := 5;  --32 bit registers
+                                                        --accesed by trbnet or
+                                                        --etrax (read/write) -
+                                                        --control
+    R_REGISTERS_NUMBER   : integer range 0 to 40 := 15; --only read - status
+    ENABLE_DMA           : integer range 1 to 2 := 1;  --1- DMA , 2 - no DMA
+    NUMBER_OFF_ADD_DATA  : integer range 0 to 255 := 8 
    );
   port (
     -------------------------------------------------------------------------
@@ -37,7 +48,7 @@ entity trb_v2b_fpga is
     VIRT_CLK        : in  std_logic;
     VIRT_CLKB       : in  std_logic;
     RESET_VIRT      : in  std_logic;
-    DBAD            : out std_logic;    --diode
+    DBAD            : out std_logic;    --diode 
     DGOOD           : out std_logic;    --diode
     DINT            : out std_logic;    --diode
     DWAIT           : out std_logic;    --diode
@@ -288,6 +299,103 @@ entity trb_v2b_fpga is
   
     end trb_v2b_fpga;
 architecture trb_v2b_fpga of trb_v2b_fpga is
+
+
+  component trb_net16_endpoint_hades_full
+    generic (
+      USE_CHANNEL               : channel_config_t;
+      IBUF_DEPTH                : channel_config_t;
+      FIFO_TO_INT_DEPTH         : channel_config_t;
+      FIFO_TO_APL_DEPTH         : channel_config_t;
+      IBUF_SECURE_MODE          : channel_config_t;
+      API_SECURE_MODE_TO_APL    : channel_config_t;
+      API_SECURE_MODE_TO_INT    : channel_config_t;
+      OBUF_DATA_COUNT_WIDTH     : integer range 0 to 7;
+      INIT_CAN_SEND_DATA        : channel_config_t;
+      REPLY_CAN_SEND_DATA       : channel_config_t;
+      REPLY_CAN_RECEIVE_DATA    : channel_config_t;
+      USE_CHECKSUM              : channel_config_t;
+      APL_WRITE_ALL_WORDS       : channel_config_t;
+      BROADCAST_BITMASK         : std_logic_vector(7 downto 0);
+      REGIO_NUM_STAT_REGS       : integer range 0 to 6;
+      REGIO_NUM_CTRL_REGS       : integer range 0 to 6;
+      REGIO_INIT_CTRL_REGS      : std_logic_vector(2**(3)*32-1 downto 0);
+      REGIO_USED_CTRL_REGS      : std_logic_vector(2**(3)-1 downto 0);
+      REGIO_USED_CTRL_BITMASK   : std_logic_vector(2**(3)*32-1 downto 0);
+      REGIO_USE_DAT_PORT        : integer range 0 to 1;
+      REGIO_INIT_ADDRESS        : std_logic_vector(15 downto 0);
+      REGIO_INIT_UNIQUE_ID      : std_logic_vector(63 downto 0);
+      REGIO_INIT_BOARD_INFO     : std_logic_vector(31 downto 0);
+      REGIO_INIT_ENDPOINT_ID    : std_logic_vector(15 downto 0);
+      REGIO_COMPILE_TIME        : std_logic_vector(31 downto 0);
+      REGIO_COMPILE_VERSION     : std_logic_vector(15 downto 0);
+      REGIO_HARDWARE_VERSION    : std_logic_vector(31 downto 0);
+      REGIO_USE_1WIRE_INTERFACE : integer);
+    port (
+      CLK                       : in    std_logic;
+      RESET                     : in    std_logic;
+      CLK_EN                    : in    std_logic;
+      MED_DATAREADY_OUT         : out   std_logic;
+      MED_DATA_OUT              : out   std_logic_vector (c_DATA_WIDTH-1 downto 0);
+      MED_PACKET_NUM_OUT        : out   std_logic_vector (c_NUM_WIDTH-1 downto 0);
+      MED_READ_IN               : in    std_logic;
+      MED_DATAREADY_IN          : in    std_logic;
+      MED_DATA_IN               : in    std_logic_vector (c_DATA_WIDTH-1 downto 0);
+      MED_PACKET_NUM_IN         : in    std_logic_vector (c_NUM_WIDTH-1 downto 0);
+      MED_READ_OUT              : out   std_logic;
+      MED_STAT_OP_IN            : in    std_logic_vector(15 downto 0);
+      MED_CTRL_OP_OUT           : out   std_logic_vector(15 downto 0);
+      LVL1_TRG_TYPE_OUT         : out   std_logic_vector(3 downto 0);
+      LVL1_TRG_RECEIVED_OUT     : out   std_logic;
+      LVL1_TRG_NUMBER_OUT       : out   std_logic_vector(15 downto 0);
+      LVL1_TRG_CODE_OUT         : out   std_logic_vector(7 downto 0);
+      LVL1_TRG_INFORMATION_OUT  : out   std_logic_vector(7 downto 0);
+      LVL1_ERROR_PATTERN_IN     : in    std_logic_vector(31 downto 0)                            := x"00000000";
+      LVL1_TRG_RELEASE_IN       : in    std_logic                                                := '0';
+      IPU_NUMBER_OUT            : out   std_logic_vector (15 downto 0);
+      IPU_INFORMATION_OUT       : out   std_logic_vector (7 downto 0);
+      IPU_START_READOUT_OUT     : out   std_logic;
+      IPU_DATA_IN               : in    std_logic_vector (31 downto 0);
+      IPU_DATAREADY_IN          : in    std_logic;
+      IPU_READOUT_FINISHED_IN   : in    std_logic;
+      IPU_READ_OUT              : out   std_logic;
+      IPU_LENGTH_IN             : in    std_logic_vector (15 downto 0);
+      IPU_ERROR_PATTERN_IN      : in    std_logic_vector (31 downto 0);
+      REGIO_COMMON_STAT_REG_IN  : in    std_logic_vector(std_COMSTATREG*32-1 downto 0)           := (others => '0');
+      REGIO_COMMON_CTRL_REG_OUT : out   std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+      REGIO_REGISTERS_IN        : in    std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+      REGIO_REGISTERS_OUT       : out   std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+      REGIO_ADDR_OUT            : out   std_logic_vector(16-1 downto 0);
+      REGIO_READ_ENABLE_OUT     : out   std_logic;
+      REGIO_WRITE_ENABLE_OUT    : out   std_logic;
+      REGIO_DATA_OUT            : out   std_logic_vector(32-1 downto 0);
+      REGIO_DATA_IN             : in    std_logic_vector(32-1 downto 0)                          := (others => '0');
+      REGIO_DATAREADY_IN        : in    std_logic                                                := '0';
+      REGIO_NO_MORE_DATA_IN     : in    std_logic                                                := '0';
+      REGIO_WRITE_ACK_IN        : in    std_logic                                                := '0';
+      REGIO_UNKNOWN_ADDR_IN     : in    std_logic                                                := '0';
+      REGIO_TIMEOUT_OUT         : out   std_logic;
+      REGIO_IDRAM_DATA_IN       : in    std_logic_vector(15 downto 0)                            := (others => '0');
+      REGIO_IDRAM_DATA_OUT      : out   std_logic_vector(15 downto 0);
+      REGIO_IDRAM_ADDR_IN       : in    std_logic_vector(2 downto 0)                             := "000";
+      REGIO_IDRAM_WR_IN         : in    std_logic                                                := '0';
+      REGIO_ONEWIRE_INOUT       : inout std_logic;
+      REGIO_EXT_REG_DATA_IN     : in    std_logic_vector(31 downto 0)                            := (others => '0');
+      REGIO_EXT_REG_DATA_OUT    : out   std_logic_vector(31 downto 0);
+      REGIO_EXT_REG_WRITE_IN    : in    std_logic                                                := '0';
+      REGIO_EXT_REG_ADDR_IN     : in    std_logic_vector(7 downto 0)                             := (others => '0');
+      STAT_DEBUG_IPU            : out   std_logic_vector (31 downto 0);
+      STAT_DEBUG_1              : out   std_logic_vector (31 downto 0);
+      STAT_DEBUG_2              : out   std_logic_vector (31 downto 0);
+      MED_STAT_OP               : out   std_logic_vector (15 downto 0);
+      CTRL_MPLEX                : in    std_logic_vector (31 downto 0);
+      IOBUF_CTRL_GEN            : in    std_logic_vector (4*32-1 downto 0);
+      STAT_ONEWIRE              : out   std_logic_vector (31 downto 0);
+      STAT_ADDR_DEBUG           : out   std_logic_vector (15 downto 0));
+  end component;
+
+
+  
   component trb_net16_endpoint_0_trg_1_api
     generic (
       API_TYPE                : integer range 0 to 1 := c_API_PASSIVE;
@@ -353,9 +461,10 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   
   component vulom_interface
     generic (
-      TRBNET_ENABLE : positive);
+      TRBNET_ENABLE : integer range 0 to 1);
     port (
       CLK                 : in  std_logic;
+      CLK200              : in  std_logic;
       DATA_CLK            : in  std_logic;
       RESET               : in  std_logic;
       DATA_IN             : in  std_logic_vector(1 downto 0);
@@ -377,10 +486,17 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       EVENT_READOUT_START : in  std_logic;
       EVENT_READOUT_END   : out std_logic;
       START_READOUT       : out std_logic;
-      LVL1_TRANSFER_BUSY  : in  std_logic);
+      LVL1_TRANSFER_BUSY  : in  std_logic;
+      LVL1_TRIGGER_CODE   : out std_logic_vector(3 downto 0);
+      LVL1_TRIGGER_TAG    : out std_logic_vector(7 downto 0)
+      );
   end component;
   
   component tdc_interface
+    generic (
+      ENABLE_DMA : positive;
+      NUMBER_OFF_ADD_DATA : positive
+      );
     port (
       CLK                      : in  std_logic;
       TDC_CLK                  : in  std_logic;
@@ -407,14 +523,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       LVL1_CODE                : in  std_logic_vector(3 downto 0);
       LVL2_TAG                 : in  std_logic_vector(7 downto 0);
       HOW_MANY_ADD_DATA        : in  std_logic_vector(7 downto 0);
-      COUNTER_a                : in  std_logic_vector(31 downto 0);
-      COUNTER_b                : in  std_logic_vector(31 downto 0);
-      COUNTER_c                : in  std_logic_vector(31 downto 0);
-      COUNTER_d                : in  std_logic_vector(31 downto 0);
-      COUNTER_e                : in  std_logic_vector(31 downto 0);
-      COUNTER_f                : in  std_logic_vector(31 downto 0);
-      COUNTER_g                : in  std_logic_vector(31 downto 0);
-      COUNTER_h                : in  std_logic_vector(31 downto 0);
+      ADDITIONAL_DATA          : in std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
       LVL2_TRIGGER             : in  std_logic;--_vector(1 downto 0);
       TDC_DATA_OUT             : out std_logic_vector(31 downto 0);
       TDC_DATA_VALID           : out std_logic;
@@ -434,13 +543,18 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       TDC_START                : out std_logic;
       TRIGGER_WITHOUT_HADES    : in  std_logic;
       TRIGGER_WITH_GEN_EN      : in std_logic;
-      TRIGGER_WITH_GEN         : in std_logic
+      TRIGGER_WITH_GEN         : in std_logic;
+      TRB_ID                   : in std_logic_vector(31 downto 0)
       );
   end component;
   
   component etrax_interfacev2
     generic (
-        RW_SYSTEM : positive);
+      ENABLE_DMA : positive;
+      RW_SYSTEM : positive;
+      RW_REGISTERS_NUMBER : positive;
+      R_REGISTERS_NUMBER : positive
+      );
     port (
       CLK                     : in    std_logic;
       RESET                   : in    std_logic;
@@ -464,26 +578,13 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       EXTERNAL_ACK            : out   std_logic;
       EXTERNAL_VALID          : in    std_logic;
       EXTERNAL_MODE           : out   std_logic_vector(15 downto 0);
-      FPGA_REGISTER_00        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_01        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_02        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_06        : out    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_07        : out    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
-      FPGA_REGISTER_0E        : out    std_logic_vector(31 downto 0);
+      RW_REGISTER             : out   std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
+      R_REGISTER              : in    std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
       LVL2_VALID              : in    std_logic
       );
     end component;
   
-    component trb_net16_med_tlk
+  component trb_net16_med_tlk
     port (
       RESET              : in  std_logic;
       CLK                : in  std_logic;
@@ -503,18 +604,17 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       SFP_TX_DIS         : out std_logic;
       MED_DATAREADY_IN   : in  std_logic;
       MED_READ_IN        : in  std_logic;
-      MED_DATA_IN        : in  std_logic_vector (15 downto 0);
-      MED_PACKET_NUM_IN  : in  std_logic_vector (1 downto 0);
+      MED_DATA_IN        : in  std_logic_vector (c_DATA_WIDTH-1 downto 0);
+      MED_PACKET_NUM_IN  : in  std_logic_vector (c_NUM_WIDTH-1 downto 0);
       MED_DATAREADY_OUT  : out std_logic;
       MED_READ_OUT       : out std_logic;
-      MED_DATA_OUT       : out std_logic_vector (15 downto 0);
-      MED_PACKET_NUM_OUT : out std_logic_vector (1 downto 0);
-      MED_ERROR_OUT      : out std_logic_vector (2 downto 0);
+      MED_DATA_OUT       : out std_logic_vector (c_DATA_WIDTH-1 downto 0);
+      MED_PACKET_NUM_OUT : out std_logic_vector (c_NUM_WIDTH-1 downto 0);
       STAT               : out std_logic_vector (63 downto 0);
+      STAT_MONITOR       : out std_logic_vector ( 100 downto 0);
       STAT_OP            : out std_logic_vector (15 downto 0);
-      CTRL_OP            : in  std_logic_vector (15 downto 0)
-      ); 
-    end component;
+      CTRL_OP            : in  std_logic_vector (15 downto 0));
+  end component;
   
   component dsp_interface
     port (
@@ -635,7 +735,32 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       LVL2_TRIGGER_TAG       : out std_logic_vector(7 downto 0);
       LVL1_BUSY              : in  std_logic;
       LVL2_BUSY              : in  std_logic;
-      OPT_DTU_DEBUG_REGISTER : out std_logic_vector(31 downto 0));
+      OPT_DTU_DEBUG_REGISTER_00 :out std_logic_vector(31 downto 0);
+      OPT_DTU_DEBUG_REGISTER_01 : out std_logic_vector(31 downto 0));
+  end component;
+
+  component optical_ctu
+    port (
+      CLK               : in  std_logic;
+      RESET             : in  std_logic;
+      RX_CLK            : in  std_logic;
+      TX_CLK            : in  std_logic;
+      OPT_DATA_IN       : in  std_logic_vector(15 downto 0);
+      OPT_DATA_OUT      : out std_logic_vector;
+      OPT_DATA_VALID_IN : in  std_logic;
+      OPT_DATA_ERR      : in  std_logic;
+      OPT_DATA_SEND     : out std_logic;
+      LVL1_TRIGGER      : in  std_logic;
+      LVL1_CODE         : in  std_logic_vector(3 downto 0);
+      LVL1_TAG          : in  std_logic_vector(7 downto 0);
+      LVL1_BUSY         : out std_logic;
+      LVL2_TRIGGER      : in  std_logic;
+      LVL2_CODE         : in  std_logic;
+      LVL2_TAG          : in  std_logic_vector(7 downto 0);
+      LVL2_BUSY         : out std_logic;
+      OPT_CTU_DEBUG_00     : out std_logic_vector(31 downto 0);
+      OPT_CTU_DEBUG_01     : out std_logic_vector(31 downto 0)
+      );
   end component;
   
   component simpleupcounter_32bit
@@ -645,7 +770,67 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       CLK  : in  std_logic;
       CLR  : in  std_logic);
   end component;
+  
+  component up_down_counter
+    generic (
+      NUMBER_OF_BITS : positive);
+    port (
+      CLK       : in  std_logic;
+      RESET     : in  std_logic;
+      COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+      UP_IN     : in  std_logic;
+      DOWN_IN   : in  std_logic);
+  end component;
+  
+  component DCM_100MHz_to_200MHz
+    port (
+      CLKIN_N_IN        : in  std_logic;
+      CLKIN_P_IN        : in  std_logic;
+      RST_IN          : in  std_logic;
+      CLKDV_OUT       : out std_logic;
+      CLKIN_IBUFGDS_OUT : out std_logic;
+      CLK0_OUT        : out std_logic;
+      CLK2X_OUT       : out std_logic;
+      LOCKED_OUT      : out std_logic);
+  end component;
 
+  component media_interface
+    generic (
+      HOW_MANY_CHANNELS : positive;
+      SYSTEM            : positive
+      );
+    port (
+      RESET              : in  std_logic;
+      SYSTEM_CLK         : in  std_logic;
+      TX_CLK             : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)-1 downto 0);
+      RX_CLK             : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+      RXD                : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+      RX_K               : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+      RX_RST             : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*4-1 downto 0);
+      CV                 : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+      TXD                : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*64-1 downto 0);
+      TX_K               : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+      MEDIA_STATUS       : in  std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+      MEDIA_CONTROL      : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+      MED_DATAREADY_IN   : in  std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+      MED_DATA_IN        : in  std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+      MED_READ_OUT       : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+      MED_DATA_OUT       : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+      MED_DATAREADY_OUT  : out std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+      MED_READ_IN        : in  std_logic_vector(HOW_MANY_CHANNELS-1 downto 0);
+      MED_PACKET_NUM_IN  : in  std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+      MED_PACKET_NUM_OUT : out std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+      MED_STAT_OP        : out std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+      MED_CTRL_OP        : in  std_logic_vector(HOW_MANY_CHANNELS*16-1 downto 0);
+      LINK_DEBUG         : out std_logic_vector(HOW_MANY_CHANNELS*32-1 downto 0);
+      TX_DIS             : out std_logic_vector(HOW_MANY_CHANNELS*16 downto 1);
+      SFP_INP_N          : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+      SFP_INP_P          : in  std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+      SFP_OUT_N          : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0);
+      SFP_OUT_P          : out std_logic_vector(((HOW_MANY_CHANNELS+3)/4)*8-1 downto 0)
+      );
+  end component;
+  
   -----------------------------------------------------------------------------
   -- SFP optical power and temperature --component !!!
   -----------------------------------------------------------------------------
@@ -660,12 +845,18 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
 -------------------------------------------------------------------------------
 -- SIGNALS
 -------------------------------------------------------------------------------
-  constant  VERSION_NUMBER_TIME  : integer := 1210005214;  --interface
-
+  constant  VERSION_NUMBER_TIME  : integer := 4;--VERSION_NUMBER_TIME;  --interface
+  constant HOW_MANY_CHANNELS : integer := 1;
   
   --clk
-  signal CLK       : std_logic;
+  signal clk_lvds       : std_logic;
   signal addon_clk : std_logic;
+  signal clk :std_logic;
+  signal clk50 : std_logic;
+  signal clk200 : std_logic;
+  signal locked_out : std_logic;
+  attribute period: string;
+  attribute period of clk : signal is "10 ns";
   
   --reset
   signal global_reset_counter : std_logic_vector(3 downto 0) := x"0";
@@ -698,11 +889,25 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal event_reset_i            : std_logic;
   signal trigger_to_tdc_i         : std_logic;
   signal token_out_i              : std_logic;
+  signal token_out_long_a         : std_logic;
+  signal token_out_long_b         : std_logic;
   signal fast_ref_trigger         : std_logic;
   signal fast_ref_trigger_synch   : std_logic;
   signal fast_ref_trigger_pulse   : std_logic;
+  signal fast_ref_trigger_pulse_synch   : std_logic;
   signal token_in_i               : std_logic;
   signal not_hades_trigger        : std_logic;
+  signal trigger_miss_match       : std_logic;
+  signal additional_data_i          : std_logic_vector(NUMBER_OFF_ADD_DATA*32-1 downto 0);
+  signal NUMBER_OFF_ADD_DATA_RANGE : integer := 0;
+  signal self_trigg : std_logic;
+
+  --count rate
+  signal one_second_cntr : std_logic_vector(27 downto 0);
+  signal event_end_pulse : std_logic;
+  signal one_second_reset : std_logic;
+  signal event_rate_cntr : std_logic_vector(19 downto 0);
+  signal saved_event_rate : std_logic_vector(19 downto 0);
   
   --common signals for triggers 
   signal lvl1_busy_i         : std_logic;
@@ -710,6 +915,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
   signal lvl1_trigger_tag_i  : std_logic_vector(7 downto 0);
   signal lvl2_trigger_i      : std_logic;
+  signal lvl2_trigger_synch  : std_logic;
   signal lvl1_trigger_i      : std_logic;
   signal lvl2_trigger_code_i : std_logic_vector(3 downto 0) := x"0";
   signal lvl2_trigger_tag_i  : std_logic_vector(7 downto 0) := x"00";
@@ -732,13 +938,25 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal fpga_register_0d_i       : std_logic_vector(31 downto 0);
   signal fpga_register_0e_i       : std_logic_vector(31 downto 0);
   signal fpga_register_0f_i       : std_logic_vector(31 downto 0);
+  signal r_register_i  : std_logic_vector(R_REGISTERS_NUMBER*32-1 downto 0);
+  signal rw_register_i  : std_logic_vector(RW_REGISTERS_NUMBER*32-1 downto 0);
   
   -- tlk
-  signal tlk_rx_clk_r      : std_logic;
-  signal tlk_clk_r         : std_logic;
+  signal tlk_rx_clk_r      : std_logic_vector(3 downto 0);
+  signal tlk_clk_r         : std_logic_vector(0 downto 0);
   signal tlk_register_00_i : std_logic_vector(31 downto 0);
   signal tlk_register_01_i : std_logic_vector(31 downto 0);
+  signal cv_i : std_logic_vector(7 downto 0);
+  signal rx_k_i : std_logic_vector(7 downto 0);
+  signal tx_k_i : std_logic_vector(7 downto 0);
+  signal media_status_i : std_logic_vector(15 downto 0);
+  signal media_control_i : std_logic_vector(15 downto 0);
+  signal link_debug_i : std_logic_vector(31 downto 0);
+  signal sfp_los_vect : std_logic_vector(0 downto 0);
+  signal tlk_rxd_i : std_logic_vector(63 downto 0);
+  signal tlk_txd_i : std_logic_vector(63 downto 0);
 
+  
   --rw external interaface
   signal external_address_i  : std_logic_vector(31 downto 0);
   signal external_data_in_i  : std_logic_vector(31 downto 0);
@@ -787,18 +1005,24 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   
   --dtu
   signal dtu_debug_00_i : std_logic_vector(31 downto 0);
+  signal busy_or_error : std_logic;
+  signal safe_mode_only_one_ref : std_logic;
+  signal optical_tdc_trigger_reg_1 : std_logic;
+  signal optical_tdc_trigger_reg_2 : std_logic;
+  signal optical_tdc_trigger_reg_3 : std_logic;
+  signal optical_tdc_trigger : std_logic;
   
   --other
   signal check_pulse      : std_logic;
   signal check_counter    : std_logic_vector(16 downto 0);
   signal lvds_add_on_data : std_logic_vector(31 downto 0);
-
 --api
+  signal self_trigg_counter : std_logic_vector(7 downto 0);
+ --api
   signal med_dataready_out_i     : std_logic;
   signal med_data_OUT_i          : std_logic_vector (c_DATA_WIDTH-1 downto 0);
-  signal MED_PACKET_NUM_OUT_i    : std_logic_vector (c_NUM_WIDTH-1 downto 0);
-  signal MED_READ_IN_i           : std_logic;
-  signal MED_DATAREADY_IN_i      : std_logic;
+  signal MED_PACKET_NUM_OUT_i    : std_logic_vector (HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+  signal MED_READ_IN_i           : std_logic_vector(0 downto 0);
+  signal MED_DATAREADY_IN_i      : std_logic_vector(0 downto 0);
   signal MED_DATA_IN_i           : std_logic_vector (c_DATA_WIDTH-1 downto 0);
   signal med_error_out_i         : std_logic_vector(2 downto 0);
   signal MED_READ_OUT_i          : std_logic;
@@ -838,13 +1062,97 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal MPLEX_CTRL_i               : std_logic_vector (31 downto 0);
   signal API_STAT_FIFO_TO_INT_i     : std_logic_vector(31 downto 0);
   signal API_STAT_FIFO_TO_APL_i     : std_logic_vector(31 downto 0);
-  signal med_packet_num_in_i        : std_logic_vector(1 downto 0);
+  signal med_packet_num_in_i        : std_logic_vector(HOW_MANY_CHANNELS*c_NUM_WIDTH-1 downto 0);
+
+  --media
+  
+  
+  --trbnet
+  
+--   signal clk_i                       : std_logic;
+--   signal reset_i                     : std_logic;
+--   signal clk_en_i                    : std_logic;
+--   signal med_dataready_out_i         : std_logic;
+--   signal med_data_out_i              : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+--   signal med_packet_num_out_i        : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+--   signal med_read_in_i               : std_logic;
+--   signal med_dataready_in_i          : std_logic;
+--   signal med_data_in_i               : std_logic_vector (c_DATA_WIDTH-1 downto 0);
+--   signal med_packet_num_in_i         : std_logic_vector (c_NUM_WIDTH-1 downto 0);
+--   signal med_read_out_i              : std_logic;
+--   signal med_stat_op_in_i            : std_logic_vector(15 downto 0);
+--   signal med_ctrl_op_out_i           : std_logic_vector(15 downto 0);
+--   signal lvl1_trg_type_out_i         : std_logic_vector(3 downto 0);
+--   signal lvl1_trg_received_out_i     : std_logic;
+--   signal lvl1_trg_number_out_i       : std_logic_vector(15 downto 0);
+--   signal lvl1_trg_code_out_i         : std_logic_vector(7 downto 0);
+--   signal lvl1_trg_information_out_i  : std_logic_vector(7 downto 0);
+--   signal lvl1_error_pattern_in_i     : std_logic_vector(31 downto 0)                            := x"00000000";
+--   signal lvl1_trg_release_in_i       : std_logic                                                := '0';
+--   signal ipu_number_out_i            : std_logic_vector (15 downto 0);
+--   signal ipu_information_out_i       : std_logic_vector (7 downto 0);
+--   signal ipu_start_readout_out_i     : std_logic;
+--   signal ipu_data_in_i               : std_logic_vector (31 downto 0);
+--   signal ipu_dataready_in_i          : std_logic;
+--   signal ipu_readout_finished_in_i   : std_logic;
+--   signal ipu_read_out_i              : std_logic;
+--   signal ipu_length_in_i             : std_logic_vector (15 downto 0);
+--   signal ipu_error_pattern_in_i      : std_logic_vector (31 downto 0);
+--   signal regio_common_stat_reg_in_i  : std_logic_vector(std_COMSTATREG*32-1 downto 0)           := (others => '0');
+--   signal regio_common_ctrl_reg_out_i : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
+--   signal regio_registers_in_i        : std_logic_vector(32*2**(REGIO_NUM_STAT_REGS)-1 downto 0) := (others => '0');
+--   signal regio_registers_out_i       : std_logic_vector(32*2**(REGIO_NUM_CTRL_REGS)-1 downto 0);
+--   signal regio_addr_out_i            : std_logic_vector(16-1 downto 0);
+--   signal regio_read_enable_out_i     : std_logic;
+--   signal regio_write_enable_out_i    : std_logic;
+--   signal regio_data_out_i            : std_logic_vector(32-1 downto 0);
+--   signal regio_data_in_i             : std_logic_vector(32-1 downto 0)                          := (others => '0');
+--   signal regio_dataready_in_i        : std_logic                                                := '0';
+--   signal regio_no_more_data_in_i     : std_logic                                                := '0';
+--   signal regio_write_ack_in_i        : std_logic                                                := '0';
+--   signal regio_unknown_addr_in_i     : std_logic                                                := '0';
+--   signal regio_timeout_out_i         : std_logic;
+--   signal regio_idram_data_in_i       : std_logic_vector(15 downto 0)                            := (others => '0');
+--   signal regio_idram_data_out_i      : std_logic_vector(15 downto 0);
+--   signal regio_idram_addr_in_i       : std_logic_vector(2 downto 0)                             := "000";
+--   signal regio_idram_wr_in_i         : std_logic                                                := '0';
+--   signal regio_onewire_inout_i       : std_logic;
+--   signal regio_ext_reg_data_in_i     : std_logic_vector(31 downto 0)                            := (others => '0');
+--   signal regio_ext_reg_data_out_i    : std_logic_vector(31 downto 0);
+--   signal regio_ext_reg_write_in_i    : std_logic                                                := '0';
+--   signal regio_ext_reg_addr_in_i     : std_logic_vector(7 downto 0)                             := (others => '0');
+--   signal stat_debug_ipu_i            : std_logic_vector (31 downto 0);
+--   signal stat_debug_1_i              : std_logic_vector (31 downto 0);
+--   signal stat_debug_2_i              : std_logic_vector (31 downto 0);
+--   signal med_stat_op_i               : std_logic_vector (15 downto 0);
+--   signal ctrl_mplex_i                : std_logic_vector (31 downto 0);
+--   signal iobuf_ctrl_gen_i            : std_logic_vector (4*32-1 downto 0);
+--   signal stat_onewire_i              : std_logic_vector (31 downto 0);
+--   signal stat_addr_debug_i           : std_logic_vector (15 downto 0);
+  
 
   --vulom
   signal vulom_event : std_logic_vector(31 downto 0);
   signal vulom_event_valid : std_logic;
   signal vulom_readout_start : std_logic;
   signal vulom_readout_end : std_logic;
+  signal vulom_busy : std_logic;
+  signal vulom_lvl1_trigger_i : std_logic;
+  signal vulom_lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+  signal vulom_lvl1_trigger_tag_i : std_logic_vector(7 downto 0);
+  signal ext_lvl1_trigger_i : std_logic:='0';
+  signal ext_tag : std_logic_vector(7 downto 0):=x"00";
+  signal ext_lvl1_trigger_pulse : std_logic;
+  
+  --opt ctu
+  signal opt_lvl1_busy_i : std_logic;
+  signal opt_lvl2_busy_i : std_logic;
+  signal not_lvl1_busy_opt : std_logic;
+  signal opt_ctu_debug_i : std_logic_vector(31 downto 0);
+  signal opt_busy_lvl1_or_lvl2 : std_logic;
+  signal lvl2_opt_busy_pulse : std_logic;
+  signal not_lvl2_opt_busy : std_logic;
   
   --spi
   --a
@@ -880,8 +1188,9 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal test_counter_1 : std_logic_vector(31 downto 0);
   signal test_counter_2 : std_logic_vector(31 downto 0);
   signal trigger_for_test_signal : std_logic;
+  signal ref_time_counter : std_logic_vector(7 downto 0);
 
-
+  
 begin
 
   ----------------------------------------------------------------------------
@@ -907,17 +1216,29 @@ begin
   ----------------------------------------------------------------------------
   
   -- CLK ----------------------------------------------------------------------
-  IBUFGDS_CLK : IBUFGDS                 
-    generic map (
-      IOSTANDARD => "LVDS_25_DCI")
-    port map (
-      O => CLK,--CLK,
-      I => VIRT_CLK,  
-      IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
-    );
-
+   IBUFGDS_CLK : IBUFDS                 
+     generic map (
+       DIFF_TERM => TRUE)
+     port map (
+       O => CLK,
+       I => VIRT_CLK,  
+       IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+     );
+--  here !!!!
+--   DCM_INST: DCM_100MHz_to_200MHz
+-- --     generic map (
+-- --        IOSTANDARD => "LVDS_25_DCI")
+--     port map (
+--         CLKIN_N_IN        => VIRT_CLKB,
+--         CLKIN_P_IN        => VIRT_CLK,
+--         RST_IN          => '0',
+--         CLKDV_OUT       => clk50,
+--         CLKIN_IBUFGDS_OUT => open,
+--         CLK0_OUT        => clk,
+--         CLK2X_OUT       => clk200,
+--         LOCKED_OUT      => locked_out);
   -- AddOn clk ----------------------------------------------------------------
-  IBUFGDS_ADDCLK : IBUFGDS                 
+  IBUFGDS_ADDCLK : IBUFDS                 
     generic map (
       IOSTANDARD => "LVDS_25_DCI")
     port map (
@@ -1043,8 +1364,8 @@ begin
   COUNTER_FOR_TEST_1: process (CLK, reset_i,test_counter_1)
   begin 
     if rising_edge(CLK) then
---      if reset_i = '1' or test_counter_1 > x"F4240"then  --0.1kHz
-      if reset_i = '1' or test_counter_1 = x"00140"then  --for sim
+      if reset_i = '1' or test_counter_1 > x"F4240"then  --0.1kHz
+--      if reset_i = '1' or test_counter_1 = x"00140"then  --for sim
         test_counter_1 <= (others => '0'); 
       else
         test_counter_1 <= test_counter_1 + 1;
@@ -1067,10 +1388,10 @@ begin
   test_b1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(2)='1')  else '1';
   test_c1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(4)='1')  else '1';
   test_d1 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(6)='1')  else '1';
-  test_a2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(1)='1')  else '1';
-  test_b2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(3)='1')  else '1';
-  test_c2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(5)='1')  else '1';
-  test_d2 <= '0' when (test_counter_2 < x"7D0" and fpga_register_0e_i(7)='1')  else '1';
+  test_a2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(1)='1')  else '1';
+  test_b2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(3)='1')  else '1';
+  test_c2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(5)='1')  else '1';
+  test_d2 <= '0' when (test_counter_1 < x"7D0" and fpga_register_0e_i(7)='1')  else '1';
 
   trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1)='1' or fpga_register_06_i(2) ='1' )) else '0';
 
@@ -1090,125 +1411,134 @@ begin
 -------------------------------------------------------------------------------
 -- tiger sharc dma
 -------------------------------------------------------------------------------
-
     
 -------------------------------------------------------------------------------
 -- TRBnet
 -------------------------------------------------------------------------------
 
   TRBnet: if trbnet_enable=1 generate
+--     TRBNET_ENDPOINT_INST: trb_net16_endpoint_hades_full
+--       generic map (
+--           REGIO_NUM_STAT_REGS       => 4,
+--           REGIO_NUM_CTRL_REGS       => 2,
+--           REGIO_USE_DAT_PORT        => c_No,
+--           REGIO_USED_CTRL_REGS      => (others => '1'),
+--           REGIO_COMPILE_TIME        => conv_std_logic_vector(VERSION_NUMBER_TIME,32)
+--           )
+--       port map (
+--           CLK                       => CLK,
+--           RESET                     => reset_i,
+--           CLK_EN                    => '1',
+--           MED_DATAREADY_OUT         => med_dataready_out_i,
+--           MED_DATA_OUT              => med_data_out_i,
+--           MED_PACKET_NUM_OUT        => med_packet_num_out_i,
+--           MED_READ_IN               => med_read_in_i,
+--           MED_DATAREADY_IN          => med_dataready_in_i,
+--           MED_DATA_IN               => med_data_in_i,
+--           MED_PACKET_NUM_IN         => med_packet_num_in_i,
+--           MED_READ_OUT              => med_read_out_i,
+--           MED_STAT_OP_IN            => med_stat_op_in_i,
+--           MED_CTRL_OP_OUT           => med_ctrl_op_out_i,
+--           LVL1_TRG_TYPE_OUT         => lvl1_trg_type_out_i,
+--           LVL1_TRG_RECEIVED_OUT     => lvl1_trg_received_out_i,
+--           LVL1_TRG_NUMBER_OUT       => lvl1_trg_number_out_i,
+--           LVL1_TRG_CODE_OUT         => lvl1_trg_code_out_i,
+--           LVL1_TRG_INFORMATION_OUT  => lvl1_trg_information_out_i,
+--           LVL1_ERROR_PATTERN_IN     => lvl1_error_pattern_in_i,
+--           LVL1_TRG_RELEASE_IN       => lvl1_trg_release_in_i,
+--           IPU_NUMBER_OUT            => ipu_number_out_i,
+--           IPU_INFORMATION_OUT       => ipu_information_out_i,
+--           IPU_START_READOUT_OUT     => ipu_start_readout_out_i,
+--           IPU_DATA_IN               => ipu_data_in_i,
+--           IPU_DATAREADY_IN          => ipu_dataready_in_i,
+--           IPU_READOUT_FINISHED_IN   => ipu_readout_finished_in_i,
+--           IPU_READ_OUT              => ipu_read_out_i,
+--           IPU_LENGTH_IN             => ipu_length_in_i,
+--           IPU_ERROR_PATTERN_IN      => ipu_error_pattern_in_i,
+--           REGIO_COMMON_STAT_REG_IN  => regio_common_stat_reg_in_i,
+--           REGIO_COMMON_CTRL_REG_OUT => regio_common_ctrl_reg_out_i,
+--           REGIO_REGISTERS_IN        => regio_registers_in_i,
+--           REGIO_REGISTERS_OUT       => regio_registers_out_i,
+--           REGIO_ADDR_OUT            => regio_addr_out_i,
+--           REGIO_READ_ENABLE_OUT     => regio_read_enable_out_i,
+--           REGIO_WRITE_ENABLE_OUT    => regio_write_enable_out_i,
+--           REGIO_DATA_OUT            => regio_data_out_i,
+--           REGIO_DATA_IN             => regio_data_in_i,
+--           REGIO_DATAREADY_IN        => regio_dataready_in_i,
+--           REGIO_NO_MORE_DATA_IN     => regio_no_more_data_in_i,
+--           REGIO_WRITE_ACK_IN        => regio_write_ack_in_i,
+--           REGIO_UNKNOWN_ADDR_IN     => regio_unknown_addr_in_i,
+--           REGIO_TIMEOUT_OUT         => regio_timeout_out_i,
+--           REGIO_IDRAM_DATA_IN       => regio_idram_data_in_i,
+--           REGIO_IDRAM_DATA_OUT      => regio_idram_data_out_i,
+--           REGIO_IDRAM_ADDR_IN       => regio_idram_addr_in_i,
+--           REGIO_IDRAM_WR_IN         => regio_idram_wr_in_i,
+--           REGIO_ONEWIRE_INOUT       => regio_onewire_inout_i,
+--           REGIO_EXT_REG_DATA_IN     => regio_ext_reg_data_in_i,
+--           REGIO_EXT_REG_DATA_OUT    => regio_ext_reg_data_out_i,
+--           REGIO_EXT_REG_WRITE_IN    => regio_ext_reg_write_in_i,
+--           REGIO_EXT_REG_ADDR_IN     => regio_ext_reg_addr_in_i,
+--           STAT_DEBUG_IPU            => stat_debug_ipu_i,
+--           STAT_DEBUG_1              => stat_debug_1_i,
+--           STAT_DEBUG_2              => stat_debug_2_i,
+--           MED_STAT_OP               => med_stat_op_i,
+--           CTRL_MPLEX                => ctrl_mplex_i,
+--           IOBUF_CTRL_GEN            => iobuf_ctrl_gen_i,
+--           STAT_ONEWIRE              => stat_onewire_i,
+--           STAT_ADDR_DEBUG           => stat_addr_debug_i);
 
-    trb_net_16_int: trb_net16_endpoint_0_trg_1_api
-      generic map (
-        API_TYPE               => c_API_ACTIVE,
-        IBUF_DEPTH             => 6,
-        FIFO_TO_INT_DEPTH      => 6,
-        FIFO_TO_APL_DEPTH      => 6,
-        SBUF_VERSION           => c_SBUF_FULL,
-        IBUF_SECURE_MODE       => c_SECURE_MODE,
-        API_SECURE_MODE_TO_APL => c_SECURE_MODE,
-        API_SECURE_MODE_TO_INT => c_SECURE_MODE,
-        OBUF_DATA_COUNT_WIDTH  => std_DATA_COUNT_WIDTH,
-        INIT_CAN_SEND_DATA     => c_YES,
-        REPLY_CAN_SEND_DATA    => c_YES,
-        USE_CHECKSUM           => c_YES,
-        DAT_CHANNEL              => c_TRG_LVL1_CHANNEL)
-      port map (
-        CLK                      => CLK,
-        RESET                    => reset_i,
-        CLK_EN                   => '1',
-        MED_DATAREADY_OUT        => med_dataready_in_i,
-        MED_DATA_OUT             => med_data_in_i,
-        MED_PACKET_NUM_OUT       => med_packet_num_in_i,
-        MED_READ_IN              => med_read_out_i,
-        MED_DATAREADY_IN         => med_dataready_out_i,
-        MED_DATA_IN              => med_data_out_i,
-        MED_PACKET_NUM_IN        => med_packet_num_out_i,
-        MED_READ_OUT             => med_read_in_i,
-        MED_ERROR_IN             => med_error_out_i,
-        MED_STAT_OP              => x"0000",        --med_stat_op_i,
-        MED_CTRL_OP              => med_ctrl_op_i,  --x"0000",
-        APL_DATA_IN              => apl_data_in_i,
-        APL_PACKET_NUM_IN        => apl_packet_num_in_i,
-        APL_DATAREADY_IN         => apl_dataready_in_i,
-        APL_READ_OUT             => apl_read_out_i,
-        APL_SHORT_TRANSFER_IN    => '1',
-        APL_DTYPE_IN             => x"0",
-        APL_ERROR_PATTERN_IN     => x"01234567",
-        APL_SEND_IN              => '0',
-        APL_TARGET_ADDRESS_IN    => x"FFFF",
-        APL_DATA_OUT             => apl_data_out_i,
-        APL_PACKET_NUM_OUT       => apl_packet_num_out_i,
-        APL_TYP_OUT              => apl_typ_out_i,
-        APL_DATAREADY_OUT        => apl_dataready_out_i,
-        APL_READ_IN              => '0',
-        APL_RUN_OUT              => apl_run_out_i,
-        APL_MY_ADDRESS_IN        => x"F001",
-        APL_SEQNR_OUT            => apl_seqnr_out_i,
-        STAT_GEN                 => stat_gen_i,
-        STAT_LOCKED              => stat_locked_i,
-        STAT_INIT_BUFFER         => stat_init_buffer_i,
-        STAT_REPLY_BUFFER        => stat_reply_buffer_i,
-        STAT_api_control_signals => stat_api_control_signals_i,
-        STAT_MPLEX               => stat_mplex_i,
-        CTRL_GEN                 => x"00000000",    --ctrl_gen_i,
-        CTRL_LOCKED              => x"00000000",    --ctrl_locked_i,
-        STAT_CTRL_INIT_BUFFER    => stat_ctrl_init_buffer_i,
-        STAT_CTRL_REPLY_BUFFER   => stat_ctrl_reply_buffer_i,
-        MPLEX_CTRL               => x"00000000",
-        API_STAT_FIFO_TO_INT     => api_stat_fifo_to_int_i,
-        API_STAT_FIFO_TO_APL     => api_stat_fifo_to_apl_i);
-
-
- -----------------------------------------------------------------------------
- -- media to api, api to media
- -----------------------------------------------------------------------------
-    TLK_RX_CLK_BUFR : BUFR
-      port map(
-        CE => '1',
-        CLR => '0',
-        I => TLK_RX_CLK,
-        O => tlk_rx_clk_r
-        );
+--   -----------------------------------------------------------------------------
+--   -- media to api, api to media
+--   -----------------------------------------------------------------------------
     
-    TLK_CLK_BUFR: BUFR
-      port map(
-        CE => '1',
-        CLR => '0',
-        I => TLK_CLK,
-        O => tlk_clk_r
-        );
+--      TLK_RX_CLK_BUFR : BUFR
+--        port map(
+--          CE => '1',
+--          CLR => '0',
+--          I => TLK_RX_CLK,
+--          O => tlk_rx_clk_r(0)
+--          );
     
-    TLK_API_INT: trb_net16_med_tlk
-      port map (
-        RESET              => reset_i,
-        CLK                => CLK,
-        TLK_CLK            => tlk_clk_r,
-        TLK_ENABLE         => TLK_ENABLE,
-        TLK_LCKREFN        => TLK_LCKREFN,
-        TLK_LOOPEN         => TLK_LOOPEN,
-        TLK_PRBSEN         => TLK_PRBSEN,
-        TLK_RXD            => TLK_RXD,
-        TLK_RX_CLK         => tlk_rx_clk_r,
-        TLK_RX_DV          => TLK_RX_DV,
-        TLK_RX_ER          => TLK_RX_ER,
-        TLK_TXD            => TLK_TXD,
-        TLK_TX_EN          => TLK_TX_EN,
-        TLK_TX_ER          => TLK_TX_ER,
-        SFP_LOS            => SFP_LOS,
-        SFP_TX_DIS         => SFP_TX_DIS,
-        MED_DATAREADY_IN   => med_dataready_in_i,
-        MED_READ_IN        => med_read_in_i,
-        MED_DATA_IN        => med_data_in_i,
-        MED_PACKET_NUM_IN  => med_packet_num_in_i,
-        MED_DATAREADY_OUT  => med_dataready_out_i,
-        MED_READ_OUT       => med_read_out_i,
-        MED_DATA_OUT       => med_data_out_i,
-        MED_PACKET_NUM_OUT => med_packet_num_out_i,
-        MED_ERROR_OUT      => med_error_out_i,
-        STAT               => stat_i,
-        STAT_OP            => stat_op_i,
-        CTRL_OP            => ctrl_op_i);
+--      TLK_CLK_BUFR: BUFR
+--        port map(
+--          CE => '1',
+--          CLR => '0',
+--          I => TLK_CLK,
+--          O => tlk_clk_r(0)
+--          );
+    
+--      TLK_API_INT: trb_net16_med_tlk
+--        port map (
+--          RESET              => reset_i,
+--          CLK                => CLK,
+--          TLK_CLK            => tlk_clk_r(0),
+--          TLK_ENABLE         => TLK_ENABLE,
+--          TLK_LCKREFN        => TLK_LCKREFN,
+--          TLK_LOOPEN         => TLK_LOOPEN,
+--          TLK_PRBSEN         => TLK_PRBSEN,
+--          TLK_RXD            => TLK_RXD,
+--          TLK_RX_CLK         => tlk_rx_clk_r(0),
+--          TLK_RX_DV          => TLK_RX_DV,
+--          TLK_RX_ER          => TLK_RX_ER,
+--          TLK_TXD            => TLK_TXD,
+--          TLK_TX_EN          => TLK_TX_EN,
+--          TLK_TX_ER          => TLK_TX_ER,
+--          SFP_LOS            => SFP_LOS,
+--          SFP_TX_DIS         => SFP_TX_DIS,
+--          MED_DATAREADY_IN   => med_dataready_in_i,
+--          MED_READ_IN        => med_read_in_i,
+--          MED_DATA_IN        => med_data_in_i,
+--          MED_PACKET_NUM_IN  => med_packet_num_in_i,
+--          MED_DATAREADY_OUT  => med_dataready_out_i,
+--          MED_READ_OUT       => med_read_out_i,
+--          MED_DATA_OUT       => med_data_out_i,
+--          MED_PACKET_NUM_OUT => med_packet_num_out_i,
+--          MED_ERROR_OUT      => med_error_out_i,
+--          STAT               => stat_i,
+--          STAT_MONITOR       => open,
+--          STAT_OP            => stat_op_i,
+--          CTRL_OP            => ctrl_op_i);
     end generate TRBnet;
 
   
@@ -1216,13 +1546,14 @@ begin
   -- Vulom interafce
   -------------------------------------------------------------------------------
   VULOM_EN: if TRBV2_TYPE = 4 generate
-
+    vulom_busy <= lvl1_busy_i or opt_lvl1_busy_i ;
      VULOM_INT : vulom_interface
        generic map (
          TRBNET_ENABLE => TRBNET_ENABLE
          )
        port map (
          CLK                          => CLK,
+         clk200                       => clk200,
          DATA_CLK                     => ADO_TTL(46),
          RESET                        => reset_i,
          DATA_IN                      => ADO_TTL(42 downto 41),  --lvds_add_on_data (3 downto 0),
@@ -1230,25 +1561,27 @@ begin
          ACK_TO_VULOM                 => ADO_TTL(34),
          ERR_TO_VULOM                 => ADO_TTL(33),
          API_DATA                     => open,
-         API_RUN_OUT                  => lvl1_busy_i,  --lvl1_cts_busy or lvl1_system_busy,  --apl_run_out_i,
+         API_RUN_OUT                  => vulom_busy,--lvl1_busy_i,  --lvl1_cts_busy or lvl1_system_busy,  --apl_run_out_i,
          API_SHORT_TRANSFER           => open,
-         API_DTYPE                    => lvl1_trigger_code_i,
+         API_DTYPE                    => open,
          API_SEND                     => apl_send_in_i,
          API_WRITE_IN                 => open,
          API_TARGET_ADDRESS           => open,
          API_SEQNR_OUT                => apl_seqnr_out_i,  --x"00",
-         VULOM_INT_REG                => open,--vulom_int_reg_00,
+         VULOM_INT_REG                => fpga_register_09_i,--vulom_int_reg_00,
          INTERFACE_CONTROL            => fpga_register_06_i(11 downto 8),
          EVENT_OUT                    => vulom_event,
          EVENT_VALID                  => vulom_event_valid,--counter_1_i,
          EVENT_READOUT_START          => vulom_readout_start,
          EVENT_READOUT_END            => vulom_readout_end,
-         START_READOUT                => open,--lvl1_trigger_i,
-         LVL1_TRANSFER_BUSY           => lvl1_busy_i --lvl1_cts_busy  --lvl1_cts_busy or lvl1_system_busy
+         START_READOUT                => vulom_lvl1_trigger_i,
+         LVL1_TRANSFER_BUSY           => lvl1_busy_i, --lvl1_cts_busy  --lvl1_cts_busy or lvl1_system_busy
+         LVL1_TRIGGER_CODE            => vulom_lvl1_trigger_code_i,
+         LVL1_TRIGGER_TAG             => vulom_lvl1_trigger_tag_i 
          );
      ADO_TTL(42 downto 40) <= (others => 'Z');
-     ADO_TTL(34) <= '1';
-
+--           ADO_TTL(34) <= '1';
+    
   end generate VULOM_EN;
 
   
@@ -1271,13 +1604,28 @@ begin
 
   TDC_INT_FOR_DIFF_PLATFORMSb: if TRBV2_TYPE = 1 or TRBV2_TYPE = 2 generate
     tdc_clk_i <= addon_clk;
-    tdc_data_in_i <= x"0" & "00" & ADO_TTL(32 downto 7);
+    tdc_data_in_i <= ADO_TTL(38 downto 7);
     a_data_ready_i <= ADO_TTL(3);
     b_data_ready_i <= ADO_TTL(3);
     c_data_ready_i <= ADO_TTL(3);
     d_data_ready_i <= ADO_TTL(3);
     token_in_i <= ADO_TTL(2);
-    ADO_TTL(1) <= token_out_i;          --longer token !?
+    ADO_TTL(1) <= token_out_long_b;          --longer token !?
+    TOKEN_OUT <= '0';
+    
+    MAKE_LONGER: process (CLK, reset_i)
+    begin 
+      if rising_edge(CLK) then
+        if reset_i = '1' then
+          token_out_long_a <= '0';
+          token_out_long_b <= '0';
+        else
+          token_out_long_a <= token_out_i;
+          token_out_long_b <= token_out_long_a or token_out_i;
+        end if;
+      end if;
+    end process MAKE_LONGER;
+    
   end generate TDC_INT_FOR_DIFF_PLATFORMSb;
 
    TDC_INT_FOR_DIFF_PLATFORMSc: if TRBV2_TYPE = 4 generate
@@ -1289,10 +1637,26 @@ begin
      d_data_ready_i <= vulom_event_valid;--start_readout_i;
      token_in_i <= vulom_readout_end;
      vulom_readout_start <= token_out_i;          --longer token !?
+     TOKEN_OUT <= '0';
    end generate TDC_INT_FOR_DIFF_PLATFORMSc;
   
-      
+  TDC_INT_FOR_DIFF_PLATFORMSd: if TRBV2_TYPE = 5 generate
+     tdc_clk_i <= CLK;
+     tdc_data_in_i <= x"babeface";
+     a_data_ready_i <= '0';--start_readout_i;
+     b_data_ready_i <= '0';--start_readout_i;
+     c_data_ready_i <= '0';--start_readout_i;
+     d_data_ready_i <= '0';--start_readout_i;
+     token_in_i <= '0';
+     TOKEN_OUT <= '0';
+   end generate TDC_INT_FOR_DIFF_PLATFORMSd;
+
   TDC_INT : tdc_interface
+    generic map (
+      ENABLE_DMA => ENABLE_DMA,
+      NUMBER_OFF_ADD_DATA =>  NUMBER_OFF_ADD_DATA
+      )
     port map (
       CLK                    => CLK,
       TDC_CLK                => tdc_clk_i,
@@ -1319,14 +1683,7 @@ begin
       LVL1_CODE              => lvl1_trigger_code_i,  --apl_data_out_i(3 downto 0),  --tdc_code_i,
       LVL2_TAG               => lvl2_trigger_tag_i,  --apl_seqnr_out_i,  --tdc_tag_i,
       HOW_MANY_ADD_DATA      => fpga_register_06_i(23 downto 16), 
-      COUNTER_a              => scaler_counter(0)(31 downto 0),  
-      COUNTER_b              => scaler_counter(1)(31 downto 0), 
-      COUNTER_c              => scaler_counter(2)(31 downto 0),  
-      COUNTER_d              => scaler_counter(3)(31 downto 0),  
-      COUNTER_e              => scaler_counter(4)(31 downto 0),  
-      COUNTER_f              => scaler_counter(5)(31 downto 0), 
-      COUNTER_g              => scaler_counter(6)(31 downto 0),
-      COUNTER_h              => scaler_counter(7)(31 downto 0),
+      ADDITIONAL_DATA        => additional_data_i,
       LVL2_TRIGGER           => lvl2_trigger_i, 
       TDC_DATA_OUT           => tdc_data_out_i,
       TDC_DATA_VALID         => tdc_data_valid_i,
@@ -1346,41 +1703,198 @@ begin
       TDC_START              => trigger_to_tdc_i,
       TRIGGER_WITHOUT_HADES  => fpga_register_06_i(7),
       TRIGGER_WITH_GEN_EN    => fpga_register_06_i(8),
-      TRIGGER_WITH_GEN       => not_hades_trigger
+      TRIGGER_WITH_GEN       => not_hades_trigger,
+      TRB_ID                 => rw_register_i((4+1)*32-1 downto 4*32)
       );
-  not_hades_trigger <= trigger_for_test_signal or fast_ref_trigger_pulse;  --'0';  -- or trigger_for_test_signal;
+  ONE_SECOND_COUNTER: up_down_counter
+      generic map (
+        NUMBER_OF_BITS => 28)
+      port map (
+        CLK       => CLK,
+        RESET     => one_second_reset,
+        COUNT_OUT => one_second_cntr,
+        UP_IN     => '1',
+        DOWN_IN   => '0');
+  
+  SET_RESET_EACH_ONE_SECOND : process (CLK, reset_i)
+  begin  
+    if rising_edge(CLK) then  
+      if reset_i = '1' then
+        one_second_reset <= '0';
+        r_register_i((14+1)*32-1 downto 14*32) <= (others => '0');
+      elsif one_second_cntr = x"5F5E100" then
+        one_second_reset <= '1';
+         r_register_i((14+1)*32-1 downto 14*32) <= x"000" & event_rate_cntr;
+      else
+        one_second_reset <= '0';
+        r_register_i((14+1)*32-1 downto 14*32) <= r_register_i((14+1)*32-1 downto 14*32);
+      end if;
+    end if;
+  end process SET_RESET_EACH_ONE_SECOND;
+
+  LOCAL_CLK_EVENT_END_PULSE : edge_to_pulse
+    port map (
+      clock  => CLK,
+      en_clk => '1',
+      signal_in => token_in_i,--fast_ref_trigger_synch,
+      pulse  => event_end_pulse);
 
+    
+  TRIGGER_COUNTER_RATE: up_down_counter
+      generic map (
+        NUMBER_OF_BITS => 20)
+      port map (
+        CLK       => CLK,
+        RESET     => one_second_reset,
+        COUNT_OUT => event_rate_cntr,
+        UP_IN     => event_end_pulse,
+        DOWN_IN   => '0');
+
+
+--  not_hades_trigger <= trigger_for_test_signal or fast_ref_trigger_pulse;  --'0';  -- or trigger_for_test_signal;
+  ADO_TTL(24) <= 'Z';
+--  not_hades_trigger <= trigger_for_test_signal or ADO_TTL(24) or fast_ref_trigger or self_trigg_counter(7);  --'0';  -- or trigger_for_test_signal;
+
+  CONFIG_SELF_TRIG : process (CLK, reset_i)
+  begin  
+    if rising_edge(CLK) then  
+      if reset_i = '1' then
+        self_trigg <= '0';
+      else
+        case (rw_register_i( (3+1)*32 - 1 downto (3*32) +28)) is
+          when x"0" =>
+            self_trigg <=  one_second_cntr(26);
+          when x"1" =>
+            self_trigg <=  one_second_cntr(22);
+          when x"2" =>
+            self_trigg <=  one_second_cntr(18);
+          when x"3" =>
+            self_trigg <=  one_second_cntr(14);
+          when x"4" =>
+            self_trigg <=  one_second_cntr(10);
+          when x"5" =>
+            self_trigg <=  one_second_cntr(8);
+          when x"6" =>
+            self_trigg <=  one_second_cntr(4);
+          when x"7" =>
+            self_trigg <=  one_second_cntr(0);
+          when others =>
+            self_trigg <= '0';
+        end case;
+      end if;
+    end if;
+  end process CONFIG_SELF_TRIG;
+  SYNCH_EXTERNAL_TRIGGER : process (CLK, reset_i)
+  begin  
+    if rising_edge(CLK) then  
+      if reset_i = '1' then
+        not_hades_trigger <= '0';
+      else
+        not_hades_trigger <= (ADO_TTL(24) and rw_register_i(3*32+3)) or (fast_ref_trigger and  rw_register_i(3*32+2)) or (self_trigg and rw_register_i(3*32+1)) ;  
+      end if;
+    end if;
+  end process SYNCH_EXTERNAL_TRIGGER;
+  
+  
+
+-- correct trigers - miss match , check reference time (on two diff boards counters) 
+
+  
+  SET_SAF_MODE: process (TDC_CLK,reset_i)
+  begin
+    if rising_edge(TDC_CLK) then  
+      if reset_i = '1' or lvl2_trigger_i = '1' then
+        safe_mode_only_one_ref <= '1';
+      elsif fast_ref_trigger_pulse = '1' then
+        safe_mode_only_one_ref <= '0';
+      end if;
+    end if; 
+  end process SET_SAF_MODE;
+  
   MAKE_SYNCH_REF: process (TDC_CLK, reset_i)
   begin  
     if rising_edge(TDC_CLK) then  
       if reset_i = '1' then
         fast_ref_trigger_synch <= '0';
-      else
-        fast_ref_trigger_synch <= fast_ref_trigger;
+      else 
+        fast_ref_trigger_synch <= (fast_ref_trigger and safe_mode_only_one_ref);
       end if;
     end if;
   end process MAKE_SYNCH_REF;
 
+  OMIT_PROBLEM_WITH_MISS_REF: process (CLK, reset_i)
+  begin  
+    if rising_edge(CLK) then
+      if reset_i = '1' then
+        optical_tdc_trigger_reg_1 <= '0';
+        optical_tdc_trigger_reg_2 <= '0';
+        optical_tdc_trigger_reg_2 <= '0';
+        optical_tdc_trigger <= '0';
+      else
+        optical_tdc_trigger_reg_1 <= lvl1_trigger_i;
+        optical_tdc_trigger_reg_2 <= optical_tdc_trigger_reg_1;
+        optical_tdc_trigger_reg_3 <= optical_tdc_trigger_reg_2;
+        optical_tdc_trigger <= optical_tdc_trigger_reg_1 or optical_tdc_trigger_reg_2 or optical_tdc_trigger_reg_3;
+      end if;
+    end if;
+  end process OMIT_PROBLEM_WITH_MISS_REF;
+    
   EXT_TRIGGER_1 : edge_to_pulse
     port map (
       clock  => TDC_CLK,
       en_clk => '1',
-      signal_in => fast_ref_trigger_synch,
+      signal_in => optical_tdc_trigger,--fast_ref_trigger_synch,
       pulse  => fast_ref_trigger_pulse);
 
-  a_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
-  b_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
-  c_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
-  d_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse;-- and enable_trigger_synch;--trigger_to_tdc_i;
+  SYNCH_WITHC_TDC_CLK: process (TDC_CLK, reset_i)
+  begin  -- process SYNCH_WITHC_TDC_CLK
+    if rising_edge(TDC_CLK) then
+      if reset_i = '1' then               -- asynchronous reset (active low)
+        fast_ref_trigger_pulse_synch <= '0';
+      else
+        fast_ref_trigger_pulse_synch <= fast_ref_trigger_pulse;
+      end if;
+    end if;
+  end process SYNCH_WITHC_TDC_CLK;
+  a_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+  b_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+  c_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
+  d_trigg          <= trigger_to_tdc_i;--fast_ref_trigger_pulse_synch;-- and enable_trigger_synch;--trigger_to_tdc_i;
   A_TDC_POWERUP  <=  '1';       --in trbv2c this is a diod
   B_TDC_POWERUP  <=  '1';       --in trbv2c this is a diod
   C_TDC_POWERUP  <=  '1';       --in trbv2c this is a diod
   D_TDC_POWERUP  <=  '1';       --in trbv2c this is a diod
   DBAD         <= lvl1_busy_i;
-  DINT         <= FS_PB_17;
-  DWAIT        <= fpga_register_06_i(6);
-   
-
+  DINT         <= TDC_CLK;--tdc_data_valid_i;
+  DWAIT        <= addon_clk;
+ -- DGOOD        <= lvl2_busy_i;
+  
+  LVL1_TAG_COUNT: up_down_counter
+      generic map (
+        NUMBER_OF_BITS => 8)
+      port map (
+        CLK       => TDC_CLK,
+        RESET     => reset_i,
+        COUNT_OUT => ref_time_counter,
+        UP_IN     => fast_ref_trigger_pulse,
+        DOWN_IN   => '0');
+  
+  CHECK_REF_AND_DIGITAL_TRIGGER: process (CLK, reset_i,lvl1_trigger_i,ref_time_counter,lvl1_trigger_tag_i)
+  begin
+    if rising_edge(CLK) then
+      if reset_i = '1' then            
+        trigger_miss_match <= '0';
+      elsif lvl1_trigger_i  = '1' and (ref_time_counter /= lvl1_trigger_tag_i) then
+        trigger_miss_match <= '1';
+      elsif fast_ref_trigger_pulse = '1' and (ref_time_counter /= lvl1_trigger_tag_i) then
+        trigger_miss_match <= '0'; --trigger_miss_match;
+      end if;
+    end if;
+  end process CHECK_REF_AND_DIGITAL_TRIGGER;
+  
+  fpga_register_0a_i(15 downto 0) <= ref_time_counter & lvl1_trigger_tag_i;
+  fpga_register_0a_i(30) <= not_hades_trigger;
+  fpga_register_0a_i(31) <= fast_ref_trigger;
 -----------------------------------------------------------------------------
 -- MULTIPLEX_DATA_TO_ETRAX
 -----------------------------------------------------------------------------
@@ -1417,7 +1931,11 @@ begin
   ETRAX_IRQ    <= '1';
   ETRAX_INTERFACE_LOGIC : etrax_interfacev2
     generic map (
-      RW_SYSTEM => RW_SYSTEM)
+      ENABLE_DMA => ENABLE_DMA,
+      RW_SYSTEM => RW_SYSTEM,
+      RW_REGISTERS_NUMBER => RW_REGISTERS_NUMBER,
+      R_REGISTERS_NUMBER => R_REGISTERS_NUMBER
+      )
     port map (
       CLK                    => CLK,
       RESET                  => reset_i,
@@ -1441,21 +1959,8 @@ begin
       EXTERNAL_ACK           => external_ack_i,
       EXTERNAL_VALID         => external_valid_i,
       EXTERNAL_MODE          => external_mode_i,
-      FPGA_REGISTER_00       => x"00000000",
-      FPGA_REGISTER_01       => fpga_register_01_i, 
-      FPGA_REGISTER_02       => fpga_register_02_i, 
-      FPGA_REGISTER_03       => fpga_register_03_i, 
-      FPGA_REGISTER_04       => fpga_register_04_i, 
-      FPGA_REGISTER_05       => fpga_register_05_i,  
-      FPGA_REGISTER_06       => fpga_register_06_i, 
-      FPGA_REGISTER_07       => fpga_register_07_i,
-      FPGA_REGISTER_08       => fpga_register_08_i,
-      FPGA_REGISTER_09       => fpga_register_09_i,
-      FPGA_REGISTER_0A       => fpga_register_0A_i,
-      FPGA_REGISTER_0B       => fpga_register_0b_i,
-      FPGA_REGISTER_0C       => fpga_register_0c_i,
-      FPGA_REGISTER_0D       => fpga_register_0d_i,
-      FPGA_REGISTER_0E       => fpga_register_0e_i,
+      RW_REGISTER            => rw_register_i,
+      R_REGISTER             => r_register_i,
       LVL2_VALID             => lvl2_trigger_code_i(3)
       );
   fpga_register_01_i <= tdc_register_00_i;
@@ -1463,15 +1968,31 @@ begin
   fpga_register_03_i <= tdc_register_02_i;
   fpga_register_04_i <= tdc_register_03_i;
   fpga_register_05_i <= tdc_register_04_i;
+  
 --  fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
-  fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
-  fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
-  fpga_register_0c_i <= '0' & "0" & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
-  fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
-    
-
-
-
+--  fpga_register_0a_i <= tdc_data_out_i;--tlk_register_01_i;
+--  fpga_register_0b_i <= FS_PB_17&lvl2_trigger_code_i(3)& tdc_data_valid_i& '0' & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
+  fpga_register_0c_i <= trigger_miss_match & opt_busy_lvl1_or_lvl2 & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+--  fpga_register_0d_i <= dtu_debug_00_i;--stat_init_buffer_i;
+  r_register_i((0+1)*32-1 downto 0*32) <= x"aaaabbbb";
+  r_register_i((1+1)*32-1 downto 1*32) <= tdc_register_00_i;
+  r_register_i((2+1)*32-1 downto 2*32) <= tdc_register_01_i;
+  r_register_i((3+1)*32-1 downto 3*32) <= tdc_register_02_i;
+  r_register_i((4+1)*32-1 downto 4*32) <= tdc_register_03_i;
+  r_register_i((5+1)*32-1 downto 5*32) <= fpga_register_05_i;
+  r_register_i((6+1)*32-1 downto 6*32) <= conv_std_logic_vector(VERSION_NUMBER_TIME,32);
+  r_register_i((7+1)*32-1 downto 7*32) <= x"abcd0001";--fpga_register_07_i;
+  r_register_i((8+1)*32-1 downto 8*32) <= fpga_register_08_i;
+  r_register_i((9+1)*32-1 downto 9*32) <= fpga_register_09_i;
+  r_register_i((10+1)*32-1 downto 10*32) <= fpga_register_0a_i;
+  r_register_i((11+1)*32-1 downto 11*32) <= fpga_register_0b_i;
+  r_register_i((12+1)*32-1 downto 12*32) <= fpga_register_0c_i;
+  r_register_i((13+1)*32-1 downto 13*32) <= fpga_register_0d_i;
+--  r_register_i((14+1)*32-1 downto 14*32) <= x"abcd0002";
+  fpga_register_06_i <= rw_register_i((0+1)*32-1 downto 0*32);
+  fpga_register_07_i <= rw_register_i((1+1)*32-1 downto 1*32);
+  fpga_register_0e_i <= rw_register_i((2+1)*32-1 downto 2*32);
+  
 -------------------------------------------------------------------------------
 -- tlk
 -------------------------------------------------------------------------------
@@ -1488,14 +2009,14 @@ begin
 --       CE => '1',
 --       CLR => '0',
 --       I => TLK_RX_CLK,
---       O => tlk_rx_clk_r
+--       O => tlk_rx_clk_r(0)
 --       );
 --   TLK_CLK_BUFR: BUFR
 --     port map(
 --       CE => '1',
 --       CLR => '0',
 --       I => TLK_CLK,
---       O => tlk_clk_r
+--       O => tlk_clk_r(0)
 --       ); 
 --          tlk_interface_logic: tlk_interface 
 --          port map (
@@ -1504,10 +2025,10 @@ begin
 --            LCKREFN      => TLK_LCKREFN,
 --            LOOPEN       => TLK_LOOPEN,
 --            PRBSEN       => TLK_PRBSEN,
---            RX_CLK       => tlk_rx_clk_r,
+--            RX_CLK       => tlk_rx_clk_r(0),
 --            RX_DV        => TLK_RX_DV,
 --            RX_ER        => TLK_RX_ER,
---            TLK_CLK      => tlk_clk_r,
+--            TLK_CLK      => tlk_clk_r(0),
 --            TLK_RXD      => TLK_RXD,
 --            TLK_TXD      => TLK_TXD,
 --            TX_EN        => open,--TLK_TX_EN,
@@ -1612,19 +2133,18 @@ begin
       
      VSD_CSEH <= vsd_cs_i;
      VSD_CSEL <= vsd_cs_i;
-     ADO_TTL(18) <= '1';
-     ADO_TTL(15 downto 0) <= (others => 'Z');
+--     ADO_TTL(18) <= '1';
+--     ADO_TTL(15 downto 0) <= (others => 'Z');
     end generate SDRAM_EN;
 
   
 -------------------------------------------------------------------------------
 -- opt dtu
 -------------------------------------------------------------------------------
-  DTU_OPT_EN: if DTU_ENABLE = 2 generate
-    
-    TLK_TXD    <= x"abcd";
+  CTU_DTU_OPT_EN: if DTU_ENABLE = 2 generate
+
     TLK_TX_ER  <= '0';
-    SFP_TX_DIS   <= fpga_register_06_i(15);
+    SFP_TX_DIS  <= '0';--fpga_register_06_i(15);
     TLK_LOOPEN  <= '0';
     TLK_LCKREFN <= '1';
     TLK_ENABLE  <= '1';
@@ -1635,7 +2155,7 @@ begin
         CE => '1',
         CLR => '0',
         I => TLK_RX_CLK,
-        O => tlk_rx_clk_r
+        O => tlk_rx_clk_r(0)
         );
 
     TLK_CLK_BUFR: BUFR
@@ -1643,14 +2163,15 @@ begin
         CE => '1',
         CLR => '0',
         I => TLK_CLK,
-        O => tlk_clk_r
+        O => tlk_clk_r(0)
         );
     
-    DTU_OPT_INST: optical_dtu
-      port map (
+    DTU_EN: if TRBV2_TYPE < 4    generate
+      DTU_OPT_INST: optical_dtu
+        port map (
           CLK                    => CLK,
-          RX_CLK                 => tlk_rx_clk_r,
-          TX_CLK                 => tlk_clk_r,
+          RX_CLK                 => tlk_rx_clk_r(0),
+          TX_CLK                 => tlk_clk_r(0),
           RESET                  => reset_i,
           OPT_DATA_IN            => TLK_RXD,
           OPT_DATA_OUT           => TLK_TXD,
@@ -1663,11 +2184,228 @@ begin
           LVL2_TRIGGER           => lvl2_trigger_i,
           LVL2_TRIGGER_CODE      => lvl2_trigger_code_i(3),
           LVL2_TRIGGER_TAG       => lvl2_trigger_tag_i,
-          LVL1_BUSY              => lvl1_busy_i,
+          LVL1_BUSY              => busy_or_error,
           LVL2_BUSY              => lvl2_busy_i,
-          OPT_DTU_DEBUG_REGISTER => fpga_register_0e_i);
+          OPT_DTU_DEBUG_REGISTER_00 => fpga_register_0d_i,
+          OPT_DTU_DEBUG_REGISTER_01 => fpga_register_0b_i
+          );
+--    busy_or_error <= trigger_miss_match or lvl1_busy_i;
+          busy_or_error <= lvl1_busy_i;
+    ADO_TTL(0) <= TLK_RX_ER;
+    ADO_TTL(1) <= TLK_RX_DV;
+    ADO_TTL(5 downto 2) <= TLK_RXD(15 downto 12);
+    ADO_TTL(6) <= lvl1_trigger_i;
+    ADO_TTL(7) <= lvl1_busy_i;
+    ADO_TTL(8) <= lvl2_trigger_i;
+    ADO_TTL(9) <= lvl2_busy_i;
+    ADO_TTL(10) <= FS_PB_17;
+    ADO_TTL(11) <= tdc_data_valid_i;
+    ADO_TTL(12) <= lvl2_trigger_code_i(3);
+
+    end generate DTU_EN;
     
-  end generate DTU_OPT_EN;
+    CTU_EN: if TRBV2_TYPE =4 or TRBV2_TYPE =5 generate
+      CTU_OPT_INST: optical_ctu
+        port map (
+            CLK               => CLK,
+            RESET             => reset_i,
+            RX_CLK            => tlk_rx_clk_r(0),
+            TX_CLK            => tlk_clk_r(0),
+            OPT_DATA_IN       => TLK_RXD,
+            OPT_DATA_OUT      => TLK_TXD,
+            OPT_DATA_VALID_IN => TLK_RX_DV,
+            OPT_DATA_ERR      => TLK_RX_ER,
+            OPT_DATA_SEND     => TLK_TX_EN,
+            LVL1_TRIGGER      => lvl1_trigger_i,
+            LVL1_CODE         => lvl1_trigger_code_i,
+            LVL1_TAG          => lvl1_trigger_tag_i,
+            LVL1_BUSY         => opt_lvl1_busy_i,
+            LVL2_TRIGGER      => lvl2_trigger_i,
+            LVL2_CODE         => lvl2_trigger_code_i(3),
+            LVL2_TAG          => lvl2_trigger_tag_i,
+            LVL2_BUSY         => opt_lvl2_busy_i,
+            OPT_CTU_DEBUG_00     => fpga_register_0d_i,
+            OPT_CTU_DEBUG_01     => fpga_register_0b_i
+            );
+    ADO_TTL(0) <= TLK_RX_ER;
+    ADO_TTL(1) <= TLK_RX_DV;
+    ADO_TTL(5 downto 2) <= TLK_RXD(15 downto 12);
+    ADO_TTL(6) <= lvl1_trigger_i;
+    ADO_TTL(7) <= opt_lvl1_busy_i;
+    ADO_TTL(8) <= lvl2_trigger_i;
+    ADO_TTL(9) <= opt_lvl2_busy_i;
+    ADO_TTL(10) <= opt_busy_lvl1_or_lvl2;
+    ADO_TTL(11) <= fast_ref_trigger;
+    ADO_TTL(12) <= lvl2_trigger_code_i(3);
+    ADO_TTL(39 downto 32) <= (others => 'Z');
+    end generate CTU_EN;
+  
+    BUSY_SELECT: if TRBV2_TYPE=4 generate
+      not_lvl1_busy_opt  <= opt_lvl1_busy_i or vulom_busy;        
+    end generate BUSY_SELECT;
+    SUB_SYSTEM_SELECT: if TRBV2_TYPE = 5 generate
+      
+      not_lvl1_busy_opt  <= not opt_lvl1_busy_i;
+
+      SYNCH_SIGNALS: process (CLK, reset_i)
+      begin  -- process SYNCH_SIGNALS
+        if rising_edge(CLK) then
+          if reset_i = '1' then           -- asynchronous reset (active low)
+            ext_lvl1_trigger_i <= '0';
+            lvl1_trigger_i <= '0';
+            lvl1_trigger_code_i <= x"1";
+            lvl1_trigger_tag_i <= x"00";
+
+          else
+            ext_lvl1_trigger_i <= (not_hades_trigger and fpga_register_06_i(7) and (not(opt_busy_lvl1_or_lvl2))) or fpga_register_0e_i(0);
+            lvl1_trigger_i <= ext_lvl1_trigger_pulse;
+            lvl1_trigger_code_i <= x"1";
+            lvl1_trigger_tag_i <= ext_tag;
+
+          end if;
+        end if;
+      end process SYNCH_SIGNALS;
+    OPT_LVL1_BUSY: process (CLK, reset_i,lvl2_opt_busy_pulse,ext_lvl1_trigger_pulse)
+    begin 
+      if rising_edge(CLK) then  
+        if reset_i = '1' or lvl2_opt_busy_pulse = '1' then
+          opt_busy_lvl1_or_lvl2 <= '0';
+        elsif  (ext_lvl1_trigger_i and fpga_register_06_i(7) and (not(opt_busy_lvl1_or_lvl2))) = '1' then
+          opt_busy_lvl1_or_lvl2 <= '1';
+        end if;
+      end if;
+    end process OPT_LVL1_BUSY;
+    
+    EXT_LVL1_PULSER : edge_to_pulse
+      port map (
+        clock  => CLK,
+        en_clk => '1',
+        signal_in => ext_lvl1_trigger_i,
+        pulse  => ext_lvl1_trigger_pulse);
+
+    not_lvl2_opt_busy <= not opt_lvl2_busy_i;
+      
+    EXT_LVL2_PULSER : edge_to_pulse
+      port map (
+        clock  => CLK,
+        en_clk => '1',
+        signal_in => not_lvl2_opt_busy,
+        pulse  => lvl2_opt_busy_pulse);
+    
+    LVL1_TAG_COUNT: up_down_counter
+      generic map (
+        NUMBER_OF_BITS => 8)
+      port map (
+        CLK       => CLK,
+        RESET     => reset_i,
+        COUNT_OUT => ext_tag,
+        UP_IN     => ext_lvl1_trigger_pulse,
+        DOWN_IN   => '0');
+
+      fpga_register_09_i(7 downto 0) <= ext_tag;
+      fpga_register_09_i(31) <= opt_lvl1_busy_i;
+      fpga_register_09_i(15 downto 8) <= lvl2_trigger_tag_i;
+                                         
+        
+    AUTO_LVL2 : edge_to_pulse
+        port map (
+          clock  => CLK,
+          en_clk => '1',
+          signal_in => not_lvl1_busy_opt,
+          pulse  => lvl2_trigger_i);
+        
+    LVL2_TAG_COUNT: up_down_counter
+        generic map (
+          NUMBER_OF_BITS => 8)
+        port map (
+          CLK       => CLK,
+          RESET     => reset_i,
+          COUNT_OUT => lvl2_trigger_tag_i,
+          UP_IN     => lvl2_trigger_i,
+          DOWN_IN   => '0');
+      
+      lvl2_trigger_code_i(3) <= '0';
+      
+      end generate SUB_SYSTEM_SELECT;
+
+  end generate CTU_DTU_OPT_EN;
+
+  -----------------------------------------------------------------------------
+  -- dummy tlk for ise and not only :)
+  -----------------------------------------------------------------------------
+  TLK_ISE: if DTU_ENABLE=0 generate
+    TLK_TX_ER  <= '0';
+    SFP_TX_DIS   <= '0';--fpga_register_06_i(15);
+    TLK_LOOPEN  <= '0';
+    TLK_LCKREFN <= '1';
+    TLK_ENABLE  <= '1';
+    TLK_PRBSEN  <= '0';
+    cv_i <= x"f" & "110" &  TLK_RX_ER;
+    TLK_TX_EN <= tx_k_i(0);
+  --  TLK_TX_EN <= ;
+    sfp_los_vect(0) <= SFP_LOS;
+    rx_k_i <= x"0" & "000" & TLK_RX_DV;
+    tlk_rxd_i <= x"000000000000" &  TLK_RXD;
+    TLK_TXD <= tlk_txd_i(15 downto 0);
+
+    
+    TLK_RX_CLK_BUFR: BUFR
+      port map(
+        CE => '1',
+        CLR => '0',
+        I => TLK_RX_CLK,
+        O => tlk_rx_clk_r(0)
+        );
+
+    TLK_CLK_BUFR: BUFR
+      port map(
+        CE => '1',
+        CLR => '0',
+        I => TLK_CLK,
+        O => tlk_clk_r(0)
+        );
+    
+    TLK_INTERFACE_INST: media_interface
+      generic map (
+          HOW_MANY_CHANNELS => HOW_MANY_CHANNELS,
+          SYSTEM            => 6
+          )
+      port map (
+          RESET              => reset_i,
+          SYSTEM_CLK         => CLK,
+          TX_CLK             => tlk_clk_r,
+          RX_CLK             => tlk_rx_clk_r,
+          RXD                => tlk_rxd_i,
+          RX_K               => rx_k_i,
+          RX_RST             => open,
+          CV                 => cv_i,
+          TXD                => tlk_txd_i,
+          TX_K               => tx_k_i,
+          MEDIA_STATUS       => media_status_i,
+          MEDIA_CONTROL      => media_control_i,
+          MED_DATAREADY_IN   => med_dataready_in_i,
+          MED_DATA_IN        => x"0000",--med_data_in_i,
+          MED_READ_OUT       => open,--med_read_out_i,
+          MED_DATA_OUT       => open,--med_data_out_i,
+          MED_DATAREADY_OUT  => open,--med_dataready_out_i,
+          MED_READ_IN        => med_read_in_i,
+          MED_PACKET_NUM_IN  => med_packet_num_in_i,
+          MED_PACKET_NUM_OUT => med_packet_num_out_i,
+          MED_STAT_OP        => med_stat_op_i,
+          MED_CTRL_OP        => med_ctrl_op_i,
+          LINK_DEBUG         => link_debug_i,
+          TX_DIS             => open,
+          SFP_INP_N          => x"00",
+          SFP_INP_P          => x"00",
+          SFP_OUT_N          => open,
+          SFP_OUT_P          => open
+          );
+    DGOOD <= med_stat_op_i(9);
+    media_status_i(0) <= sfp_los_vect(0);
+    fpga_register_0b_i(15 downto 0) <= media_status_i;
+    fpga_register_0d_i <= link_debug_i;
+  end generate TLK_ISE;
+
   
 -------------------------------------------------------------------------------
 -- dtu 
index 1710f93b2e4c0979a91375c18c2e6796f1df7c5e..e0784027beb87c81baca286b455089f3ffcebd35 100644 (file)
@@ -4,6 +4,7 @@
 
 #add_file options
 add_file -vhdl -lib work "version.vhd"
+add_file -vhdl -lib work "~/trbnet/optical_link/media_interface.vhd"
 add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_8bit.vhd"
 add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_16bit.vhd"
 add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_32bit.vhd"
@@ -17,6 +18,18 @@ add_file -vhdl -lib work "dsp_interface.vhd"
 add_file -vhdl -lib work "sdram_interface.vhd"
 add_file -vhdl -lib work "ctu.vhd"
 add_file -vhdl -lib work "bit_fifo.vhd"
+add_file -vhdl -lib work "optical_dtu.vhd"
+add_file -vhdl -lib work "optical_ctu.vhd"
+add_file -vhdl -lib work "vulom_interface.vhd"
+add_file -vhdl -lib work "~/trbnet/optical_link/flexi_PCS_synch.vhd"
+add_file -vhdl -lib work "~/trbnet/optical_link/flexi_PCS_channel_synch.vhd"
+add_file -vhdl -lib work "trbv2_cross_clk.vhd"
+add_file -vhdl -lib work "trbv2_link_fifo.vho"
+add_file -vhdl -lib work "~/trbnet/optical_link/"
+
+
+#add_file -vhdl -lib work ""
+
 add_file -vhdl -lib work "~/trbnet/trb_net16_med_tlk"
 add_file -vhdl -lib work "~/trbnet/trb_net_rom_16x8.vhd"
 add_file -vhdl -lib work "~/trbnet/trb_net_onewire.vhd"
@@ -78,6 +91,7 @@ set_option -use_fsm_explorer 0
 set_option -top_module "trb_v2b_fpga"
 
 #map options
+set_option -syn_useioff 1
 set_option -frequency 120.000
 set_option -run_prop_extract 0
 set_option -fanout_limit 100
index dcb5437b96df8ce9f511ae5ed1b60fb02466d698..7a02eadadb36c45b085f57b37edd3755ff476780 100644 (file)
@@ -3,41 +3,42 @@ use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.STD_LOGIC_ARITH.ALL;
 use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
---  Uncomment the following lines to use the declarations that are
---  provided for instantiating Xilinx primitive components.
---library UNISIM;
---use UNISIM.VComponents.all;
+entity up_down_counter is
+  
+  generic (
+    NUMBER_OF_BITS : positive
+    );
+  port (
+    CLK : in std_logic;
+    RESET : in std_logic;
+    COUNT_OUT : out std_logic_vector(NUMBER_OF_BITS-1 downto 0);
+    UP_IN : in std_logic;
+    DOWN_IN : in std_logic
+    );
+  
+end up_down_counter;
+
+architecture up_down_counter of up_down_counter is
+
+signal counter: std_logic_vector (NUMBER_OF_BITS-1 downto 0);
 
-entity up_down_counter_16_bit is
-    Port ( QOUT : out std_logic_vector(15 downto 0);
-           UP : in std_logic;
-           DOWN : in std_logic;
-           CLK : in std_logic;
-           CLR : in std_logic);
-end up_down_counter_16_bit;
-
-architecture up_down_counter_16_bit of up_down_counter_16_bit is
-
-signal counter: std_logic_vector (15 downto 0);
-signal upDown: std_logic_vector (1 downto 0);
-
-begin
-
-upDown <= up & down;
-
-process (CLR, UPDOWN, CLK)
 begin
-  if CLR = '1' then
-      counter <="0000000000000000";
-         elsif clk'event and clk = '1' then
-                  case  upDown is
-                          when "01" => counter <= counter - 1;
-                               when "10" => counter <= counter + 1;
-                               when others => counter <= counter;
-                       end case;
-  end if;
-end process;
-
-QOUT <= counter;
-
-end up_down_counter_16_bit;
+  
+  COUNTER_PROC : process (CLK, RESET, UP_IN, DOWN_IN)
+  begin
+    if rising_edge(clk) then
+      if RESET = '1' then
+        counter <= (others => '0');
+      elsif UP_IN = '1' and DOWN_IN = '0' then
+        counter <= counter + 1;
+      elsif UP_IN = '0' and DOWN_IN = '1' then
+        counter <= counter - 1;
+      else
+        counter <= counter;
+      end if;
+    end if;
+  end process COUNTER_PROC;
+
+  COUNT_OUT <= counter;
+
+end up_down_counter;
index d1004b631436a4ab2fd5b7e6fca3ab61593ae7ae..02a1e2b4869d4fb78773623ea761344ec4c27ccc 100644 (file)
@@ -10,10 +10,11 @@ use work.all;
 
 entity vulom_interface is
   generic (
-    TRBNET_ENABLE       :     positive
+    TRBNET_ENABLE       :     integer range 0 to 1
     );
   port (
     CLK                 : in  std_logic;
+    CLK200              : in  std_logic;
     DATA_CLK            : in  std_logic;
     RESET               : in  std_logic;
     DATA_IN             : in  std_logic_vector(1 downto 0);
@@ -35,7 +36,9 @@ entity vulom_interface is
     EVENT_READOUT_START : in  std_logic;
     EVENT_READOUT_END   : out std_logic;
     START_READOUT       : out std_logic;
-    LVL1_TRANSFER_BUSY  : in  std_logic
+    LVL1_TRANSFER_BUSY  : in  std_logic;
+    LVL1_TRIGGER_CODE   : out std_logic_vector(3 downto 0);
+    LVL1_TRIGGER_TAG    : out std_logic_vector(7 downto 0)
     );
 end vulom_interface;
 
@@ -48,6 +51,8 @@ architecture vulom_interface of vulom_interface is
       pulse                           : out std_logic
       );
   end component;
+  
+
   type VULOM_TO_API is (IDLE, SEND_TRIGGER_a,SEND_TRIGGER_b,SEND_TRIGGER_c,
                               WAIT_FOR_END_BUSY,TRIGGER_MISSMATCH);
   signal VULOM_TO_API_current,VULOM_TO_API_next  : VULOM_TO_API;
@@ -66,9 +71,9 @@ architecture vulom_interface of vulom_interface is
   signal data_trigg_pulse : std_logic;
   type event_register_array is array (10 downto 0) of std_logic_vector(31 downto 0);
   signal event_register : event_register_array;
-  type vulom_data is array (160 downto 0) of std_logic_vector(1 downto 0);
+  type vulom_data is array (161 downto 0) of std_logic_vector(1 downto 0);
 --  signal v_data : vulom_data;
-  signal v_data : std_logic_vector(321 downto 0);
+  signal v_data : std_logic_vector(323 downto 0);
   signal busy_i : std_logic;
   signal data_clock_counter : std_logic_vector(7 downto 0):=x"00";
   signal not_transfer_busy : std_logic;
@@ -81,25 +86,36 @@ architecture vulom_interface of vulom_interface is
   signal check_sum_ok : std_logic;
   signal not_event_valid : std_logic;
   signal event_end_pulse : std_logic;
-  
+
+  --vulom
+  signal data_clk_pulse : std_logic;
 begin
-  trigger_code <= "00" & v_data(1 downto 0);
-  trigger_tag <=  v_data(17 downto 2);
+  trigger_code <= v_data(3 downto 0);
+  trigger_tag <=  v_data(19 downto 4);
   API_WRITE_IN <= '0';
   API_DATA <= (others => '0');
-  API_DTYPE <= trigger_code;
+  API_DTYPE <= (others => '0');
   API_TARGET_ADDRESS <= x"FFFF";
   API_SHORT_TRANSFER <= '1';
   not_api_run_out <= not API_RUN_OUT;
   check_sum_ok <= '1';                  --for crc
-
+  LVL1_TRIGGER_CODE <= trigger_code;
+  LVL1_TRIGGER_TAG <= trigger_tag(7 downto 0);
   
   -------------------------------------------------------------------------------
   --to check begin sequence and send ACK(after full data transfer) to Vulom  
   -------------------------------------------------------------------------------  
- CHECK_BEG_SEQUENCE   : process (CLK, RESET)
+  
+  VULOM_CLK_PULE: edge_to_pulse
+    port map (
+        clock  => CLK200,
+        en_clk => '1',
+        signal_in    => DATA_CLK,
+        pulse  => data_clk_pulse);
+  CHECK_BEG_SEQUENCE   : process (CLK200, RESET)
    begin  
-    if rising_edge(CLK) then
+    if rising_edge(CLK200) then
       if RESET = '1' then
         CHECK_current <= IDLE;
       else
@@ -108,7 +124,7 @@ begin
     end if;
   end process CHECK_BEG_SEQUENCE;
     
-  CHECK_BEG_SEQUENCE_FSM: process (CLK, DATA_CLK, DATA_IN, check_sum_ok,fast_trigg ,trigger_pulse,trigger_counter,trigger_tag, api_ready_pulse)
+  CHECK_BEG_SEQUENCE_FSM: process (CLK, DATA_CLK, DATA_IN, check_sum_ok,fast_trigg ,trigger_pulse,trigger_counter,trigger_tag, api_ready_pulse,CHECK_current,data_clock_counter, VULOM_TO_API_current, ack_counter, err_counter,data_clk_pulse)
   begin  
     case (CHECK_current) is
       when IDLE =>
@@ -116,7 +132,7 @@ begin
         seq_ok <= '0';
         ack <= '0';
         err <= '0';       
-        if rising_edge(DATA_CLK) then
+        if data_clk_pulse= '1' then
           if DATA_IN(0)='1' and DATA_IN(1)='0' then
             CHECK_next <= CHECK_1;
           else
@@ -128,36 +144,42 @@ begin
         seq_ok <= '0';
         ack <= '0';
         err <= '0';       
-        if rising_edge(DATA_CLK) then
+        if data_clk_pulse= '1' then
           if DATA_IN(0)='0' and DATA_IN(1)='1' then
             CHECK_next <= CHECK_2;
           else
             CHECK_next <= IDLE;
           end if;
+        else
+          CHECK_next <= CHECK_1;
         end if;
       when CHECK_2 =>
         vulom_interface_debug(7 downto 4) <=x"3";
         seq_ok <= '0';
         ack <= '0';
         err <= '0';       
-        if rising_edge(DATA_CLK) then
+        if data_clk_pulse='1' then
           if DATA_IN(0)='1' and DATA_IN(1)='0' then
             CHECK_next <= CHECK_3;
           else
             CHECK_next <= IDLE;
           end if;
+        else
+          CHECK_next <= CHECK_2;
         end if;
       when CHECK_3 =>
         vulom_interface_debug(7 downto 4) <=x"4";
         seq_ok <= '0';
         ack <= '0';
         err <= '0';       
-        if rising_edge(DATA_CLK) then
+        if data_clk_pulse='1' then
           if DATA_IN(0)='0' and DATA_IN(1)='1' then
             CHECK_next <= WAIT_FOR_END;
           else
             CHECK_next <= IDLE;
           end if;
+        else
+          CHECK_next <= CHECK_3; 
         end if;
       when WAIT_FOR_END =>
         vulom_interface_debug(7 downto 4) <=x"5";
@@ -202,7 +224,7 @@ begin
         seq_ok <= '0';
         ack <= '0';
         err <= '1';
-        if err_counter = x"f" then
+        if err_counter = 15 then
           CHECK_next <= IDLE;
         else
           CHECK_next <= SEND_ERROR;
@@ -216,7 +238,7 @@ begin
     ACK_TO_VULOM <= ack;
     ERR_TO_VULOM <= err;
     
-  ERR_COUNTER_CLK: process (CLK, RESET)
+  ERR_COUNTER_CLK: process (CLK, RESET,CHECK_current)
   begin 
     if rising_edge(CLK) then
       if RESET = '1' or CHECK_current = IDLE then        
@@ -229,7 +251,7 @@ begin
     end if;
   end process ERR_COUNTER_CLK;
     
-  ACK_COUNTER_CLK: process (CLK, RESET)
+  ACK_COUNTER_CLK: process (CLK, RESET,CHECK_current)
   begin 
     if rising_edge(CLK) then
       if RESET = '1' or CHECK_current = IDLE then        
@@ -247,12 +269,13 @@ begin
     variable vulom_data_array_counter : integer:=0;
   begin 
     if rising_edge (DATA_CLK)  then
-      if vulom_data_array_counter = 161 then
+      if vulom_data_array_counter = 161 then  --324 bits of data
         vulom_data_array_counter := 0;
         data_clock_counter <= (others => '0');
       elsif seq_ok = '1' then
         vulom_data_array_counter := vulom_data_array_counter + 1;
-        v_data(vulom_data_array_counter*2+1 downto vulom_data_array_counter*2) <= DATA_IN(1 downto 0);
+        v_data((vulom_data_array_counter)*2+1 downto (vulom_data_array_counter)*2) <= DATA_IN(1 downto 0);
+--        v_data(1 downto 0) <= DATA_IN(1 downto 0);
         data_clock_counter <= data_clock_counter + 1;
       end if;
     end if;
@@ -290,11 +313,15 @@ begin
     variable WORD_NUMBER : integer := 0;
   begin 
     if rising_edge(CLK) then
-      if RESET = '1' or EVENT_READOUT_START = '1' then
+      if RESET = '1' then
+        WORD_NUMBER := 10;
+        not_event_valid <= '0';
+        EVENT_VALID <= '0';
+      elsif EVENT_READOUT_START = '1' then
         WORD_NUMBER := 0;
         not_event_valid <= '0';
         EVENT_VALID <= '0';
-      elsif WORD_NUMBER < 11 then
+      elsif WORD_NUMBER < 9 then
         WORD_NUMBER := WORD_NUMBER + 1;
         EVENT_OUT <= v_data((WORD_NUMBER+1)*32-1 +2 downto WORD_NUMBER*32 + 2);
         EVENT_VALID <= '1';
@@ -384,10 +411,10 @@ begin
           vulom_interface_debug(3 downto 0) <= x"6";
           busy_i                            <= '1';
           API_SEND                          <= '0';
-          if api_ready_pulse = '1' and TRBNET_ENABLE = 1 then
-            VULOM_TO_API_next               <= IDLE;
-          elsif not_transfer_busy_pulse = '1' and TRBNET_ENABLE = 0 then
+          if api_ready_pulse = '1' then-- and TRBNET_ENABLE = 1 then
             VULOM_TO_API_next               <= IDLE;
+    --      elsif not_transfer_busy_pulse = '1' and TRBNET_ENABLE = 0 then
+    --        VULOM_TO_API_next               <= IDLE;
           else
             VULOM_TO_API_next               <= WAIT_FOR_END_BUSY;
           end if;