-SODA_SOURCE (0xF355)
-++++++++++++++++++++
+SODA_SOURCE (0xF355)
+
+
WRITE_REG:
BE00 soda_cmd_word_S
-BE01 LEDregister_i
+BE01 --
+BE02 --
+BE03 CTRL_STATUS_register_i
READ_REG:
BE02 calib_register_S
BE03 CTRL_STATUS_register_i
-c
+CONTROL(r/w):
+CTRL_STATUS_register_i[31] : soda_reset_S
+CTRL_STATUS_register_i[30] : soda_enable_S
+CTRL_STATUS_register_i[29] : dead_channel_S
+CTRL_STATUS_register_i[28:16] : --
+STATUS(read-only):
+CTRL_STATUS_register_i[15] : report_error_S
+CTRL_STATUS_register_i[14:2] : --
+CTRL_STATUS_register_i[1] : downstream_error_S
+CTRL_STATUS_register_i[0] : channel_timeout_status_S
+
SODA_CLIENT (0xF356)
-++++++++++++++++++++
+
WRITE_REG:
BE00 LEDregister_i
BE06 Debug_SOS_count
BE07 Debug_CMD_count
-
Cu_TRB_SODA_HUB (0xF35B)
-++++++++++++++++++++++++++
+
WRITE_REG:
BE00 soda_cmd_word_S
BE10 calib_register_S channel3
BE11 calib_register_S channel4
-control(read & write):
-CTRL_STATUS_register_i[8] : dead_channel
-CTRL_STATUS_register_i[15] : reset errors
-status(read-only):
-CTRL_STATUS_register_i[17] : timeout-error
-CTRL_STATUS_register_i[18] : downstream-error
-CTRL_STATUS_register_i[31] : report error
+CONTROL(r/w):
+CTRL_STATUS_register_i[31] : soda_reset_S
+CTRL_STATUS_register_i[30] : soda_enable_S
+CTRL_STATUS_register_i[29] : dead_channel_S
+CTRL_STATUS_register_i[28:16] : --
+STATUS(read-only):
+CTRL_STATUS_register_i[15] : report_error_S
+CTRL_STATUS_register_i[14:2] : --
+CTRL_STATUS_register_i[1] : downstream_error_S
+CTRL_STATUS_register_i[0] : channel_timeout_status_S
DEBUG_STATUS(31) <= send_link_reset_i when rising_edge(SYSCLK);
DEBUG_STATUS(30) <= '0';
DEBUG_STATUS(1) <= CLEAR;
DEBUG_STATUS(0) <= RESET;
--------------------------------------------------------------------
+
constant K_IDLE : std_logic_vector(7 downto 0) := x"BC";
constant D_IDLE0 : std_logic_vector(7 downto 0) := x"C5";
constant D_IDLE1 : std_logic_vector(7 downto 0) := x"50";
signal tx_fsm_state : std_logic_vector(3 downto 0);\r
signal debug_reg : std_logic_vector(63 downto 0);\r
\r
-signal led_dlm, last_led_dlm : std_logic;\r
-signal led_ok : std_logic;\r
-signal led_tx, last_led_tx : std_logic;\r
-signal led_rx, last_led_rx : std_logic;\r
-signal timer : unsigned(20 downto 0);\r
-\r
type sci_ctrl is (IDLE, SCTRL, SCTRL_WAIT, SCTRL_WAIT2, SCTRL_FINISH, GET_WA, GET_WA_WAIT, GET_WA_WAIT2, GET_WA_FINISH);\r
signal sci_state : sci_ctrl;\r
signal sci_timer : unsigned(12 downto 0) := (others => '0');\r
signal start_timer : unsigned(18 downto 0) := (others => '0');\r
--signal watchdog_timer : unsigned(20 downto 0) := (others => '0');\r
--signal watchdog_trigger : std_logic :='0';\r
+
+signal led_dlm, last_led_dlm : std_logic;\r
+signal led_ok : std_logic;\r
+signal led_tx, last_led_tx : std_logic;\r
+signal led_rx, last_led_rx : std_logic;\r
+signal timer : unsigned(20 downto 0);\r
\r
begin\r
\r
port(
SODACLK : in std_logic; -- fabric clock
RESET : in std_logic; -- synchronous reset
- --Internal Connection
+ ENABLE : in std_logic; -- synchronous reset
SODA_BURST_PULSE_IN : in std_logic := '0'; --
START_OF_SUPERBURST_OUT : out std_logic := '0';
SUPER_BURST_NR_OUT : out std_logic_vector(30 downto 0) := (others => '0');
--Internal Connection
LINK_PHASE_IN : in std_logic := '0';
SODA_CYCLE_IN : in std_logic := '0';
- SODA_CMD_WINDOW_IN : in std_logic := '0';
- SODA_CMD_STROBE_IN : in std_logic := '0'; --
+ SODA_CMD_WINDOW_IN : in std_logic := '0';
+ SODA_CMD_STROBE_IN : in std_logic := '0';
START_OF_SUPERBURST : in std_logic := '0';
SUPER_BURST_NR_IN : in std_logic_vector(30 downto 0) := (others => '0');
SODA_CMD_WORD_IN : in std_logic_vector(30 downto 0) := (others => '0'); --REGIO_CTRL_REG in trbnet handler is 32 bit
--Internal Connection\r
LINK_PHASE_IN : in std_logic := '0'; -- even/odd fase needed to match 16-bit link stuff in trb
SODA_CYCLE_IN : in std_logic := '0'; -- 40MHz cycle for soda transmissions
- SODA_CMD_WINDOW_IN : in std_logic := '0';
+ SODA_CMD_WINDOW_IN : in std_logic := '0';
SODA_CMD_STROBE_IN : in std_logic := '0';
START_OF_SUPERBURST : in std_logic := '0';\r
SUPER_BURST_NR_IN : in std_logic_vector(30 downto 0) := (others => '0');\r
c_WAIT4CYCLE_B, c_BST1, c_BST2, c_BST3, c_BST4, c_BST5, c_BST6, c_BST7, c_BST8,
c_WAIT4CYCLE_C, c_CMD1, c_CMD2, c_CMD3, c_CMD4, c_CMD5, c_CMD6, c_CMD7, c_CMD8
); -- c_WAIT4BST1, c_WAIT4CMD1, \r
- signal build_packet_state_S : build_packet_state_type := c_IDLE;
+ signal build_packet_state_S : build_packet_state_type := c_IDLE;\r
signal build_packet_bits_S : std_logic_vector(7 downto 0) := (others => '0');
\r
+ type cmd_window_state_type is ( c_WINDOW_IDLE, c_WAIT4WINDOW, c_START_CMD);
+ signal cmd_window_state_S : cmd_window_state_type := c_WINDOW_IDLE;\r
+
+\r
signal soda_dlm_preview_S : std_logic;
\r
begin\r
TX_DLM_WORD_OUT <= soda_pkt_word_S;
\r
\r
- strobe_delay_proc : process(SODACLK)\r
- begin\r
- if rising_edge(SODACLK) then
- if (RESET='1') then
- soda_cmd_pending_S <= '0';
- elsif (SODA_CMD_STROBE_IN='1') then\r
- soda_cmd_pending_S <= '1';\r
- elsif (soda_cmd_strobe_S='1') then\r
- soda_cmd_pending_S <= '0';
- end if;\r
- end if;\r
- end process;\r
+-- strobe_delay_proc : process(SODACLK)\r
+-- begin\r
+-- if rising_edge(SODACLK) then
+-- if (RESET='1') then
+-- soda_cmd_pending_S <= '0';
+-- elsif (SODA_CMD_STROBE_IN='1') then\r
+-- soda_cmd_pending_S <= '1';\r
+-- elsif (soda_cmd_strobe_S='1') then\r
+-- soda_cmd_pending_S <= '0';
+-- end if;\r
+-- end if;\r
+-- end process;\r
\r
\r
- strobe_delivery_proc : process(SODACLK)
+-- strobe_delivery_proc : process(SODACLK)
+-- begin
+-- if rising_edge(SODACLK) then
+-- if (RESET='1') then
+-- soda_cmd_strobe_S <= '0';
+-- elsif ((SODA_CMD_STROBE_IN='1') and (soda_cmd_pending_S='1')) then
+-- soda_cmd_strobe_S <= '1';
+-- else
+-- soda_cmd_strobe_S <= '0';
+-- end if;
+-- end if;
+-- end process;
+\r
+ SODA_CMD_FLOWCTRL : process(SODACLK)
begin
- if rising_edge(SODACLK) then
- if (RESET='1') then
- soda_cmd_strobe_S <= '0';
- elsif ((SODA_CMD_STROBE_IN='1') and (soda_cmd_pending_S='1')) then
- soda_cmd_strobe_S <= '1';
+ if( rising_edge(SODACLK) ) then
+ if( RESET = '1' ) then
+ cmd_window_state_S <= c_WINDOW_IDLE;
+ soda_cmd_pending_S <= '0';
+ soda_cmd_strobe_S <= '0';\r
else
- soda_cmd_strobe_S <= '0';
+ case cmd_window_state_S is\r
+ when c_WINDOW_IDLE =>
+ if (SODA_CMD_STROBE_IN='1') then
+ cmd_window_state_S <= c_WAIT4WINDOW;
+ soda_cmd_pending_S <= '1';\r
+ end if;
+ when c_WAIT4WINDOW =>\r
+ if ((SODA_CMD_WINDOW_IN ='1') and (soda_cmd_pending_S ='1')) then
+ cmd_window_state_S <= c_START_CMD;
+ soda_cmd_strobe_S <= '1';
+ soda_cmd_pending_S <= '0';\r
+ end if;
+ when c_START_CMD =>\r
+ cmd_window_state_S <= c_WINDOW_IDLE;
+ soda_cmd_strobe_S <= '0';
+ soda_cmd_pending_S <= '0';
+ when others =>\r
+ cmd_window_state_S <= c_WINDOW_IDLE;\r
+ soda_cmd_strobe_S <= '0';
+ soda_cmd_pending_S <= '0';
+ end case;\r
end if;
end if;
- end process;
- \r
+ end process SODA_CMD_FLOWCTRL; \r
\r
packet_fsm_proc : process(SODACLK)\r
begin\r
soda_dlm_preview_S <= '0';
reg1_soda_pkt_valid_S <= '0';
when c_BST8 =>\r
- if (SODA_CMD_STROBE_IN='0') then\r
+ if (soda_cmd_strobe_S='0') then\r
soda_dlm_preview_S <= '0';
build_packet_bits_S <= x"00";
build_packet_state_S <= c_IDLE;\r
signal store_rd : std_logic;
signal buf_bus_data_out : std_logic_vector(31 downto 0);
- signal CTRL_STATUS_register_i : std_logic_vector(31 downto 0);
+ signal CTRL_STATUS_register_S : std_logic_vector(31 downto 0);
+-- signal SODA_CMD_register_i : std_logic_vector(31 downto 0);
signal test_line_i : std_logic_vector(31 downto 0);
signal reply_data_valid_S : std_logic;
signal reply_timeout_error_S : std_logic;
signal channel_timeout_status_S : std_logic;
signal downstream_error_S : std_logic;
+ signal report_error_S : std_logic;
\r
signal dead_channel_S : std_logic;
+ signal soda_reset_S : std_logic;
+ signal soda_enable_S : std_logic;
-begin
-
+begin\r
+\r
superburst_gen : soda_superburst_generator
generic map(BURST_COUNT => 16)
port map(
SODACLK => SODACLK,
- RESET => RESET,
- --Internal Connection
+ RESET => soda_reset_S,
+ ENABLE => soda_enable_S,
SODA_BURST_PULSE_IN => SODA_BURST_PULSE_IN,
START_OF_SUPERBURST_OUT => start_of_superburst_S,
SUPER_BURST_NR_OUT => super_burst_nr_S,\r
LINK_PHASE_IN => LINK_PHASE_IN, --link_phase_S, PL!
SODA_CYCLE_IN => SODA_CYCLE_IN,
SODA_CMD_WINDOW_IN => soda_cmd_window_S,\r
- SODA_CMD_STROBE_IN => soda_send_cmd_S,
+ SODA_CMD_STROBE_IN => soda_cmd_strobe_sodaclk_S, --soda_send_cmd_S, goes with removal of SODA_CMD_FLOWCTRL
START_OF_SUPERBURST => start_of_superburst_S,
SUPER_BURST_NR_IN => super_burst_nr_S,
SODA_CMD_WORD_IN => soda_cmd_word_S,
begin
if rising_edge(SYSCLK) then
if( RESET = '1' ) then
- calib_register_S <= (others => '0');\r
- channel_timeout_status_S <= '0';
- downstream_error_S <= '0';
- CTRL_STATUS_register_i(16) <= '0'; -- reset DOWNSTREAM_ERROR status-bit
- CTRL_STATUS_register_i(17) <= '0'; -- reset DOWNSTREAM_ERROR status-bit
- CTRL_STATUS_register_i(31) <= '0'; -- reset REPORT_ERROR status-bit
+ calib_register_S <= (others => '0');\r
+ channel_timeout_status_S <= '0';
+ downstream_error_S <= '0';
+ channel_timeout_status_S <= '0';
+ report_error_S <= '0';
elsif (calib_data_valid_S = '1') then -- calibration finished in time
- calib_register_S(15 downto 0) <= calibration_time_S;\r
- channel_timeout_status_S <= '0';
+ calib_register_S(15 downto 0) <= calibration_time_S;\r
+ channel_timeout_status_S <= '0';
elsif (reply_data_valid_S = '1') then -- the reply was correct
- channel_timeout_status_S <= '0';\r
+ channel_timeout_status_S <= '0';\r
if (reply_OK_S = '1') then\r
- downstream_error_S <= '0';\r
+ downstream_error_S <= '0';\r
elsif (dead_channel_S = '0') then\r
- downstream_error_S <= '1';\r
- CTRL_STATUS_register_i(16) <= '1'; -- set DOWNSTREAM_ERROR status-bit
- CTRL_STATUS_register_i(31) <= '1'; -- set REPORT_ERROR status-bit
+ downstream_error_S <= '1';\r
+ report_error_S <= '1'; -- set REPORT_ERROR status-bit
end if;
elsif ((reply_timeout_error_S = '1') and (reply_OK_S = '1')) then
- channel_timeout_status_S <= '1';
- CTRL_STATUS_register_i(17) <= '1'; -- set CALIBRATION_TIMEOUT_ERROR status-bit
- CTRL_STATUS_register_i(31) <= '1'; -- set REPORT_ERROR status-bit\r
- elsif (CTRL_STATUS_register_i(15) = '1') then -- check if slowcontrol wants to reset errors\r
- CTRL_STATUS_register_i(16) <= '0'; -- reset DOWNSTREAM_ERROR status-bit
- CTRL_STATUS_register_i(17) <= '0'; -- reset DOWNSTREAM_ERROR status-bit
- CTRL_STATUS_register_i(31) <= '0'; -- reset REPORT_ERROR status-bit
+ channel_timeout_status_S <= '1';
+ downstream_error_S <= '1'; -- set CALIBRATION_TIMEOUT_ERROR status-bit
+ report_error_S <= '1'; -- set REPORT_ERROR status-bit\r
+ elsif (report_error_S = '1') then -- check if slowcontrol wants to reset errors\r
+ channel_timeout_status_S <= '0';
+ downstream_error_S <= '0'; -- set CALIBRATION_TIMEOUT_ERROR status-bit
+ report_error_S <= '0'; -- set REPORT_ERROR status-bit
end if;
end if;
end process;
\r
-\r
-\r
---------------------------------------------------------
-- RegIO Statemachine
---------------------------------------------------------
PULSE_OUT => soda_cmd_strobe_sodaclk_S
);
\r
-SODA_CMD_FLOWCTRL : process(SODACLK)\r
- begin\r
- if( rising_edge(SODACLK) ) then
- if( RESET = '1' ) then\r
- soda_cmd_pending_S <= '0';\r
- soda_send_cmd_S <= '0';\r
- elsif soda_cmd_strobe_sodaclk_S = '1' then\r
- soda_cmd_pending_S <= '1';\r
- elsif soda_cmd_window_S = '1' and soda_cmd_pending_S = '1' then\r
- soda_send_cmd_S <= '1';
- soda_cmd_pending_S <= '0';\r
- else
- soda_cmd_pending_S <= '0';
- soda_send_cmd_S <= '0';
- end if;\r
- end if;
- end process SODA_CMD_FLOWCTRL;
+\r
+---------------------------------------------------------
+-- Control bits --
+---------------------------------------------------------
+ soda_reset_S <= (RESET or CTRL_STATUS_register_S(31));
+ soda_enable_S <= CTRL_STATUS_register_S(30);
+ dead_channel_S <= CTRL_STATUS_register_S(29); -- slow-control can declare a channel dead
+---------------------------------------------------------
+-- Status bits --
+---------------------------------------------------------
+ CTRL_STATUS_register_S(15) <= report_error_S;
+ CTRL_STATUS_register_S(14 downto 2) <= (others => '0');
+ CTRL_STATUS_register_S(1) <= downstream_error_S;
+ CTRL_STATUS_register_S(0) <= channel_timeout_status_S;
+\r
---------------------------------------------------------
-- data handling --
---------------------------------------------------------
if ( RESET = '1' ) then
soda_cmd_strobe_S <= '0';
soda_cmd_word_S <= (others => '0');
- CTRL_STATUS_register_i(15 downto 0) <= (others => '0');
+ CTRL_STATUS_register_S(31 downto 16) <= (30 => '1', others => '0'); -- enable soda by default
elsif( (store_wr = '1') and (SODA_ADDR_IN = "0000") ) then
soda_cmd_strobe_S <= '1';
soda_cmd_word_S <= SODA_DATA_IN(30 downto 0);
- elsif( (store_wr = '1') and (SODA_ADDR_IN = "0001") ) then
+ elsif( (store_wr = '1') and (SODA_ADDR_IN = "0011") ) then
soda_cmd_strobe_S <= '0';
- CTRL_STATUS_register_i(15 downto 0) <= SODA_DATA_IN(15 downto 0); -- use only the 16 lower bits for control
+ CTRL_STATUS_register_S(31 downto 16) <= SODA_DATA_IN(31 downto 16); -- use only the 16 upper bits for control
else
soda_cmd_strobe_S <= '0';
end if;
end if;
end process THE_WRITE_REG_PROC;
\r
- dead_channel_S <= CTRL_STATUS_register_i(8); -- slow-control can declare a channel dead\r
-
-- register read
THE_READ_REG_PROC: process( SYSCLK )
elsif( (store_rd = '1') and (SODA_ADDR_IN = "0010") ) then
buf_bus_data_out <= calib_register_S;
elsif( (store_rd = '1') and (SODA_ADDR_IN = "0011") ) then
- buf_bus_data_out <= CTRL_STATUS_register_i;\r
+ buf_bus_data_out <= CTRL_STATUS_register_S;
end if;
end if;
end process THE_READ_REG_PROC;
-- output signals
- LEDS_OUT <= CTRL_STATUS_register_i(3 downto 0);
+ LEDS_OUT <= CTRL_STATUS_register_S(3 downto 0);
SODA_DATA_OUT <= buf_bus_data_out;
SODA_ACK_OUT <= bus_ack;
port(
SODACLK : in std_logic; -- fabric clock
RESET : in std_logic; -- synchronous reset
- --Internal Connection
+ ENABLE : in std_logic := '1';
SODA_BURST_PULSE_IN : in std_logic := '0'; --
START_OF_SUPERBURST_OUT : out std_logic := '0';
SUPER_BURST_NR_OUT : out std_logic_vector(30 downto 0) := (others => '0');
burst_counter_S <= cBURST_COUNT;
START_OF_SUPERBURST_OUT <= '0';
super_burst_nr_S <= (others => '0');
- elsif ((SODA_BURST_PULSE_IN = '1') and (soda_burst_pulse_S = '0')) then
+ elsif ((SODA_BURST_PULSE_IN = '1') and (soda_burst_pulse_S = '0') and (ENABLE='1')) then
if (burst_counter_S = x"00") then
START_OF_SUPERBURST_OUT <= '1';
super_burst_nr_S <= super_burst_nr_S + 1;
-<Project ModBy="Inserter" SigType="0" Name="/local/lemmens/lattice/soda/soda_hub_probe.rvl" Date="2015-03-04">
+<Project ModBy="Inserter" SigType="0" Name="/local/lemmens/lattice/soda/soda_hub_probe.rvl" Date="2015-03-17">
<IP Version="1_5_062609"/>
<Design DesignEntry="Schematic/VHDL" Synthesis="synplify" DeviceFamily="LatticeECP3" DesignName="soda_hub"/>
- <Core InsertDataset="0" Insert="1" Reveal_sig="2093545897" Name="trb3_periph_sodahub_LA0" ID="0">
+ <Core InsertDataset="0" Insert="1" Reveal_sig="2095246907" Name="trb3_periph_sodahub_LA0" ID="0">
<Setting>
<Clock SampleClk="rxup_full_clk" SampleEnable="0" EnableClk="" EnableClk_Pri="0"/>
<TraceBuffer Implementation="0" BitTimeStamp="0" hasTimeStamp="0" IncTrigSig="1" BufferDepth="64"/>
<Sig Type="SIG" Name="sfp_los:5"/>
<Sig Type="SIG" Name="sfp_los:6"/>
</Bus>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/rst_n"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/rx_cdr_lol_ch_s"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/rx_los_low_ch_s"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/rx_pcs_rst_ch_c"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/rx_serdes_rst_ch_c"/>
- <Bus Name="the_hub_sync_uplink/the_rx_fsm/state_out">
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/state_out:0"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/state_out:1"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/state_out:2"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/state_out:3"/>
- </Bus>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/tx_pll_lol_qd_s"/>
- <Bus Name="the_hub_sync_uplink/the_rx_fsm/wa_position">
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/wa_position:0"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/wa_position:1"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/wa_position:2"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/wa_position:3"/>
- </Bus>
- <Bus Name="the_hub_sync_uplink/the_rx_fsm/cs">
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/cs:0"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/cs:1"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/cs:2"/>
- </Bus>
- <Sig Type="SIG" Name="the_hub_sync_uplink/the_rx_fsm/timer2"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/clear"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/internal_make_link_reset_out"/>
- <Sig Type="SIG" Name="the_hub_sync_uplink/sd_los_i"/>
<Sig Type="SIG" Name="the_hub_sync_uplink/watchdog_trigger"/>
+ <Bus Name="the_hub_sync_downlink/got_link_ready_i">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/got_link_ready_i:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/got_link_ready_i:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/got_link_ready_i:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/got_link_ready_i:3"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/rx_k">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_k:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_k:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_k:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_k:3"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/rx_data[3:0]">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:0:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:1:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:2:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_data:3:7"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/rx_dlm">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm:3"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/rx_dlm_word[3:0]">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:0:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:1:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:2:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/rx_dlm_word:3:7"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/tx_k">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_k:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_k:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_k:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_k:3"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/tx_data[3:0]">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:0:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:1:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:2:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_data:3:7"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/tx_dlm">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm:3"/>
+ </Bus>
+ <Bus Name="the_hub_sync_downlink/tx_dlm_word[3:0]">
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:0:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:1:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:2:7"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:0"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:1"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:2"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:3"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:4"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:5"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:6"/>
+ <Sig Type="SIG" Name="the_hub_sync_downlink/tx_dlm_word:3:7"/>
+ </Bus>
</Trace>
<Trigger>
<TU Serialbits="0" Type="0" ID="1" Sig="a_soda_hub/start_of_superburst_s,"/>
<Option name="HDL type" value="VHDL"/>
</Options>
<Implementation title="soda_source" dir="soda_source" description="soda_source" synthesis="synplify" default_strategy="Strategy1">
- <Options def_top="trb3_periph_sodasource" top="trb3_periph_sodasource"/>
+ <Options top="trb3_periph_sodasource"/>
<Source name="code/version.vhd" type="VHDL" type_short="VHDL">
<Options/>
</Source>
<Source name="code/soda_clockscaler.vhd" type="VHDL" type_short="VHDL">
<Options/>
</Source>
- <Source name="code/soda_packet_handler.vhd" type="VHDL" type_short="VHDL">
+ <Source name="code/soda_packet_handler.vhd" type="VHDL" type_short="VHDL" excluded="TRUE">
<Options/>
</Source>
<Source name="code/soda_superburst_gen.vhd" type="VHDL" type_short="VHDL">
-rvl_alias "clk_100_osc" "clk_100_osc";
+rvl_alias "clk_200_osc" "clk_200_osc";
RVL_ALIAS "clk_raw_internal" "clk_raw_internal";
BLOCK RESETPATHS ;
BLOCK ASYNCPATHS ;
-<Project ModBy="Inserter" SigType="0" Name="/local/lemmens/lattice/soda/soda_source_probe.rvl" Date="2015-03-03">
+<Project ModBy="Inserter" SigType="0" Name="/local/lemmens/lattice/soda/soda_source_probe.rvl" Date="2015-03-18">
<IP Version="1_5_062609"/>
<Design DesignEntry="Schematic/VHDL" Synthesis="synplify" DeviceFamily="LatticeECP3" DesignName="soda_source"/>
- <Core InsertDataset="0" Insert="1" Reveal_sig="2093419085" Name="trb3_periph_sodasource_LA0" ID="0">
+ <Core InsertDataset="0" Insert="1" Reveal_sig="2095382979" Name="trb3_periph_sodasource_LA0" ID="0">
<Setting>
- <Clock SampleClk="clk_100_osc" SampleEnable="0" EnableClk="" EnableClk_Pri="0"/>
+ <Clock SampleClk="clk_200_osc" SampleEnable="0" EnableClk="" EnableClk_Pri="0"/>
<TraceBuffer Implementation="0" BitTimeStamp="0" hasTimeStamp="0" IncTrigSig="1" BufferDepth="128"/>
<Capture Mode="0" MinSamplesPerTrig="8"/>
<Event CntEnable="0" MaxEventCnt="8"/>
</Setting>
<Dataset Name="Base">
<Trace>
- <Bus Name="the_media_uplink/the_sfp_lsm/current_state">
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/current_state:0"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/current_state:1"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/current_state:2"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/current_state:3"/>
- </Bus>
- <Bus Name="the_media_uplink/the_sfp_lsm/next_state">
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_state:0"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_state:1"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_state:2"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_state:3"/>
- </Bus>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_rx_allow"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/rx_allow_out"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_tx_allow"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/tx_allow_out"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_lane_rst"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/lane_reset_out"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_rst_tctr"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/rst_tctr"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/next_rst_cctr"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/rst_cctr"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/sfp_los_in"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/sfp_missing_in"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/link_status_led"/>
- <Bus Name="the_media_uplink/the_sfp_lsm/stat_op">
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:0"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:1"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:2"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:3"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:4"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:5"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:6"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:7"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:8"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:9"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:10"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:11"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:12"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:13"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:14"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/stat_op:15"/>
- </Bus>
- <Bus Name="the_media_uplink/the_sfp_lsm/state_bits">
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/state_bits:0"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/state_bits:1"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/state_bits:2"/>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/state_bits:3"/>
- </Bus>
- <Sig Type="SIG" Name="the_media_uplink/the_sfp_lsm/swap_bytes_out"/>
- <Bus Name="the_media_uplink/link_error">
- <Sig Type="SIG" Name="the_media_uplink/link_error:0"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:1"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:2"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:3"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:4"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:5"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:6"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:7"/>
- <Sig Type="SIG" Name="the_media_uplink/link_error:8"/>
- </Bus>
- <Bus Name="the_media_uplink/link_ok">
- <Sig Type="SIG" Name="the_media_uplink/link_ok:0"/>
- </Bus>
- <Sig Type="SIG" Name="the_media_uplink/pwr_up"/>
- <Sig Type="SIG" Name="the_media_uplink/quad_rst"/>
- <Sig Type="SIG" Name="the_media_uplink/reset"/>
- <Bus Name="the_media_uplink/rx_k">
- <Sig Type="SIG" Name="the_media_uplink/rx_k:0"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_k:1"/>
- </Bus>
- <Bus Name="the_media_uplink/rx_data">
- <Sig Type="SIG" Name="the_media_uplink/rx_data:0"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:1"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:2"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:3"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:4"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:5"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:6"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:7"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:8"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:9"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:10"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:11"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:12"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:13"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:14"/>
- <Sig Type="SIG" Name="the_media_uplink/rx_data:15"/>
- </Bus>
- <Sig Type="SIG" Name="the_media_uplink/rx_led"/>
- <Bus Name="the_media_uplink/tx_k">
- <Sig Type="SIG" Name="the_media_uplink/tx_k:0"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_k:1"/>
- </Bus>
- <Bus Name="the_media_uplink/tx_data">
- <Sig Type="SIG" Name="the_media_uplink/tx_data:0"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:1"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:2"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:3"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:4"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:5"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:6"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:7"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:8"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:9"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:10"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:11"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:12"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:13"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:14"/>
- <Sig Type="SIG" Name="the_media_uplink/tx_data:15"/>
- </Bus>
- <Sig Type="SIG" Name="the_media_uplink/tx_led"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_reset_s"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_enable_s"/>
+ <Sig Type="SIG" Name="the_soda_source/dead_channel_s"/>
+ <Sig Type="SIG" Name="the_soda_source/report_error_s"/>
+ <Sig Type="SIG" Name="the_soda_source/downstream_error_s"/>
+ <Sig Type="SIG" Name="the_soda_source/channel_timeout_status_s"/>
+ <Bus Name="the_soda_source/ctrl_status_register_s">
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:7"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:8"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:9"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:10"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:11"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:12"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:13"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:14"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:15"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:16"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:17"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:18"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:19"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:20"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:21"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:22"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:23"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:24"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:25"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:26"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:27"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:28"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:29"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:30"/>
+ <Sig Type="SIG" Name="the_soda_source/ctrl_status_register_s:31"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/soda_burst_pulse_in"/>
+ <Bus Name="the_soda_source/super_burst_nr_s">
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:7"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:8"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:9"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:10"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:11"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:12"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:13"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:14"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:15"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:16"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:17"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:18"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:19"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:20"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:21"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:22"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:23"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:24"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:25"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:26"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:27"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:28"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:29"/>
+ <Sig Type="SIG" Name="the_soda_source/super_burst_nr_s:30"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_strobe_s"/>
+ <Bus Name="the_soda_source/soda_cmd_word_s">
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:7"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:8"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:9"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:10"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:11"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:12"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:13"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:14"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:15"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:16"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:17"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:18"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:19"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:20"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:21"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:22"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:23"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:24"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:25"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:26"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:27"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:28"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:29"/>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_word_s:30"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/soda_cmd_window_s"/>
+ <Sig Type="SIG" Name="the_sync_link/got_link_ready_i"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_k"/>
+ <Bus Name="the_sync_link/rx_data">
+ <Sig Type="SIG" Name="the_sync_link/rx_data:0"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:1"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:2"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:3"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:4"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:5"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:6"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_data:7"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm"/>
+ <Bus Name="the_sync_link/rx_dlm_word">
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:0"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:1"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:2"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:3"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:4"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:5"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:6"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_dlm_word:7"/>
+ </Bus>
+ <Bus Name="the_sync_link/rx_fsm_state">
+ <Sig Type="SIG" Name="the_sync_link/rx_fsm_state:0"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_fsm_state:1"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_fsm_state:2"/>
+ <Sig Type="SIG" Name="the_sync_link/rx_fsm_state:3"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_sync_link/tx_k"/>
+ <Bus Name="the_sync_link/tx_data">
+ <Sig Type="SIG" Name="the_sync_link/tx_data:0"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:1"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:2"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:3"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:4"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:5"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:6"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_data:7"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_preview_in"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm"/>
+ <Bus Name="the_sync_link/tx_dlm_word">
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:0"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:1"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:2"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:3"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:4"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:5"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:6"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_dlm_word:7"/>
+ </Bus>
+ <Bus Name="the_sync_link/tx_fsm_state">
+ <Sig Type="SIG" Name="the_sync_link/tx_fsm_state:0"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_fsm_state:1"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_fsm_state:2"/>
+ <Sig Type="SIG" Name="the_sync_link/tx_fsm_state:3"/>
+ </Bus>
+ <Bus Name="the_sync_link/wa_position">
+ <Sig Type="SIG" Name="the_sync_link/wa_position:0"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:1"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:2"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:3"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:4"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:5"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:6"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:7"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:8"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:9"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:10"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:11"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:12"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:13"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:14"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position:15"/>
+ </Bus>
+ <Bus Name="the_sync_link/wa_position_rx">
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:0"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:1"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:2"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:3"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:4"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:5"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:6"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:7"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:8"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:9"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:10"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:11"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:12"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:13"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:14"/>
+ <Sig Type="SIG" Name="the_sync_link/wa_position_rx:15"/>
+ </Bus>
+ <Bus Name="the_soda_source/packet_builder/build_packet_state_s">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/build_packet_state_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/build_packet_state_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/build_packet_state_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/build_packet_state_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/build_packet_state_s:4"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_data_valid_s"/>
+ <Bus Name="the_soda_source/packet_builder/crc_datain_s">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_datain_s:7"/>
+ </Bus>
+ <Bus Name="the_soda_source/packet_builder/crc_out_s">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_out_s:7"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/crc_valid_s"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_pending_s"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_strobe_in"/>
+ <Bus Name="the_soda_source/packet_builder/soda_cmd_word_in">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:4"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:5"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:6"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:7"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:8"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:9"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:10"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:11"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:12"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:13"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:14"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:15"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:16"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:17"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:18"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:19"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:20"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:21"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:22"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:23"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:24"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:25"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:26"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:27"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:28"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:29"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_word_in:30"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cycle_in"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/start_of_superburst"/>
+ <Bus Name="the_soda_source/packet_builder/super_burst_nr_in">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:4"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:5"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:6"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:7"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:8"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:9"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:10"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:11"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:12"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:13"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:14"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:15"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:16"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:17"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:18"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:19"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:20"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:21"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:22"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:23"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:24"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:25"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:26"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:27"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:28"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:29"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/super_burst_nr_in:30"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_out"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_preview_out"/>
+ <Bus Name="the_soda_source/packet_builder/tx_dlm_word_out">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:4"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:5"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:6"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/tx_dlm_word_out:7"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/wait4cycle_s"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_strobe_s"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_cmd_window_in"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_dlm_preview_s"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_valid_s"/>
+ <Bus Name="the_soda_source/packet_builder/soda_pkt_word_s">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/soda_pkt_word_s:7"/>
+ </Bus>
+ <Bus Name="the_soda_source/packet_builder/cmd_window_state_s">
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/cmd_window_state_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/packet_builder/cmd_window_state_s:1"/>
+ </Bus>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/soda_burst_pulse_in"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/soda_cmd_window_out"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/start_of_superburst_out"/>
+ <Bus Name="the_soda_source/superburst_gen/burst_counter_s">
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:0"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:1"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:2"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:3"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:4"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:5"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:6"/>
+ <Sig Type="SIG" Name="the_soda_source/superburst_gen/burst_counter_s:7"/>
+ </Bus>
</Trace>
<Trigger>
- <TU Serialbits="0" Type="0" ID="1" Sig="(BUS)the_media_uplink/link_ok[0:0],"/>
- <TU Serialbits="0" Type="0" ID="2" Sig="(BUS)the_media_uplink/the_sfp_lsm/state_bits[3:0],"/>
+ <TU Serialbits="0" Type="0" ID="1" Sig="the_soda_source/start_of_superburst_s,"/>
+ <TU Serialbits="0" Type="0" ID="2" Sig="the_soda_source/packet_builder/soda_cmd_pending_s,"/>
<TE MaxSequence="2" MaxEvnCnt="1" ID="1" Resource="0"/>
<TE MaxSequence="2" MaxEvnCnt="1" ID="2" Resource="0"/>
</Trigger>
</Option>
</Device>
<Device>
- <SelectedProg value="TRUE"/>
+ <SelectedProg value="FALSE"/>
<Pos>2</Pos>
<Vendor>Lattice</Vendor>
<Family>LatticeECP3</Family>
<BScanLen>1</BScanLen>
<BScanVal>0</BScanVal>
</Bypass>
- <File>/local/lemmens/lattice/soda/trb3_periph_sodahub_20150304.bit</File>
- <FileTime>03/04/15 14:53:50</FileTime>
+ <File>/local/lemmens/lattice/soda/trb3_periph_sodahub_20150317.bit</File>
+ <FileTime>03/17/15 15:09:57</FileTime>
<Operation>Fast Program</Operation>
<Option>
<SVFVendor>JTAG STANDARD</SVFVendor>
<BScanLen>1</BScanLen>
<BScanVal>0</BScanVal>
</Bypass>
- <File>/local/lemmens/lattice/soda/trb3_periph_sodaclient_20150113.bit</File>
- <FileTime>01/13/15 10:01:17</FileTime>
- <JedecChecksum>N/A</JedecChecksum>
+ <File>/local/lemmens/lattice/soda/trb3_periph_sodaclient_20150317.bit</File>
+ <FileTime>03/17/15 13:31:23</FileTime>
<Operation>Fast Program</Operation>
<Option>
<SVFVendor>JTAG STANDARD</SVFVendor>
</Option>
</Device>
<Device>
- <SelectedProg value="FALSE"/>
+ <SelectedProg value="TRUE"/>
<Pos>5</Pos>
<Vendor>Lattice</Vendor>
<Family>LatticeECP3</Family>
<BScanLen>1</BScanLen>
<BScanVal>0</BScanVal>
</Bypass>
- <File>/local/lemmens/lattice/soda/trb3_periph_sodasource_20150303.bit</File>
- <FileTime>03/03/15 17:13:40</FileTime>
+ <File>/local/lemmens/lattice/soda/trb3_periph_sodasource_20150318.bit</File>
+ <FileTime>03/18/15 13:53:16</FileTime>
<JedecChecksum>N/A</JedecChecksum>
<Operation>Fast Program</Operation>
<Option>
<?xml version='1.0' encoding='utf-8' ?>
<!DOCTYPE ispXCF SYSTEM "IspXCF.dtd" >
-<ispXCF version="2.1.0">
+<ispXCF version="3.4.0">
<Comment></Comment>
<Chain>
<Comm>JTAG</Comm>
<BScanLen>1</BScanLen>
<BScanVal>0</BScanVal>
</Bypass>
- <File>/local/lemmens/lattice/soda/trb3_periph_sodaclient_20140827.bit</File>
- <FileTime>08/27/14 08:27:55</FileTime>
+ <File>/local/lemmens/lattice/soda/trb3_periph_sodaclient_20150317.bit</File>
+ <FileTime>03/17/15 13:31:23</FileTime>
<Operation>Fast Program</Operation>
<Option>
<SVFVendor>JTAG STANDARD</SVFVendor>
<BScanLen>1</BScanLen>
<BScanVal>0</BScanVal>
</Bypass>
- <File>/local/lemmens/lattice/soda/trb3_periph_sodasource_20140827.bit</File>
- <FileTime>08/27/14 09:49:24</FileTime>
- <JedecChecksum>N/A</JedecChecksum>
+ <File>/local/lemmens/lattice/soda/trb3_periph_sodasource_20150318.bit</File>
+ <FileTime>03/18/15 15:40:50</FileTime>
<Operation>Fast Program</Operation>
<Option>
<SVFVendor>JTAG STANDARD</SVFVendor>