--TDC settings
constant NUM_TDC_MODULES : integer range 1 to 4 := 1; -- number of tdc modules to implement
- constant NUM_TDC_CHANNELS : integer range 1 to 65 := 41; -- number of tdc channels per module
+ constant NUM_TDC_CHANNELS : integer range 1 to 65 := 2; -- number of tdc channels per module
constant NUM_TDC_CHANNELS_POWER2 : integer range 0 to 6 := 5; --the nearest power of two, for convenience reasons
constant DOUBLE_EDGE_TYPE : integer range 0 to 3 := 3; --double edge type: 0, 1, 2, 3
-- 0: single edge only,
x"41", x"64", x"64", x"72", x"65", x"73", x"73", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"80", x"0a",
x"43", x"6f", x"6d", x"70", x"69", x"6c", x"65", x"54", x"69", x"6d", x"65", x"20", x"20", x"84", x"83", x"0a",
x"54", x"69", x"6d", x"65", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"20", x"82", x"81", x"0a",
+ x"85",x"0a",
+ x"86",x"0a",
+ x"87",x"0a",
others => x"00");
------------------------------------------------------------------------------
TOPNAME => "trb3sc_tdctemplate",
lm_license_file_for_synplify => "1702\@hadeb05.gsi.de", #"27000\@lxcad01.gsi.de";
lm_license_file_for_par => "1702\@hadeb05.gsi.de",
-lattice_path => '/d/jspc29/lattice/diamond/3.5_x64',
+lattice_path => '/d/jspc29/lattice/diamond/3.6_x64',
synplify_path => '/d/jspc29/lattice/synplify/J-2014.09-SP2/',
-synplify_command => "/d/jspc29/lattice/diamond/3.5_x64/bin/lin64/synpwrap -fg -options",
+synplify_command => "/d/jspc29/lattice/diamond/3.6_x64/bin/lin64/synpwrap -fg -options",
#synplify_command => "/d/jspc29/lattice/synplify/J-2014.09-SP2/bin/synplify_premier_dp",
nodelist_file => 'nodelist_frankfurt.txt',
lcd_data(15 downto 0) <= timer.network_address;
lcd_data(47 downto 16) <= timer.microsecond;
lcd_data(79 downto 48) <= std_logic_vector(to_unsigned(VERSION_NUMBER_TIME, 32));
- lcd_data(511 downto 80) <= (others => '0');
+ lcd_data(95 downto 80) <= med2int(0).data;
+ lcd_data(111 downto 96) <= int2med(0).data;
+ lcd_data(115 downto 112) <= med2int(0).packet_num & med2int(0).dataready;
+ lcd_data(119 downto 116) <= int2med(0).packet_num & int2med(0).dataready;
+ lcd_data(511 downto 120) <= (others => '0');
---------------------------------------------------------------------------
-- LED
end process;
-
+TEST_LINE <= med2int(0).dataready & int2med(0).dataready & med2int(0).data(6 downto 0) & int2med(0).data(6 downto 0);
+
+
-------------------------------------------------------------------------------
-- TDC
-------------------------------------------------------------------------------