end process PROC_FILTER_FSM;\r
\r
PROC_FILTER_TRANSITIONS : process( filter_current_state, saved_frame_type, LINK_OK_IN, saved_proto, MY_MAC_IN,\r
- saved_dest_mac, remove_ctr, new_frame, MAC_RX_EOF_IN, frame_type_valid, ALLOW_RX_IN)\r
+ saved_dest_mac, remove_ctr, new_frame, MAC_RX_EOF_IN, frame_type_valid, ALLOW_RX_IN )\r
begin\r
set_proto_x <= (others => '0');\r
oob_select_x <= '0';\r
port(
CLK_125_IN : in std_logic;
RESET : in std_logic;
- GSR_N : in std_logic;
MY_MAC_IN : in std_logic_vector(47 downto 0);
DHCP_DONE_OUT : out std_logic;
MY_IP_OUT : out std_logic_vector(31 downto 0);
port map(
CLK_125_IN => CLK_125_IN,
RESET => RESET,
- GSR_N => GSR_N,
MY_MAC_IN => mac,
DHCP_DONE_OUT => dhcp_done,
MY_IP_OUT => my_ip(31 downto 0),