]> jspc29.x-matter.uni-frankfurt.de Git - trbnet.git/commitdiff
*** empty log message ***
authorhadeshyp <hadeshyp>
Tue, 8 May 2012 11:59:07 +0000 (11:59 +0000)
committerhadeshyp <hadeshyp>
Tue, 8 May 2012 11:59:07 +0000 (11:59 +0000)
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/generate_core.tcl [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ipx [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.lpc [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ngo [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_bb.v [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_beh.v [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_filelist.log [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_gen.log [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_generate.log [new file with mode: 0644]
gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_inst.v [new file with mode: 0644]

diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/generate_core.tcl b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/generate_core.tcl
new file mode 100644 (file)
index 0000000..473e9cf
--- /dev/null
@@ -0,0 +1,43 @@
+
+#!/usr/local/bin/wish
+
+set Para(cmd) ""
+if ![catch {set temp $argc} result] {
+    if {$argc > 0} {
+        for {set i 0} {$i < $argc} {incr i 2} {
+            set temp [lindex $argv $i]
+            set temp [string range $temp 1 end]
+            lappend argv_list $temp
+            lappend value_list [lindex $argv [expr $i+1]]
+        }
+        foreach argument $argv_list value $value_list {
+            switch $argument {
+                "cmd" {set Para(cmd) $value;}
+            }
+        }
+    }
+}
+
+set Para(ProjectPath) "/home/greg/projects/trbnet/gbe2_ecp2m/ipcores/sgmii_gbe_pcs34"
+set Para(ModuleName) "sgmii_gbe_pcs34"
+set Para(lib) "/home/greg/sgmii_gbepcs_v3.4/lib"
+set Para(CoreName) "SGMII/Gb Ethernet PCS"
+set Para(arch) "ep5m00"
+set Para(family) "latticeecp2m"
+set Para(Family) "latticeecp2m"
+set Para(design) "VHDL"
+set Para(install_dir) "/opt/lattice/diamond/1.1/bin/lin/../.."
+set Para(Bin) "/opt/lattice/diamond/1.1/bin/lin"
+set Para(SpeedGrade) "Para(spd)"
+set Para(FPGAPath) "/opt/lattice/diamond/1.1/bin/lin/../../ispfpga/bin/sol"
+
+lappend auto_path "/home/greg/sgmii_gbepcs_v3.4/gui"
+
+lappend auto_path "/home/greg/sgmii_gbepcs_v3.4/script"
+package require Core_Generate
+
+lappend auto_path "/opt/lattice/diamond/1.1/tcltk/lib/ipwidgets/ispipbuilder/../runproc"
+package require runcmd
+
+
+set Para(result) [GenerateCore]
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ipx b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ipx
new file mode 100644 (file)
index 0000000..74309ad
--- /dev/null
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<DiamondModule name="sgmii_gbe_pcs34" module="SGMII/Gb Ethernet PCS" VendorName="Lattice Semiconductor Corporation" generator="IPexpress" date="2011 06 17 11:36:56.461" version="3.4" type="IP" synthesis="synplify" source_format="VHDL">
+  <Package>
+               <File name="sgmii_gbe_pcs34.lpc" type="lpc" modified="2011 06 17 11:36:40.000"/>
+               <File name="sgmii_gbe_pcs34.ngo" type="database" modified="2011 06 17 11:36:53.000"/>
+               <File name="sgmii_gbe_pcs34_bb.v" type="black_box_verilog" modified="2011 06 17 11:36:53.000"/>
+               <File name="sgmii_gbe_pcs34_beh.v" type="behavioral_verilog" modified="2011 06 17 11:36:53.000"/>
+               <File name="sgmii_gbe_pcs34_inst.v" type="instantiation_verilog" modified="2011 06 17 11:36:53.000"/>
+  </Package>
+</DiamondModule>
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.lpc b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.lpc
new file mode 100644 (file)
index 0000000..85bcceb
--- /dev/null
@@ -0,0 +1,26 @@
+[Device]
+Family=ep5m00
+PartType=LFE2M100E
+PartName=LFE2M100E-5F900C
+SpeedGrade=5
+Package=FPBGA900
+OperatingCondition=COM
+Status=P
+
+[IP]
+VendorName=Lattice Semiconductor Corporation
+CoreType=IPCFG
+CoreStatus=Demo
+CoreName=SGMII/Gb Ethernet PCS
+CoreRevision=3.4
+ModuleName=sgmii_gbe_pcs34
+SourceFormat=VHDL
+ParameterFileVersion=1.0
+Date=06/17/2011
+Time=11:36:40
+
+[Parameters]
+RX_CTC=2
+RX_CTC_LOW=16
+RX_CTC_HIGH=32
+EasyConnect=1
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ngo b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ngo
new file mode 100644 (file)
index 0000000..0038491
Binary files /dev/null and b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34.ngo differ
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_bb.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_bb.v
new file mode 100644 (file)
index 0000000..059cdad
--- /dev/null
@@ -0,0 +1,143 @@
+//=============================================================================
+// Verilog module generated by IPExpress    06/17/2011    11:36:53          
+// Filename: sgmii_gbe_pcs34_bb.v                                            
+// Copyright(c) 2008 Lattice Semiconductor Corporation. All rights reserved.   
+//=============================================================================
+
+//---------------------------------------------------------------
+// sgmii_gbe_pcs34 synthesis black box definition              
+//---------------------------------------------------------------
+
+/* WARNING - Changes to this file should be performed by re-running IPexpress
+or modifying the .LPC file and regenerating the core.  Other changes may lead
+to inconsistent simulation and/or implemenation results */
+
+
+                        \r
+\r
+\r
+module sgmii_gbe_pcs34 (\r
+       // Control Interface\r
+       rst_n,\r
+       signal_detect,\r
+       gbe_mode,\r
+       sgmii_mode,\r
+       operational_rate,\r
+       debug_link_timer_short,\r
+       force_isolate,\r
+       force_loopback,\r
+       force_unidir,\r
+\r
+       rx_compensation_err,\r
+       ctc_drop_flag,\r
+       ctc_add_flag,\r
+       an_link_ok,\r
+                  \r
+       // G/MII Interface\r
+       tx_clock_enable_sink ,\r
+       tx_clock_enable_source ,\r
+       tx_clk_125,\r
+       tx_d,\r
+       tx_en,\r
+       tx_er,\r
+\r
+       rx_clock_enable_sink ,\r
+       rx_clock_enable_source ,\r
+       rx_clk_125,\r
+       rx_d,\r
+       rx_dv,\r
+       rx_er,\r
+       col,\r
+       crs,\r
+\r
+       // 8-bit Interface\r
+       tx_data,\r
+       tx_kcntl,\r
+       tx_disparity_cntl,\r
+       xmit_autoneg,\r
+\r
+       serdes_recovered_clk,\r
+       rx_data,\r
+       rx_kcntl,\r
+       rx_even ,\r
+       rx_disp_err ,\r
+       rx_cv_err ,\r
+       rx_err_decode_mode ,\r
+   \r
+       // Managment Control Outputs\r
+       mr_an_complete,\r
+       mr_page_rx,\r
+       mr_lp_adv_ability,\r
+\r
+       // Managment Control Inputs\r
+       mr_main_reset,\r
+       mr_an_enable,\r
+       mr_restart_an,\r
+       mr_adv_ability\r
+   );\r
+\r
+\r
+\r
+// Control Interface\r
+input         rst_n ;\r
+input         signal_detect ;\r
+input         gbe_mode ;\r
+input         sgmii_mode ;\r
+input [1:0]   operational_rate ;\r
+input         debug_link_timer_short ;\r
+input         force_isolate ;\r
+input         force_loopback ;\r
+input         force_unidir ;\r
+\r
+output        rx_compensation_err ;\r
+output        ctc_drop_flag ;\r
+output        ctc_add_flag ;\r
+output        an_link_ok ;\r
+\r
+// G/MII Interface\r
+input         tx_clock_enable_sink;\r
+output        tx_clock_enable_source;\r
+input         tx_clk_125 ;\r
+input [7:0]   tx_d ;\r
+input         tx_en ;\r
+input         tx_er ;\r
+\r
+input         rx_clock_enable_sink;\r
+output        rx_clock_enable_source;\r
+input         rx_clk_125 ;\r
+output [7:0]  rx_d ;\r
+output        rx_dv ;\r
+output        rx_er ;\r
+output        col ;\r
+output        crs ;\r
+\r
+// 8-bit Interface\r
+output [7:0]  tx_data ;\r
+output        tx_kcntl;\r
+output        tx_disparity_cntl;\r
+output        xmit_autoneg;\r
+\r
+input         serdes_recovered_clk ;\r
+input [7:0]   rx_data  ;\r
+input         rx_even ;\r
+input         rx_kcntl;\r
+input         rx_disp_err ;\r
+input         rx_cv_err ;\r
+input         rx_err_decode_mode ;\r
+\r
+// Managment Control Outputs\r
+output        mr_an_complete;\r
+output        mr_page_rx;\r
+output [15:0] mr_lp_adv_ability;\r
+\r
+// Managment Control Inputs\r
+input         mr_main_reset;\r
+input         mr_an_enable;\r
+input         mr_restart_an;\r
+input [15:0]  mr_adv_ability;\r
+\r
+\r
+\r
+endmodule\r
+\r
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_beh.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_beh.v
new file mode 100644 (file)
index 0000000..6a960da
--- /dev/null
@@ -0,0 +1,5440 @@
+// sgmii_pcs_core_beh_pp.v generated by Lattice IP Model Creator version 1
+// created on Wed Feb 23 18:52:49 CST 2011
+// Copyright(c) 2007 Lattice Semiconductor Corporation. All rights reserved
+// obfuscator_exe version 1.mar0807
+
+// top
+
+
+`define SGMII_NO_ENC\r
+
+`define SGMII_YES_CTC_DYNAMIC\r
+
+`define SGMII_FIFO_FAMILY_ECP2M\r
+
+`define SGMII_YES_SINGLE_CLOCK\r
+
+
+
+
+`timescale 1 ns / 100 ps
+module tjb57bb (wlabdde, uv5eef5, wjf77ad, yzbbd6d, medeb6c, icf5b61, 
+    puadb09, kq6d84a, xw6c250, dz61283, vx941f, th4a0fe, hb507f7, 
+    ri83fbf);
+input [17:0] wlabdde;
+input uv5eef5;
+input wjf77ad;
+input yzbbd6d;
+input medeb6c;
+input icf5b61;
+input puadb09;
+input [9:0] kq6d84a;
+input [9:0] xw6c250;
+output [17:0] dz61283;
+output vx941f;
+output th4a0fe;
+output hb507f7;
+output ri83fbf;
+reg [17 : 0] ng14a7f;
+reg lfa53fa;
+reg gd29fd7;
+reg th4febc;
+reg cz7f5e6;
+reg wwfaf35;
+reg zxd79a8;
+reg [9 : 0] ecbcd47;
+reg [9 : 0] pfe6a39;
+reg [2047:0] ls351cf;
+wire [8:0] jea8e7a;
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+localparam ps473d2 = 9,wl39e93 = 32'hfdfff40b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+AND2 mececb4 (.A(yzbbd6d), .B(fcb2d03), .Z(ng9681a));    INV ohb40d3 (.A(jra069c), .Z(fcb2d03));    AND2 ks1a73f (.A(medeb6c), .B(ls9cfc5), .Z(yxe7e2a));    INV fc3f155 (.A(wjf8aab), .Z(ls9cfc5));    OR2 je2aaea (.A(icf5b61), .B(puadb09), .Z(zk5d4fd));    XOR2 icea7eb (.A(go53f58), .B(kf9fac1), .Z(uvfd60d));    XOR2 hoeb06c (.A(kf9fac1), .B(icc1b13), .Z(sjd899));    XOR2 xw6c4cd (.A(icc1b13), .B(tj13358), .Z(fc99ac6));    XOR2 dzcd632 (.A(tj13358), .B(jc58cb6), .Z(ipc65b2));    XOR2 tj32d95 (.A(jc58cb6), .B(ymb6573), .Z(ngb2b99));    XOR2 an95cca (.A(ymb6573), .B(xw732a4), .Z(fc99523));    XOR2 faca91b (.A(xw732a4), .B(oha46d4), .Z(ep236a5));    XOR2 xy1b52c (.A(oha46d4), .B(god4b13), .Z(hda5898));    XOR2 wl2c4c6 (.A(god4b13), .B(hd131a8), .Z(mg98d46));    XOR2 gbc6a30 (.A(hd131a8), .B(baa8c22), .Z(cm46112));    XOR2 vk30891 (.A(rv8448b), .B(jr2245e), .Z(mt122f6));    XOR2 db917b4 (.A(jr2245e), .B(gb5ed3e), .Z(pff69f5));    XOR2 qvb4fae (.A(gb5ed3e), .B(co3ebab), .Z(suf5d58));    XOR2 sjaeac1 (.A(co3ebab), .B(irab06c), .Z(ho58364));    XOR2 uic1b23 (.A(irab06c), .B(lq6c8cd), .Z(hb6466a));    XOR2 an23357 (.A(lq6c8cd), .B(ldcd5e6), .Z(qt6af36));    XOR2 xw579b5 (.A(ldcd5e6), .B(rte6d59), .Z(cb36acf));    XOR2 rvb567c (.A(rte6d59), .B(qg59f34), .Z(lqcf9a0));    XOR2 ps7cd02 (.A(qg59f34), .B(mg3409f), .Z(tja04f8));    XOR2 sj27c7 (.A(mg3409f), .B(an9f1ef), .Z(off8f7c));        defparam rtc7be5.initval =  16'h6996 ;        ROM16X1 rtc7be5 (.AD3(ayef977), .AD2(zk7cbb9), .AD1(yke5dcb),        .AD0(aa2ee58), .DO0(yk772c1))             ;        defparam mgb9609.initval =  16'h6996 ;        ROM16X1 mgb9609 (.AD3(ne58241), .AD2(qgc120b), .AD1(bn9058),        .AD0(ne482c6), .DO0(gb41632))             ;        defparam xyb194.initval =  16'h6996 ;        ROM16X1 xyb194 (.AD3(yke5dcb), .AD2(aa2ee58), .AD1(hd946ae),        .AD0(hd946ae), .DO0(ou1abb8))             ;        defparam zkd5dc1.initval =  16'h6996 ;        ROM16X1 zkd5dc1 (.AD3(zk7cbb9), .AD2(yke5dcb), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(vv55280))             ;        defparam yma9407.initval =  16'h6996 ;        ROM16X1 yma9407 (.AD3(ne482c6), .AD2(ayef977), .AD1(zk7cbb9),        .AD0(ou1abb8), .DO0(xwcff6d))             ;        defparam ip7fb6a.initval =  16'h6996 ;        ROM16X1 ip7fb6a (.AD3(bn9058), .AD2(ne482c6), .AD1(ayef977),        .AD0(vv55280), .DO0(nta586c))             ;        defparam sj2c366.initval =  16'h6996 ;        ROM16X1 sj2c366 (.AD3(qgc120b), .AD2(bn9058), .AD1(ne482c6),        .AD0(yk772c1), .DO0(wlaea9b))             ;        defparam uv754dd.initval =  16'h6996 ;        ROM16X1 uv754dd (.AD3(yk772c1), .AD2(gb41632),        .AD1(hd946ae), .AD0(hd946ae), .DO0(dm661f6))             ;        defparam pu30fb2.initval =  16'h6996 ;        ROM16X1 pu30fb2 (.AD3(yk772c1), .AD2(gb41632),        .AD1(dob2607), .AD0(hd946ae), .DO0(qi981da))             ;        defparam psc0ed1.initval =  16'h6996 ;        ROM16X1 psc0ed1 (.AD3(yk772c1), .AD2(gb41632),        .AD1(sud174a), .AD0(dob2607), .DO0(dm5d28e))             ;        defparam rte9473.initval =  16'h6996 ;        ROM16X1 rte9473 (.AD3(of51cff), .AD2(sud174a), .AD1(dob2607),        .AD0(hd946ae), .DO0(ykff9d5))             ;        defparam pffcea8.initval =  16'h6996 ;        ROM16X1 pffcea8 (.AD3(yk772c1), .AD2(gb41632),        .AD1(ykff9d5), .AD0(hd946ae), .DO0(ep3c4d2))             ;        defparam kde2694.initval =  16'h6996 ;        ROM16X1 kde2694 (.AD3(wl9a526), .AD2(jpd2934), .AD1(jr949a7),        .AD0(epa4d3e), .DO0(yz269f4))             ;        defparam ec34fa5.initval =  16'h6996 ;        ROM16X1 ec34fa5 (.AD3(ou3e94b), .AD2(lqf4a58), .AD1(pha52c3),        .AD0(mt2961f), .DO0(sh4b0fd))             ;        defparam xw587ed.initval =  16'h6996 ;        ROM16X1 xw587ed (.AD3(jr949a7), .AD2(epa4d3e), .AD1(hd946ae),        .AD0(hd946ae), .DO0(os5c36f))             ;        defparam zke1b79.initval =  16'h6996 ;        ROM16X1 zke1b79 (.AD3(jpd2934), .AD2(jr949a7), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(nr55112))             ;        defparam nga8897.initval =  16'h6996 ;        ROM16X1 nga8897 (.AD3(mt2961f), .AD2(wl9a526), .AD1(jpd2934),        .AD0(os5c36f), .DO0(wwd4d46))             ;        defparam coa6a30.initval =  16'h6996 ;        ROM16X1 coa6a30 (.AD3(pha52c3), .AD2(mt2961f), .AD1(wl9a526),        .AD0(nr55112), .DO0(iea456))             ;        defparam vi522b1.initval =  16'h6996 ;        ROM16X1 vi522b1 (.AD3(lqf4a58), .AD2(pha52c3), .AD1(mt2961f),        .AD0(yz269f4), .DO0(fa57824))             ;        defparam aabc123.initval =  16'h6996 ;        ROM16X1 aabc123 (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(hd946ae), .AD0(hd946ae), .DO0(xwc0665))             ;        defparam fp332a.initval =  16'h6996 ;        ROM16X1 fp332a (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(xy2afad), .AD0(hd946ae), .DO0(wlbeb5b))             ;        defparam wwf5adc.initval =  16'h6996 ;        ROM16X1 wwf5adc (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(wjdc2f5), .AD0(xy2afad), .DO0(fcbd6b))             ;        defparam uv5eb5a.initval =  16'h6996 ;        ROM16X1 uv5eb5a (.AD3(hqad6a9), .AD2(wjdc2f5), .AD1(xy2afad),        .AD0(hd946ae), .DO0(uka97bb))             ;        defparam en4bdd9.initval =  16'h6996 ;        ROM16X1 en4bdd9 (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(uka97bb), .AD0(hd946ae), .DO0(of65b65))             ;    XOR2 bn2db2c (.A(baa8c22), .B(epa4d3e), .Z(gb65982));    XOR2 oh2cc14 (.A(aa2ee58), .B(an9f1ef), .Z(ou82912));        defparam je14890.initval =  16'h0410 ;        ROM16X1 je14890 (.AD3(db22415), .AD2(an9f1ef), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(ks15d28))             ;        defparam mtae940.initval =  16'h1004 ;        ROM16X1 mtae940 (.AD3(db22415), .AD2(an9f1ef), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(je2fff9))             ;        defparam uv7ffcb.initval =  16'h0140 ;        ROM16X1 uv7ffcb (.AD3(ykff2e0), .AD2(baa8c22), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(yke0381))             ;        defparam fc1c09.initval =  16'h4001 ;        ROM16X1 fc1c09 (.AD3(ykff2e0), .AD2(baa8c22), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(of5b9b5))             ;    INV aldcdad (.A(pfe6d6c), .Z(mt36b67));    AND2 tjb5b3c (.A(zmad9e7), .B(mt36b67), .Z(ui679d2));    AND2 an3ce91 (.A(zmad9e7), .B(pfe6d6c), .Z(hbd2363));        defparam ph91b1e.CSDECODE_R =  3'b000 ;    defparam ph91b1e.CSDECODE_W =  3'b000 ;    defparam ph91b1e.GSR = "ENABLED" ;    defparam ph91b1e.RESETMODE = "ASYNC" ;    defparam ph91b1e.REGMODE = "NOREG" ;    defparam ph91b1e.DATA_WIDTH_R = 18 ;    defparam ph91b1e.DATA_WIDTH_W = 18 ;        PDP16KA ph91b1e (.DI0(wlabdde[0]), .DI1(wlabdde[1]), .DI2(wlabdde[2]),        .DI3(wlabdde[3]), .DI4(wlabdde[4]), .DI5(wlabdde[5]), .DI6(wlabdde[6]), .DI7(wlabdde[7]),        .DI8(wlabdde[8]), .DI9(wlabdde[9]), .DI10(wlabdde[10]), .DI11(wlabdde[11]),        .DI12(wlabdde[12]), .DI13(wlabdde[13]), .DI14(wlabdde[14]), .DI15(wlabdde[15]),        .DI16(wlabdde[16]), .DI17(wlabdde[17]), .DI18(wlabdde[0]), .DI19(wlabdde[1]),        .DI20(wlabdde[2]), .DI21(wlabdde[3]), .DI22(wlabdde[4]), .DI23(wlabdde[5]),        .DI24(wlabdde[6]), .DI25(wlabdde[7]), .DI26(wlabdde[8]), .DI27(wlabdde[9]),        .DI28(wlabdde[10]), .DI29(wlabdde[11]), .DI30(wlabdde[12]), .DI31(wlabdde[13]),        .DI32(wlabdde[14]), .DI33(wlabdde[15]), .DI34(wlabdde[16]), .DI35(wlabdde[17]),        .ADW0(ba1ecef), .ADW1(ba1ecef), .ADW2(hd946ae), .ADW3(hd946ae),        .ADW4(meef7c0), .ADW5(pf7be00), .ADW6(tudf006), .ADW7(kdf8032), .ADW8(fac0194),        .ADW9(ouca2), .ADW10(ph6511), .ADW11(xl3288f), .ADW12(db9447e), .ADW13(lsa23f7),        .CEW(ng9681a), .CLKW(uv5eef5), .WE(ba1ecef), .CSW0(hd946ae),        .CSW1(hd946ae), .CSW2(hd946ae), .ADR0(hd946ae), .ADR1(hd946ae),        .ADR2(hd946ae), .ADR3(hd946ae), .ADR4(al7fc5f), .ADR5(dmfe2fc),        .ADR6(faf17e3), .ADR7(ph8bf1a), .ADR8(xj5f8d6), .ADR9(vvfc6b7), .ADR10(rte35be),        .ADR11(wy1adf6), .ADR12(mrd6fb1), .ADR13(fcb7d8d), .CER(yxe7e2a), .CLKR(wjf77ad),        .CSR0(hd946ae), .CSR1(hd946ae), .CSR2(hd946ae), .RST(icf5b61),        .DO0(dz61283[0]), .DO1(dz61283[1]), .DO2(dz61283[2]), .DO3(dz61283[3]), .DO4(dz61283[4]), .DO5(dz61283[5]),        .DO6(
+dz61283[6]), .DO7(dz61283[7]), .DO8(dz61283[8]), .DO9(dz61283[9]), .DO10(dz61283[10]), .DO11(dz61283[11]),        .DO12(dz61283[12]), .DO13(dz61283[13]), .DO14(dz61283[14]), .DO15(dz61283[15]), .DO16(dz61283[16]),        .DO17(dz61283[17]), .DO18(), .DO19(), .DO20(), .DO21(), .DO22(), .DO23(),        .DO24(), .DO25(), .DO26(), .DO27(), .DO28(), .DO29(), .DO30(), .DO31(),        .DO32(), .DO33(), .DO34(), .DO35())                                                                                                                     ;        defparam hq113f.GSR = "ENABLED" ;        FD1P3BX hq113f (.D(gb44fd5), .SP(ng9681a), .CK(uv5eef5), .PD(icf5b61),        .Q(go53f58))             ;        defparam fcadec2.GSR = "ENABLED" ;        FD1P3DX fcadec2 (.D(zx7b094), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(kf9fac1))             ;        defparam lsa7fee.GSR = "ENABLED" ;        FD1P3DX lsa7fee (.D(qgffb9a), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(icc1b13))             ;        defparam jcd0a9c.GSR = "ENABLED" ;        FD1P3DX jcd0a9c (.D(hq2a714), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tj13358))             ;        defparam fca22eb.GSR = "ENABLED" ;        FD1P3DX fca22eb (.D(db8bad3), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(jc58cb6))             ;        defparam xl9e6b5.GSR = "ENABLED" ;        FD1P3DX xl9e6b5 (.D(kf9ad77), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ymb6573))             ;        defparam jebdbe8.GSR = "ENABLED" ;        FD1P3DX jebdbe8 (.D(mr6fa1c), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(xw732a4))             ;        defparam wwe5146.GSR = "ENABLED" ;        FD1P3DX wwe5146 (.D(dz4518e), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(oha46d4))             ;        defparam zk76c4e.GSR = "ENABLED" ;        FD1P3DX zk76c4e (.D(cbb1391), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(god4b13))             ;        defparam pu8c74e.GSR = "ENABLED" ;        FD1P3DX pu8c74e (.D(ir1d393), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(hd131a8))             ;        defparam aa9dd4b.GSR = "ENABLED" ;        FD1P3DX aa9dd4b (.D(al752c3), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(baa8c22))             ;        defparam tj1c35c.GSR = "ENABLED" ;        FD1P3DX tj1c35c (.D(uvfd60d), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(pu3a9c4))             ;        defparam sud4e22.GSR = "ENABLED" ;        FD1P3DX sud4e22 (.D(sjd899), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(gq82e31))             ;        defparam yz17188.GSR = "ENABLED" ;        FD1P3DX yz17188 (.D(fc99ac6), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ep23a0c))             ;        defparam cb1d065.GSR = "ENABLED" ;        FD1P3DX cb1d065 (.D(ipc65b2), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(os6dbe6))             ;        defparam cm6df30.GSR = "ENABLED" ;        FD1P3DX cm6df30 (.D(ngb2b99), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ks5795))             ;        defparam co2bca8.GSR = "ENABLED" ;        FD1P3DX co2bca8 (.D(fc99523), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tjc95d))             ;        defparam hb64aef.GSR = "ENABLED" ;        FD1P3DX hb64aef (.D(ep236a5), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(thf328f))             ;        defparam zm9947f.GSR = "ENABLED" ;        FD1P3DX zm9947f (.D(hda5898), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(godb92b))             ;        defparam ofdc959.GSR = "ENABLED" ;        FD1P3DX ofdc959 (.D(mg98d46), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ea62604))             ;        defparam zz13020.GSR = "ENABLED" ;        FD1P3DX zz13020 (.D(cm46112), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(co31697))             ;        defparam rv8b4b8.GSR = "ENABLED" ;        FD1P3DX rv8b4b8 (.D(baa8c22), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ou3ee1e))             ;        defparam mrf70f0.GSR = "ENABLED" ;        FD1P3DX mrf70f0 (.D(go53f58), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(meef7c0))             ;        defparam yx54463.GSR = "ENABLED" ;        FD1P3DX yx54463 (.D(kf9fac1), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(pf7be00))             ;        defparam by524e8.GSR = "ENABLED" ;        FD1P3DX by524e8 (.D(icc1b13), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tudf006))             ;        defparam je83359.GSR = "ENABLED" ;        FD1P3DX je83359 (.D(tj13358), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(kdf8032))             ;        defparam rtf6c45.GSR = "ENABLED" ;        FD1P3DX rtf6c45 (.D(jc58cb6), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(fac0194))             ;        defparam ri8c3c7.GSR = "ENABLED" ;        FD1P3DX ri8c3c7 (.D(ymb6573), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ouca2))             ;        defparam kfb13d4.GSR = "ENABLED" ;        FD1P3DX kfb13d4 (.D(xw732a4), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ph6511))             ;        defparam uk1f82d.GSR = "ENABLED" ;        FD1P3DX uk1f82d (.D(oha46d4), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(xl3288f))             ;        defparam kd703d5.GSR = "ENABLED" ;        FD1P3DX kd703d5 (.D(god4b13), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(db9447e))             ;        defparam qv39880.GSR = "ENABLED" ;        FD1P3DX qv39880 (.D(hd131a8), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(lsa23f7))             ;        defparam al6034d.GSR = "ENABLED" ;        FD1P3DX al6034d (.D(baa8c22), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ykff2e0))             ;        defparam su5c4cf.GSR = "ENABLED" ;        FD1P3BX su5c4cf (.D(ph133cc), .SP(yxe7e2a), .CK(wjf77ad), .PD(zk5d4fd),        .Q(rv8448b))             ;        defparam lq664dd.GSR = "ENABLED" ;        FD1P3DX lq664dd (.D(ba93757), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(jr2245e))             ;        defparam xlbafca.GSR = "ENABLED" ;        FD1P3DX xlbafca (.D(tjbf2b7), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(gb5ed3e))             ;        defparam rvbfc8a.GSR = "ENABLED" ;        FD1P3DX rvbfc8a (.D(czf22b3), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(co3ebab))             ;        defparam gq9df00.GSR = "ENABLED" ;        FD1P3DX gq9df00 (.D(lq7c001), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(irab06c))             ;        defparam qia202.GSR = "ENABLED" ;        FD1P3DX qia202 (.D(ym8808d), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(lq6c8cd))             ;        defparam me68d50.GSR = "ENABLED" ;        FD1P3DX me68d50 (.D(tw35400), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ldcd5e6))             ;        defparam wl2de0.GSR = "ENABLED" ;        FD1P3DX wl2de0 (.D(pub7827), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(rte6d59))             ;        defparam pu3a01e.GSR = "ENABLED" ;        FD1P3DX pu3a01e (.D(qv8079b), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qg59f34))             ;        defparam kddccbf.GSR = "ENABLED" ;        FD1P3DX kddccbf (.D(zz32fe6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(mg3409f))             ;        defparam yz363bf.GSR = "ENABLED" ;        FD1P3DX yz363bf (.D(nt8efed), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(an9f1ef))             ;        defparam bl6e1ac.GSR = "ENABLED" ;        FD1P3DX bl6e1ac (.D(mt122f6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(tw354c3))             ;        defparam xlaa61a.GSR = "ENABLED" ;        FD1P3DX xlaa61a (.D(pff69f5), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(jrb034c))             ;        defparam vx81a66.GSR = "ENABLED" ;        FD1P3DX vx81a66 (.D(suf5d58), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(gqbc45e))             ;        defparam ale22f0.GSR = "ENABLED" ;        FD1P3DX ale22f0 (.D(ho58364), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qv23d0e))             ;        defparam tw1e875.GSR = "ENABLED" ;        FD1P3DX tw1e875 (.D(hb6466a), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ld5a8a4))             ;        defparam ayd4527.GSR = "ENABLED" ;        FD1P3DX ayd4527 (.D(
+qt6af36), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qgcb534))             ;        defparam jp5a9a1.GSR = "ENABLED" ;        FD1P3DX jp5a9a1 (.D(cb36acf), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(me78221))             ;        defparam uic1108.GSR = "ENABLED" ;        FD1P3DX uic1108 (.D(lqcf9a0), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(sw5867))             ;        defparam ux2c339.GSR = "ENABLED" ;        FD1P3DX ux2c339 (.D(tja04f8), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(vv6ee2f))             ;        defparam kq7717f.GSR = "ENABLED" ;        FD1P3DX kq7717f (.D(off8f7c), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qgcb6ee))             ;        defparam ip5b771.GSR = "ENABLED" ;        FD1P3DX ip5b771 (.D(an9f1ef), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ld61cba))             ;        defparam bae5d6.GSR = "ENABLED" ;        FD1P3DX bae5d6 (.D(rv8448b), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(al7fc5f))             ;        defparam lqc0d09.GSR = "ENABLED" ;        FD1P3DX lqc0d09 (.D(jr2245e), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(dmfe2fc))             ;        defparam wwf0ba4.GSR = "ENABLED" ;        FD1P3DX wwf0ba4 (.D(gb5ed3e), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(faf17e3))             ;        defparam ps69f44.GSR = "ENABLED" ;        FD1P3DX ps69f44 (.D(co3ebab), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(ph8bf1a))             ;        defparam ntb093e.GSR = "ENABLED" ;        FD1P3DX ntb093e (.D(irab06c), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(xj5f8d6))             ;        defparam czf8e27.GSR = "ENABLED" ;        FD1P3DX czf8e27 (.D(lq6c8cd), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(vvfc6b7))             ;        defparam gb7f3f9.GSR = "ENABLED" ;        FD1P3DX gb7f3f9 (.D(ldcd5e6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(rte35be))             ;        defparam al7abfc.GSR = "ENABLED" ;        FD1P3DX al7abfc (.D(rte6d59), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(wy1adf6))             ;        defparam kf179df.GSR = "ENABLED" ;        FD1P3DX kf179df (.D(qg59f34), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(mrd6fb1))             ;        defparam go4c0e6.GSR = "ENABLED" ;        FD1P3DX go4c0e6 (.D(mg3409f), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(fcb7d8d))             ;        defparam gq2ed9d.GSR = "ENABLED" ;        FD1P3DX gq2ed9d (.D(an9f1ef), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(db22415))             ;        defparam gb5107f.GSR = "ENABLED" ;        FD1S3DX gb5107f (.D(pu3a9c4), .CK(wjf77ad), .CD(icf5b61), .Q(ipfd144))             ;        defparam qte8a24.GSR = "ENABLED" ;        FD1S3DX qte8a24 (.D(gq82e31), .CK(wjf77ad), .CD(icf5b61), .Q(ks24da8))             ;        defparam ux26d40.GSR = "ENABLED" ;        FD1S3DX ux26d40 (.D(ep23a0c), .CK(wjf77ad), .CD(icf5b61), .Q(sw599f))             ;        defparam ux2ccf9.GSR = "ENABLED" ;        FD1S3DX ux2ccf9 (.D(os6dbe6), .CK(wjf77ad), .CD(icf5b61), .Q(wjcc527))             ;        defparam yk6293c.GSR = "ENABLED" ;        FD1S3DX yk6293c (.D(ks5795), .CK(wjf77ad), .CD(icf5b61), .Q(hoe153c))             ;        defparam yma9e3.GSR = "ENABLED" ;        FD1S3DX yma9e3 (.D(tjc95d), .CK(wjf77ad), .CD(icf5b61), .Q(gd188e6))             ;        defparam icc4734.GSR = "ENABLED" ;        FD1S3DX icc4734 (.D(thf328f), .CK(wjf77ad), .CD(icf5b61), .Q(pha0bb8))             ;        defparam gq5dc6.GSR = "ENABLED" ;        FD1S3DX gq5dc6 (.D(godb92b), .CK(wjf77ad), .CD(icf5b61), .Q(qi3125d))             ;        defparam xy892e8.GSR = "ENABLED" ;        FD1S3DX xy892e8 (.D(ea62604), .CK(wjf77ad), .CD(icf5b61), .Q(fa4211d))             ;        defparam sw108eb.GSR = "ENABLED" ;        FD1S3DX sw108eb (.D(co31697), .CK(wjf77ad), .CD(icf5b61), .Q(by58d6a))             ;        defparam wjc6b52.GSR = "ENABLED" ;        FD1S3DX wjc6b52 (.D(ou3ee1e), .CK(wjf77ad), .CD(icf5b61), .Q(lf946e3))             ;        defparam wya371f.GSR = "ENABLED" ;        FD1S3DX wya371f (.D(tw354c3), .CK(uv5eef5), .CD(zk5d4fd), .Q(wjf8778))             ;        defparam yxc3bc4.GSR = "ENABLED" ;        FD1S3DX yxc3bc4 (.D(jrb034c), .CK(uv5eef5), .CD(zk5d4fd), .Q(aa24809))             ;        defparam qi24048.GSR = "ENABLED" ;        FD1S3DX qi24048 (.D(gqbc45e), .CK(uv5eef5), .CD(zk5d4fd), .Q(yx416b2))             ;        defparam lsb594.GSR = "ENABLED" ;        FD1S3DX lsb594 (.D(qv23d0e), .CK(uv5eef5), .CD(zk5d4fd), .Q(swa3f17))             ;        defparam ie1f8b9.GSR = "ENABLED" ;        FD1S3DX ie1f8b9 (.D(ld5a8a4), .CK(uv5eef5), .CD(zk5d4fd), .Q(gbc9d60))             ;        defparam gb4eb05.GSR = "ENABLED" ;        FD1S3DX gb4eb05 (.D(qgcb534), .CK(uv5eef5), .CD(zk5d4fd), .Q(qv2ca61))             ;        defparam uv6530c.GSR = "ENABLED" ;        FD1S3DX uv6530c (.D(me78221), .CK(uv5eef5), .CD(zk5d4fd), .Q(ip65837))             ;        defparam ep2c1bc.GSR = "ENABLED" ;        FD1S3DX ep2c1bc (.D(sw5867), .CK(uv5eef5), .CD(zk5d4fd), .Q(kqe59b1))             ;        defparam ux2cd88.GSR = "ENABLED" ;        FD1S3DX ux2cd88 (.D(vv6ee2f), .CK(uv5eef5), .CD(zk5d4fd), .Q(ip44761))             ;        defparam oh23b0c.GSR = "ENABLED" ;        FD1S3DX oh23b0c (.D(qgcb6ee), .CK(uv5eef5), .CD(zk5d4fd), .Q(gb659f7))             ;        defparam oh2cfbc.GSR = "ENABLED" ;        FD1S3DX oh2cfbc (.D(ld61cba), .CK(uv5eef5), .CD(zk5d4fd), .Q(lqe4221))             ;        defparam ec2110b.GSR = "ENABLED" ;        FD1S3DX ec2110b (.D(ipfd144), .CK(wjf77ad), .CD(icf5b61), .Q(of51cff))             ;        defparam thcd858.GSR = "ENABLED" ;        FD1S3DX thcd858 (.D(ks24da8), .CK(wjf77ad), .CD(icf5b61), .Q(sud174a))             ;        defparam ou3bed7.GSR = "ENABLED" ;        FD1S3DX ou3bed7 (.D(sw599f), .CK(wjf77ad), .CD(icf5b61), .Q(dob2607))             ;        defparam zxc26f2.GSR = "ENABLED" ;        FD1S3DX zxc26f2 (.D(wjcc527), .CK(wjf77ad), .CD(icf5b61), .Q(ne58241))             ;        defparam cbbee21.GSR = "ENABLED" ;        FD1S3DX cbbee21 (.D(hoe153c), .CK(wjf77ad), .CD(icf5b61), .Q(qgc120b))             ;        defparam en65607.GSR = "ENABLED" ;        FD1S3DX en65607 (.D(gd188e6), .CK(wjf77ad), .CD(icf5b61), .Q(bn9058))             ;        defparam yxe86e6.GSR = "ENABLED" ;        FD1S3DX yxe86e6 (.D(pha0bb8), .CK(wjf77ad), .CD(icf5b61), .Q(ne482c6))             ;        defparam yma973a.GSR = "ENABLED" ;        FD1S3DX yma973a (.D(qi3125d), .CK(wjf77ad), .CD(icf5b61), .Q(ayef977))             ;        defparam zm8c118.GSR = "ENABLED" ;        FD1S3DX zm8c118 (.D(fa4211d), .CK(wjf77ad), .CD(icf5b61), .Q(zk7cbb9))             ;        defparam do1452e.GSR = "ENABLED" ;        FD1S3DX do1452e (.D(by58d6a), .CK(wjf77ad), .CD(icf5b61), .Q(yke5dcb))             ;        defparam jr9b583.GSR = "ENABLED" ;        FD1S3DX jr9b583 (.D(lf946e3), .CK(wjf77ad), .CD(icf5b61), .Q(aa2ee58))             ;        defparam xjf3992.GSR = "ENABLED" ;        FD1S3DX xjf3992 (.D(wjf8778), .CK(uv5eef5), .CD(zk5d4fd), .Q(hqad6a9))             ;        defparam vkb2dca.GSR = "ENABLED" ;        FD1S3DX vkb2dca (.D(aa24809), .CK(uv5eef5), .CD(zk5d4fd), .Q(wjdc2f5))             ;        defparam hq9361a.GSR = "ENABLED" ;        FD1S3DX hq9361a (.D(yx416b2), .CK(uv5eef5), .CD(zk5d4fd), .Q(xy2afad))             ;        defparam do96f47.GSR = "ENABLED" ;        FD1S3DX do96f47 (.D(swa3f17), .CK(uv5eef5), .CD(zk5d4fd), .Q(ou3e94b))             ;        defparam vida519.GSR = "ENABLED" ;        FD1S3DX vida519 (.D(gbc9d60), .CK(uv5eef5), .CD(zk5d4fd), .Q(lqf4a58))             ;        defparam xw57e3a.GSR = "ENABLED" ;        FD1S3DX xw57e3a (.D(qv2ca61), .CK(uv5eef5), .CD(zk5d4fd), .Q(pha52c3))             ;        defparam cb9fbdd.GSR = "ENABLED" ;        FD1S3DX cb9fbdd (.D(ip65837), .CK(uv5eef5), .CD(zk5d4fd), .Q(mt2961f))             ;        defparam ay6d1b4.GSR = "ENABLED" ;        FD1S3DX ay6d1b4 (.D(kqe59b1), .CK(uv5eef5), .CD(zk5d4fd), .Q(wl9a526))             ;        defparam kf8125.GSR = "ENABLED" ;        FD1S3DX kf8125 (.D(ip44761), .CK(uv5eef5), .CD(zk5d4fd), .Q(jpd2934))             ;        defparam kq67614.GSR = "ENABLED" ;        FD1S3DX kq67614 (.D(gb659f7), .CK(
+uv5eef5), .CD(zk5d4fd), .Q(jr949a7))             ;        defparam ls1f9f.GSR = "ENABLED" ;        FD1S3DX ls1f9f (.D(lqe4221), .CK(uv5eef5), .CD(zk5d4fd), .Q(epa4d3e))             ;        defparam rtd8fa3.GSR = "ENABLED" ;        FD1S3BX rtd8fa3 (.D(mg3e8f6), .CK(wjf77ad), .PD(zk5d4fd), .Q(wjf8aab))             ;        defparam vvf608b.GSR = "ENABLED" ;        FD1S3DX vvf608b (.D(ec822fe), .CK(uv5eef5), .CD(icf5b61), .Q(jra069c))             ;        defparam jcfe2f7.GSR = "ENABLED" ;        FD1S3BX jcfe2f7 (.D(jr8bdda), .CK(wjf77ad), .PD(zk5d4fd), .Q(hb507f7))             ;        defparam vidad6d.GSR = "ENABLED" ;        FD1S3DX vidad6d (.D(vxb5b5d), .CK(uv5eef5), .CD(icf5b61), .Q(ri83fbf))             ;    CU2 xw5de8f (.CI(ba1ecef), .PC1(kf9fac1), .PC0(go53f58), .CO(cb8f428),        .NC1(zx7b094), .NC0(gb44fd5));    CU2 oh8516a (.CI(cb8f428), .PC1(tj13358), .PC0(icc1b13), .CO(kd6a9bf), .NC1(hq2a714),        .NC0(qgffb9a));    CU2 hd37f61 (.CI(kd6a9bf), .PC1(ymb6573), .PC0(jc58cb6), .CO(dz61daf), .NC1(kf9ad77),        .NC0(db8bad3));    CU2 ntb5f92 (.CI(dz61daf), .PC1(oha46d4), .PC0(xw732a4), .CO(mg92ffd), .NC1(dz4518e),        .NC0(mr6fa1c));    CU2 osffa4e (.CI(mg92ffd), .PC1(hd131a8), .PC0(god4b13), .CO(kd4e139), .NC1(ir1d393),        .NC0(cbb1391));    CU2 jr2736f (.CI(kd4e139), .PC1(hd946ae), .PC0(baa8c22), .CO(uv6fd78),        .NC1(), .NC0(al752c3));    CU2 qgf5e2e (.CI(ba1ecef), .PC1(jr2245e), .PC0(rv8448b), .CO(qi2e38b),        .NC1(ba93757), .NC0(ph133cc));    CU2 rt7163f (.CI(qi2e38b), .PC1(co3ebab), .PC0(gb5ed3e), .CO(ri3fdd6),        .NC1(czf22b3), .NC0(tjbf2b7));    CU2 phbac95 (.CI(ri3fdd6), .PC1(lq6c8cd), .PC0(irab06c), .CO(ep95d19),        .NC1(ym8808d), .NC0(lq7c001));    CU2 qia332e (.CI(ep95d19), .PC1(rte6d59), .PC0(ldcd5e6), .CO(ym2e1ac),        .NC1(pub7827), .NC0(tw35400));    CU2 tw3582a (.CI(ym2e1ac), .PC1(mg3409f), .PC0(qg59f34), .CO(pu2a660),        .NC1(zz32fe6), .NC0(qv8079b));    CU2 yxcc082 (.CI(pu2a660), .PC1(hd946ae), .PC0(an9f1ef), .CO(ym82969),        .NC1(), .NC0(nt8efed));    FSUB2 vxa5a42 (.A1(kf9fac1), .A0(go53f58), .B1(fcbd6b), .B0(of65b65),        .BI(ba1ecef), .BOUT1(bab7697), .BOUT0(), .S1(mtbb4bb), .S0(zkda5dd));    FSUB2 hbd2eea (.A1(tj13358), .A0(icc1b13), .B1(xwc0665), .B0(wlbeb5b),        .BI(bab7697), .BOUT1(fcb89ca), .BOUT0(), .S1(uic4e53), .S0(lf27299));    FSUB2 cb394ce (.A1(ymb6573), .A0(jc58cb6), .B1(iea456), .B0(fa57824),        .BI(fcb89ca), .BOUT1(aaa3086), .BOUT0(), .S1(sj18430), .S0(hbc2185));    FSUB2 ie10c2f (.A1(oha46d4), .A0(xw732a4), .B1(yz269f4),        .B0(wwd4d46), .BI(aaa3086), .BOUT1(ofc3750), .BOUT0(), .S1(ng1ba85),        .S0(thdd42b));    FSUB2 meea15f (.A1(hd131a8), .A0(god4b13), .B1(os5c36f), .B0(nr55112),        .BI(ofc3750), .BOUT1(czc6875), .BOUT0(), .S1(qi343ac), .S0(yza1d60));    FSUB2 baeb04 (.A1(hd946ae), .A0(gb65982), .B1(hd946ae), .B0(hd946ae),        .BI(czc6875), .BOUT1(), .BOUT0(), .S1(), .S0(ym15317));    VHI wya98b9 (.Z(ba1ecef));    FSUB2 me62e40 (.A1(dm5d28e), .A0(ep3c4d2), .B1(jr2245e), .B0(rv8448b),        .BI(ba1ecef), .BOUT1(xlc1b3), .BOUT0(), .S1(ip60d9e), .S0(fp6cf4));    FSUB2 qv367a6 (.A1(dm661f6), .A0(qi981da), .B1(co3ebab), .B0(gb5ed3e),        .BI(xlc1b3), .BOUT1(ria8eca), .BOUT0(), .S1(by47652), .S0(mg3b293));    FSUB2 ald9499 (.A1(nta586c), .A0(wlaea9b), .B1(lq6c8cd), .B0(irab06c),        .BI(ria8eca), .BOUT1(jp6b496), .BOUT0(), .S1(rt5a4b6), .S0(vvd25b6));    FSUB2 uk92db6 (.A1(yk772c1), .A0(xwcff6d), .B1(rte6d59),        .B0(ldcd5e6), .BI(jp6b496), .BOUT1(rv8b00c), .BOUT0(), .S1(ps58067),        .S0(hoc033b));    FSUB2 fc19da (.A1(ou1abb8), .A0(vv55280), .B1(mg3409f), .B0(qg59f34),        .BI(rv8b00c), .BOUT1(yz9f055), .BOUT0(), .S1(pfe6d6c), .S0(mec1564));    FSUB2 swab20 (.A1(hd946ae), .A0(ou82912), .B1(hd946ae), .B0(hd946ae),        .BI(yz9f055), .BOUT1(), .BOUT0(), .S1(), .S0(zmad9e7));    AGEB2 ri30ecf (.A1(jr2245e), .A0(rv8448b), .B1(dm5d28e), .B0(ep3c4d2),        .CI(yxe7e2a), .GE(wjd931f));    AGEB2 mrc98fd (.A1(co3ebab), .A0(gb5ed3e), .B1(dm661f6), .B0(qi981da),        .CI(wjd931f), .GE(go6866d));    AGEB2 ui43369 (.A1(lq6c8cd), .A0(irab06c), .B1(nta586c), .B0(wlaea9b),        .CI(go6866d), .GE(ip4ee59));    AGEB2 qg772cc (.A1(rte6d59), .A0(ldcd5e6), .B1(yk772c1),        .B0(xwcff6d), .CI(ip4ee59), .GE(cb8227));    AGEB2 wj4113c (.A1(mg3409f), .A0(qg59f34), .B1(ou1abb8), .B0(vv55280),        .CI(cb8227), .GE(zm25bea));    AGEB2 lf2df52 (.A1(hd946ae), .A0(ks15d28), .B1(hd946ae),        .B0(je2fff9), .CI(zm25bea), .GE(lfb501b));    FADD2 jea80de (.A1(hd946ae), .A0(hd946ae), .B1(hd946ae), .B0(hd946ae),        .CI(lfb501b), .COUT1(), .COUT0(), .S1(), .S0(mg3e8f6));    AGEB2 ipf7637 (.A1(kf9fac1), .A0(go53f58), .B1(fcbd6b), .B0(of65b65),        .CI(ng9681a), .GE(wjedd33));    AGEB2 rt6e99c (.A1(tj13358), .A0(icc1b13), .B1(xwc0665), .B0(wlbeb5b),        .CI(wjedd33), .GE(je3f115));    AGEB2 czf88ab (.A1(ymb6573), .A0(jc58cb6), .B1(iea456), .B0(fa57824),        .CI(je3f115), .GE(cze8e6b));    AGEB2 lq4735c (.A1(oha46d4), .A0(xw732a4), .B1(yz269f4),        .B0(wwd4d46), .CI(cze8e6b), .GE(kf1ddcf));    AGEB2 pseee7c (.A1(hd131a8), .A0(god4b13), .B1(os5c36f), .B0(nr55112),        .CI(kf1ddcf), .GE(vx19296));    AGEB2 enc94b7 (.A1(hd946ae), .A0(yke0381), .B1(hd946ae),        .B0(of5b9b5), .CI(vx19296), .GE(ofe76d1));    FADD2 ym3b68c (.A1(hd946ae), .A0(hd946ae), .B1(hd946ae), .B0(hd946ae),        .CI(ofe76d1), .COUT1(), .COUT0(), .S1(), .S0(ec822fe));    AGEB2 ho420bc (.A1(kq6d84a[1]), .A0(kq6d84a[0]), .B1(ip60d9e),        .B0(fp6cf4), .CI(yxe7e2a), .GE(db19146));    AGEB2 rtc8a37 (.A1(kq6d84a[3]), .A0(kq6d84a[2]), .B1(by47652),        .B0(mg3b293), .CI(db19146), .GE(nefec36));    AGEB2 fnf61b2 (.A1(kq6d84a[5]), .A0(kq6d84a[4]), .B1(rt5a4b6),        .B0(vvd25b6), .CI(nefec36), .GE(fpbf551));    AGEB2 uifaa8f (.A1(kq6d84a[7]), .A0(kq6d84a[6]), .B1(ps58067),        .B0(hoc033b), .CI(fpbf551), .GE(shc3d28));    AGEB2 pu1e944 (.A1(kq6d84a[9]), .A0(kq6d84a[8]), .B1(pfe6d6c),        .B0(mec1564), .CI(shc3d28), .GE(fc1bfa4));    AGEB2 fadfd23 (.A1(hd946ae), .A0(hbd2363), .B1(hd946ae), .B0(ui679d2),        .CI(fc1bfa4), .GE(vida762));    FADD2 ald3b12 (.A1(hd946ae), .A0(hd946ae), .B1(hd946ae), .B0(hd946ae),        .CI(vida762), .COUT1(), .COUT0(), .S1(), .S0(jr8bdda));    AGEB2 vi4716a (.A1(mtbb4bb), .A0(zkda5dd), .B1(xw6c250[1]),        .B0(xw6c250[0]), .CI(ng9681a), .GE(ep9f7e1));    AGEB2 tufbf0c (.A1(uic4e53), .A0(lf27299), .B1(xw6c250[3]),        .B0(xw6c250[2]), .CI(ep9f7e1), .GE(tj13cb0));    AGEB2 lf9e587 (.A1(sj18430), .A0(hbc2185), .B1(xw6c250[5]),        .B0(xw6c250[4]), .CI(tj13cb0), .GE(nef45c0));    AGEB2 zma2e02 (.A1(ng1ba85), .A0(thdd42b), .B1(xw6c250[7]),        .B0(xw6c250[6]), .CI(nef45c0), .GE(vk9c4af));    AGEB2 ene257b (.A1(qi343ac), .A0(yza1d60), .B1(xw6c250[9]),        .B0(xw6c250[8]), .CI(vk9c4af), .GE(wwe59b4));    AGEB2 mt2cda0 (.A1(hd946ae), .A0(ym15317), .B1(hd946ae),        .B0(hd946ae), .CI(wwe59b4), .GE(qv23932));    VLO vx1c995 (.Z(hd946ae));    FADD2 kf26572 (.A1(hd946ae), .A0(hd946ae), .B1(hd946ae), .B0(hd946ae),        .CI(qv23932), .COUT1(), .COUT0(), .S1(), .S0(vxb5b5d));    assign vx941f = wjf8aab;    assign th4a0fe = jra069c;
+                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                    
+always@* begin ng14a7f<={wlabdde>>1,jea8e7a[0]};lfa53fa<=jea8e7a[1];gd29fd7<=jea8e7a[2];th4febc<=jea8e7a[3];cz7f5e6<=jea8e7a[4];wwfaf35<=jea8e7a[5];zxd79a8<=jea8e7a[6];ecbcd47<={kq6d84a>>1,jea8e7a[7]};pfe6a39<={xw6c250>>1,jea8e7a[8]};end
+always@* begin ls351cf[2047]<=uv5eef5;ls351cf[2046]<=wjf77ad;ls351cf[2044]<=yzbbd6d;ls351cf[2040]<=medeb6c;ls351cf[2032]<=icf5b61;ls351cf[2016]<=puadb09;ls351cf[1984]<=kq6d84a[0];ls351cf[1920]<=xw6c250[0];ls351cf[1023]<=wlabdde[0];end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+`timescale 1 ns / 100 ps
+module zm209c0 (wlabdde, uv5eef5, wjf77ad, yzbbd6d, medeb6c, icf5b61, 
+    puadb09, kq6d84a, xw6c250, dz61283, vx941f, th4a0fe, hb507f7, 
+    ri83fbf);
+input [17:0] wlabdde;
+input uv5eef5;
+input wjf77ad;
+input yzbbd6d;
+input medeb6c;
+input icf5b61;
+input puadb09;
+input [9:0] kq6d84a;
+input [9:0] xw6c250;
+output [17:0] dz61283;
+output vx941f;
+output th4a0fe;
+output hb507f7;
+output ri83fbf;
+reg [17 : 0] ng14a7f;
+reg lfa53fa;
+reg gd29fd7;
+reg th4febc;
+reg cz7f5e6;
+reg wwfaf35;
+reg zxd79a8;
+reg [9 : 0] ecbcd47;
+reg [9 : 0] pfe6a39;
+reg [2047:0] ls351cf;
+wire [8:0] jea8e7a;
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+localparam ps473d2 = 9,wl39e93 = 32'hfdffc68b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+AND2 mececb4 (.A(yzbbd6d), .B(fcb2d03), .Z(ng9681a));    INV ohb40d3 (.A(jra069c), .Z(fcb2d03));    AND2 ks1a73f (.A(medeb6c), .B(ls9cfc5), .Z(yxe7e2a));    INV fc3f155 (.A(wjf8aab), .Z(ls9cfc5));    OR2 je2aaea (.A(icf5b61), .B(puadb09), .Z(zk5d4fd));    XOR2 icea7eb (.A(go53f58), .B(kf9fac1), .Z(uvfd60d));    XOR2 hoeb06c (.A(kf9fac1), .B(icc1b13), .Z(sjd899));    XOR2 xw6c4cd (.A(icc1b13), .B(tj13358), .Z(fc99ac6));    XOR2 dzcd632 (.A(tj13358), .B(jc58cb6), .Z(ipc65b2));    XOR2 tj32d95 (.A(jc58cb6), .B(ymb6573), .Z(ngb2b99));    XOR2 an95cca (.A(ymb6573), .B(xw732a4), .Z(fc99523));    XOR2 faca91b (.A(xw732a4), .B(oha46d4), .Z(ep236a5));    XOR2 xy1b52c (.A(oha46d4), .B(god4b13), .Z(hda5898));    XOR2 wl2c4c6 (.A(god4b13), .B(hd131a8), .Z(mg98d46));    XOR2 gbc6a30 (.A(hd131a8), .B(baa8c22), .Z(cm46112));    XOR2 vk30891 (.A(rv8448b), .B(jr2245e), .Z(mt122f6));    XOR2 db917b4 (.A(jr2245e), .B(gb5ed3e), .Z(pff69f5));    XOR2 qvb4fae (.A(gb5ed3e), .B(co3ebab), .Z(suf5d58));    XOR2 sjaeac1 (.A(co3ebab), .B(irab06c), .Z(ho58364));    XOR2 uic1b23 (.A(irab06c), .B(lq6c8cd), .Z(hb6466a));    XOR2 an23357 (.A(lq6c8cd), .B(ldcd5e6), .Z(qt6af36));    XOR2 xw579b5 (.A(ldcd5e6), .B(rte6d59), .Z(cb36acf));    XOR2 rvb567c (.A(rte6d59), .B(qg59f34), .Z(lqcf9a0));    XOR2 ps7cd02 (.A(qg59f34), .B(mg3409f), .Z(tja04f8));    XOR2 sj27c7 (.A(mg3409f), .B(an9f1ef), .Z(off8f7c));        defparam rtc7be5.initval =  16'h6996 ;        ROM16X1 rtc7be5 (.AD3(ayef977), .AD2(zk7cbb9), .AD1(yke5dcb),        .AD0(aa2ee58), .DO0(yk772c1))             ;        defparam mgb9609.initval =  16'h6996 ;        ROM16X1 mgb9609 (.AD3(ne58241), .AD2(qgc120b), .AD1(bn9058),        .AD0(ne482c6), .DO0(gb41632))             ;        defparam xyb194.initval =  16'h6996 ;        ROM16X1 xyb194 (.AD3(yke5dcb), .AD2(aa2ee58), .AD1(hd946ae),        .AD0(hd946ae), .DO0(ou1abb8))             ;        defparam zkd5dc1.initval =  16'h6996 ;        ROM16X1 zkd5dc1 (.AD3(zk7cbb9), .AD2(yke5dcb), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(vv55280))             ;        defparam yma9407.initval =  16'h6996 ;        ROM16X1 yma9407 (.AD3(ne482c6), .AD2(ayef977), .AD1(zk7cbb9),        .AD0(ou1abb8), .DO0(xwcff6d))             ;        defparam ip7fb6a.initval =  16'h6996 ;        ROM16X1 ip7fb6a (.AD3(bn9058), .AD2(ne482c6), .AD1(ayef977),        .AD0(vv55280), .DO0(nta586c))             ;        defparam sj2c366.initval =  16'h6996 ;        ROM16X1 sj2c366 (.AD3(qgc120b), .AD2(bn9058), .AD1(ne482c6),        .AD0(yk772c1), .DO0(wlaea9b))             ;        defparam uv754dd.initval =  16'h6996 ;        ROM16X1 uv754dd (.AD3(yk772c1), .AD2(gb41632),        .AD1(hd946ae), .AD0(hd946ae), .DO0(dm661f6))             ;        defparam pu30fb2.initval =  16'h6996 ;        ROM16X1 pu30fb2 (.AD3(yk772c1), .AD2(gb41632),        .AD1(dob2607), .AD0(hd946ae), .DO0(qi981da))             ;        defparam psc0ed1.initval =  16'h6996 ;        ROM16X1 psc0ed1 (.AD3(yk772c1), .AD2(gb41632),        .AD1(sud174a), .AD0(dob2607), .DO0(dm5d28e))             ;        defparam rte9473.initval =  16'h6996 ;        ROM16X1 rte9473 (.AD3(of51cff), .AD2(sud174a), .AD1(dob2607),        .AD0(hd946ae), .DO0(ykff9d5))             ;        defparam pffcea8.initval =  16'h6996 ;        ROM16X1 pffcea8 (.AD3(yk772c1), .AD2(gb41632),        .AD1(ykff9d5), .AD0(hd946ae), .DO0(ep3c4d2))             ;        defparam kde2694.initval =  16'h6996 ;        ROM16X1 kde2694 (.AD3(wl9a526), .AD2(jpd2934), .AD1(jr949a7),        .AD0(epa4d3e), .DO0(yz269f4))             ;        defparam ec34fa5.initval =  16'h6996 ;        ROM16X1 ec34fa5 (.AD3(ou3e94b), .AD2(lqf4a58), .AD1(pha52c3),        .AD0(mt2961f), .DO0(sh4b0fd))             ;        defparam xw587ed.initval =  16'h6996 ;        ROM16X1 xw587ed (.AD3(jr949a7), .AD2(epa4d3e), .AD1(hd946ae),        .AD0(hd946ae), .DO0(os5c36f))             ;        defparam zke1b79.initval =  16'h6996 ;        ROM16X1 zke1b79 (.AD3(jpd2934), .AD2(jr949a7), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(nr55112))             ;        defparam nga8897.initval =  16'h6996 ;        ROM16X1 nga8897 (.AD3(mt2961f), .AD2(wl9a526), .AD1(jpd2934),        .AD0(os5c36f), .DO0(wwd4d46))             ;        defparam coa6a30.initval =  16'h6996 ;        ROM16X1 coa6a30 (.AD3(pha52c3), .AD2(mt2961f), .AD1(wl9a526),        .AD0(nr55112), .DO0(iea456))             ;        defparam vi522b1.initval =  16'h6996 ;        ROM16X1 vi522b1 (.AD3(lqf4a58), .AD2(pha52c3), .AD1(mt2961f),        .AD0(yz269f4), .DO0(fa57824))             ;        defparam aabc123.initval =  16'h6996 ;        ROM16X1 aabc123 (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(hd946ae), .AD0(hd946ae), .DO0(xwc0665))             ;        defparam fp332a.initval =  16'h6996 ;        ROM16X1 fp332a (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(xy2afad), .AD0(hd946ae), .DO0(wlbeb5b))             ;        defparam wwf5adc.initval =  16'h6996 ;        ROM16X1 wwf5adc (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(wjdc2f5), .AD0(xy2afad), .DO0(fcbd6b))             ;        defparam uv5eb5a.initval =  16'h6996 ;        ROM16X1 uv5eb5a (.AD3(hqad6a9), .AD2(wjdc2f5), .AD1(xy2afad),        .AD0(hd946ae), .DO0(uka97bb))             ;        defparam en4bdd9.initval =  16'h6996 ;        ROM16X1 en4bdd9 (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(uka97bb), .AD0(hd946ae), .DO0(of65b65))             ;    XOR2 bn2db2c (.A(baa8c22), .B(epa4d3e), .Z(gb65982));    XOR2 oh2cc14 (.A(aa2ee58), .B(an9f1ef), .Z(ou82912));        defparam je14890.initval =  16'h0410 ;        ROM16X1 je14890 (.AD3(db22415), .AD2(an9f1ef), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(ks15d28))             ;        defparam mtae940.initval =  16'h1004 ;        ROM16X1 mtae940 (.AD3(db22415), .AD2(an9f1ef), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(je2fff9))             ;        defparam uv7ffcb.initval =  16'h0140 ;        ROM16X1 uv7ffcb (.AD3(ykff2e0), .AD2(baa8c22), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(yke0381))             ;        defparam fc1c09.initval =  16'h4001 ;        ROM16X1 fc1c09 (.AD3(ykff2e0), .AD2(baa8c22), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(of5b9b5))             ;    INV aldcdad (.A(pfe6d6c), .Z(mt36b67));    AND2 tjb5b3c (.A(zmad9e7), .B(mt36b67), .Z(ui679d2));    AND2 an3ce91 (.A(zmad9e7), .B(pfe6d6c), .Z(hbd2363));        defparam ph91b1e.CSDECODE_B =  3'b000 ;    defparam ph91b1e.CSDECODE_A =  3'b000 ;    defparam ph91b1e.WRITEMODE_B = "NORMAL" ;    defparam ph91b1e.WRITEMODE_A = "NORMAL" ;    defparam ph91b1e.GSR = "ENABLED" ;    defparam ph91b1e.RESETMODE = "ASYNC" ;    defparam ph91b1e.REGMODE_B = "NOREG" ;    defparam ph91b1e.REGMODE_A = "NOREG" ;    defparam ph91b1e.DATA_WIDTH_B = 18 ;    defparam ph91b1e.DATA_WIDTH_A = 18 ;        DP16KB ph91b1e (.DIA0(wlabdde[0]), .DIA1(wlabdde[1]), .DIA2(wlabdde[2]),        .DIA3(wlabdde[3]), .DIA4(wlabdde[4]), .DIA5(wlabdde[5]), .DIA6(wlabdde[6]),        .DIA7(wlabdde[7]), .DIA8(wlabdde[8]), .DIA9(wlabdde[9]), .DIA10(wlabdde[10]),        .DIA11(wlabdde[11]), .DIA12(wlabdde[12]), .DIA13(wlabdde[13]), .DIA14(wlabdde[14]),        .DIA15(wlabdde[15]), .DIA16(wlabdde[16]), .DIA17(wlabdde[17]), .ADA0(ba1ecef),        .ADA1(ba1ecef), .ADA2(hd946ae), .ADA3(hd946ae), .ADA4(meef7c0),        .ADA5(pf7be00), .ADA6(tudf006), .ADA7(kdf8032), .ADA8(fac0194), .ADA9(ouca2),        .ADA10(ph6511), .ADA11(xl3288f), .ADA12(db9447e), .ADA13(lsa23f7),        .CEA(ng9681a), .CLKA(uv5eef5), .WEA(ba1ecef), .CSA0(hd946ae),        .CSA1(hd946ae), .CSA2(hd946ae), .RSTA(icf5b61), .DIB0(hd946ae),        .DIB1(hd946ae), .DIB2(hd946ae), .DIB3(hd946ae), .DIB4(hd946ae),        .DIB5(hd946ae), .DIB6(hd946ae), .DIB7(hd946ae), .DIB8(hd946ae),        .DIB9(hd946ae), .DIB10(hd946ae), .DIB11(hd946ae), .DIB12(hd946ae),        .DIB13(hd946ae), .DIB14(hd946ae), .DIB15(hd946ae), .DIB16(hd946ae),        .DIB17(hd946ae), .ADB0(hd946ae), .ADB1(hd946ae), .ADB2(hd946ae),        .ADB3(hd946ae), .ADB4(al7fc5f), .ADB5(dmfe2fc), .ADB6(faf17e3), .ADB7(ph8bf1a),        .ADB8(xj5f8d6), .ADB9(vvfc6b7), .ADB10(rte35be), .ADB11(wy1adf6), .ADB12(mrd6fb1),        .ADB13(fcb7d8d), .CEB(yxe7e2a), .CLKB(wjf77ad), .WEB(hd946ae), .CSB0(hd946ae),        .CSB1(hd946ae), .CSB2(hd946ae), .RSTB(
+icf5b61), .DOA0(), .DOA1(),        .DOA2(), .DOA3(), .DOA4(), .DOA5(), .DOA6(), .DOA7(), .DOA8(), .DOA9(),        .DOA10(), .DOA11(), .DOA12(), .DOA13(), .DOA14(), .DOA15(), .DOA16(),        .DOA17(), .DOB0(dz61283[0]), .DOB1(dz61283[1]), .DOB2(dz61283[2]), .DOB3(dz61283[3]), .DOB4(dz61283[4]),        .DOB5(dz61283[5]), .DOB6(dz61283[6]), .DOB7(dz61283[7]), .DOB8(dz61283[8]), .DOB9(dz61283[9]),        .DOB10(dz61283[10]), .DOB11(dz61283[11]), .DOB12(dz61283[12]), .DOB13(dz61283[13]), .DOB14(dz61283[14]),        .DOB15(dz61283[15]), .DOB16(dz61283[16]), .DOB17(dz61283[17]))                                                                                                                                                            ;        defparam hq113f.GSR = "ENABLED" ;        FD1P3BX hq113f (.D(gb44fd5), .SP(ng9681a), .CK(uv5eef5), .PD(icf5b61),        .Q(go53f58))             ;        defparam fcadec2.GSR = "ENABLED" ;        FD1P3DX fcadec2 (.D(zx7b094), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(kf9fac1))             ;        defparam lsa7fee.GSR = "ENABLED" ;        FD1P3DX lsa7fee (.D(qgffb9a), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(icc1b13))             ;        defparam jcd0a9c.GSR = "ENABLED" ;        FD1P3DX jcd0a9c (.D(hq2a714), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tj13358))             ;        defparam fca22eb.GSR = "ENABLED" ;        FD1P3DX fca22eb (.D(db8bad3), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(jc58cb6))             ;        defparam xl9e6b5.GSR = "ENABLED" ;        FD1P3DX xl9e6b5 (.D(kf9ad77), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ymb6573))             ;        defparam jebdbe8.GSR = "ENABLED" ;        FD1P3DX jebdbe8 (.D(mr6fa1c), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(xw732a4))             ;        defparam wwe5146.GSR = "ENABLED" ;        FD1P3DX wwe5146 (.D(dz4518e), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(oha46d4))             ;        defparam zk76c4e.GSR = "ENABLED" ;        FD1P3DX zk76c4e (.D(cbb1391), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(god4b13))             ;        defparam pu8c74e.GSR = "ENABLED" ;        FD1P3DX pu8c74e (.D(ir1d393), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(hd131a8))             ;        defparam aa9dd4b.GSR = "ENABLED" ;        FD1P3DX aa9dd4b (.D(al752c3), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(baa8c22))             ;        defparam tj1c35c.GSR = "ENABLED" ;        FD1P3DX tj1c35c (.D(uvfd60d), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(pu3a9c4))             ;        defparam sud4e22.GSR = "ENABLED" ;        FD1P3DX sud4e22 (.D(sjd899), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(gq82e31))             ;        defparam yz17188.GSR = "ENABLED" ;        FD1P3DX yz17188 (.D(fc99ac6), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ep23a0c))             ;        defparam cb1d065.GSR = "ENABLED" ;        FD1P3DX cb1d065 (.D(ipc65b2), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(os6dbe6))             ;        defparam cm6df30.GSR = "ENABLED" ;        FD1P3DX cm6df30 (.D(ngb2b99), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ks5795))             ;        defparam co2bca8.GSR = "ENABLED" ;        FD1P3DX co2bca8 (.D(fc99523), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tjc95d))             ;        defparam hb64aef.GSR = "ENABLED" ;        FD1P3DX hb64aef (.D(ep236a5), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(thf328f))             ;        defparam zm9947f.GSR = "ENABLED" ;        FD1P3DX zm9947f (.D(hda5898), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(godb92b))             ;        defparam ofdc959.GSR = "ENABLED" ;        FD1P3DX ofdc959 (.D(mg98d46), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ea62604))             ;        defparam zz13020.GSR = "ENABLED" ;        FD1P3DX zz13020 (.D(cm46112), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(co31697))             ;        defparam rv8b4b8.GSR = "ENABLED" ;        FD1P3DX rv8b4b8 (.D(baa8c22), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ou3ee1e))             ;        defparam mrf70f0.GSR = "ENABLED" ;        FD1P3DX mrf70f0 (.D(go53f58), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(meef7c0))             ;        defparam yx54463.GSR = "ENABLED" ;        FD1P3DX yx54463 (.D(kf9fac1), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(pf7be00))             ;        defparam by524e8.GSR = "ENABLED" ;        FD1P3DX by524e8 (.D(icc1b13), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tudf006))             ;        defparam je83359.GSR = "ENABLED" ;        FD1P3DX je83359 (.D(tj13358), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(kdf8032))             ;        defparam rtf6c45.GSR = "ENABLED" ;        FD1P3DX rtf6c45 (.D(jc58cb6), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(fac0194))             ;        defparam ri8c3c7.GSR = "ENABLED" ;        FD1P3DX ri8c3c7 (.D(ymb6573), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ouca2))             ;        defparam kfb13d4.GSR = "ENABLED" ;        FD1P3DX kfb13d4 (.D(xw732a4), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ph6511))             ;        defparam uk1f82d.GSR = "ENABLED" ;        FD1P3DX uk1f82d (.D(oha46d4), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(xl3288f))             ;        defparam kd703d5.GSR = "ENABLED" ;        FD1P3DX kd703d5 (.D(god4b13), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(db9447e))             ;        defparam qv39880.GSR = "ENABLED" ;        FD1P3DX qv39880 (.D(hd131a8), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(lsa23f7))             ;        defparam al6034d.GSR = "ENABLED" ;        FD1P3DX al6034d (.D(baa8c22), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ykff2e0))             ;        defparam su5c4cf.GSR = "ENABLED" ;        FD1P3BX su5c4cf (.D(ph133cc), .SP(yxe7e2a), .CK(wjf77ad), .PD(zk5d4fd),        .Q(rv8448b))             ;        defparam lq664dd.GSR = "ENABLED" ;        FD1P3DX lq664dd (.D(ba93757), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(jr2245e))             ;        defparam xlbafca.GSR = "ENABLED" ;        FD1P3DX xlbafca (.D(tjbf2b7), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(gb5ed3e))             ;        defparam rvbfc8a.GSR = "ENABLED" ;        FD1P3DX rvbfc8a (.D(czf22b3), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(co3ebab))             ;        defparam gq9df00.GSR = "ENABLED" ;        FD1P3DX gq9df00 (.D(lq7c001), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(irab06c))             ;        defparam qia202.GSR = "ENABLED" ;        FD1P3DX qia202 (.D(ym8808d), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(lq6c8cd))             ;        defparam me68d50.GSR = "ENABLED" ;        FD1P3DX me68d50 (.D(tw35400), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ldcd5e6))             ;        defparam wl2de0.GSR = "ENABLED" ;        FD1P3DX wl2de0 (.D(pub7827), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(rte6d59))             ;        defparam pu3a01e.GSR = "ENABLED" ;        FD1P3DX pu3a01e (.D(qv8079b), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qg59f34))             ;        defparam kddccbf.GSR = "ENABLED" ;        FD1P3DX kddccbf (.D(zz32fe6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(mg3409f))             ;        defparam yz363bf.GSR = "ENABLED" ;        FD1P3DX yz363bf (.D(nt8efed), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(an9f1ef))             ;        defparam bl6e1ac.GSR = "ENABLED" ;        FD1P3DX bl6e1ac (.D(mt122f6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(tw354c3))             ;        defparam xlaa61a.GSR = "ENABLED" ;        FD1P3DX xlaa61a (.D(pff69f5), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(jrb034c))             ;        defparam vx81a66.GSR = "ENABLED" ;        FD1P3DX vx81a66 (.D(suf5d58), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(gqbc45e))             ;        defparam ale22f0.GSR = "ENABLED" ;        FD1P3DX ale22f0 (.D(ho58364), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qv23d0e))             ;        defparam tw1e875.GSR
+ = "ENABLED" ;        FD1P3DX tw1e875 (.D(hb6466a), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ld5a8a4))             ;        defparam ayd4527.GSR = "ENABLED" ;        FD1P3DX ayd4527 (.D(qt6af36), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qgcb534))             ;        defparam jp5a9a1.GSR = "ENABLED" ;        FD1P3DX jp5a9a1 (.D(cb36acf), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(me78221))             ;        defparam uic1108.GSR = "ENABLED" ;        FD1P3DX uic1108 (.D(lqcf9a0), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(sw5867))             ;        defparam ux2c339.GSR = "ENABLED" ;        FD1P3DX ux2c339 (.D(tja04f8), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(vv6ee2f))             ;        defparam kq7717f.GSR = "ENABLED" ;        FD1P3DX kq7717f (.D(off8f7c), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qgcb6ee))             ;        defparam ip5b771.GSR = "ENABLED" ;        FD1P3DX ip5b771 (.D(an9f1ef), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ld61cba))             ;        defparam bae5d6.GSR = "ENABLED" ;        FD1P3DX bae5d6 (.D(rv8448b), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(al7fc5f))             ;        defparam lqc0d09.GSR = "ENABLED" ;        FD1P3DX lqc0d09 (.D(jr2245e), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(dmfe2fc))             ;        defparam wwf0ba4.GSR = "ENABLED" ;        FD1P3DX wwf0ba4 (.D(gb5ed3e), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(faf17e3))             ;        defparam ps69f44.GSR = "ENABLED" ;        FD1P3DX ps69f44 (.D(co3ebab), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(ph8bf1a))             ;        defparam ntb093e.GSR = "ENABLED" ;        FD1P3DX ntb093e (.D(irab06c), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(xj5f8d6))             ;        defparam czf8e27.GSR = "ENABLED" ;        FD1P3DX czf8e27 (.D(lq6c8cd), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(vvfc6b7))             ;        defparam gb7f3f9.GSR = "ENABLED" ;        FD1P3DX gb7f3f9 (.D(ldcd5e6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(rte35be))             ;        defparam al7abfc.GSR = "ENABLED" ;        FD1P3DX al7abfc (.D(rte6d59), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(wy1adf6))             ;        defparam kf179df.GSR = "ENABLED" ;        FD1P3DX kf179df (.D(qg59f34), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(mrd6fb1))             ;        defparam go4c0e6.GSR = "ENABLED" ;        FD1P3DX go4c0e6 (.D(mg3409f), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(fcb7d8d))             ;        defparam gq2ed9d.GSR = "ENABLED" ;        FD1P3DX gq2ed9d (.D(an9f1ef), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(db22415))             ;        defparam gb5107f.GSR = "ENABLED" ;        FD1S3DX gb5107f (.D(pu3a9c4), .CK(wjf77ad), .CD(icf5b61), .Q(ipfd144))             ;        defparam qte8a24.GSR = "ENABLED" ;        FD1S3DX qte8a24 (.D(gq82e31), .CK(wjf77ad), .CD(icf5b61), .Q(ks24da8))             ;        defparam ux26d40.GSR = "ENABLED" ;        FD1S3DX ux26d40 (.D(ep23a0c), .CK(wjf77ad), .CD(icf5b61), .Q(sw599f))             ;        defparam ux2ccf9.GSR = "ENABLED" ;        FD1S3DX ux2ccf9 (.D(os6dbe6), .CK(wjf77ad), .CD(icf5b61), .Q(wjcc527))             ;        defparam yk6293c.GSR = "ENABLED" ;        FD1S3DX yk6293c (.D(ks5795), .CK(wjf77ad), .CD(icf5b61), .Q(hoe153c))             ;        defparam yma9e3.GSR = "ENABLED" ;        FD1S3DX yma9e3 (.D(tjc95d), .CK(wjf77ad), .CD(icf5b61), .Q(gd188e6))             ;        defparam icc4734.GSR = "ENABLED" ;        FD1S3DX icc4734 (.D(thf328f), .CK(wjf77ad), .CD(icf5b61), .Q(pha0bb8))             ;        defparam gq5dc6.GSR = "ENABLED" ;        FD1S3DX gq5dc6 (.D(godb92b), .CK(wjf77ad), .CD(icf5b61), .Q(qi3125d))             ;        defparam xy892e8.GSR = "ENABLED" ;        FD1S3DX xy892e8 (.D(ea62604), .CK(wjf77ad), .CD(icf5b61), .Q(fa4211d))             ;        defparam sw108eb.GSR = "ENABLED" ;        FD1S3DX sw108eb (.D(co31697), .CK(wjf77ad), .CD(icf5b61), .Q(by58d6a))             ;        defparam wjc6b52.GSR = "ENABLED" ;        FD1S3DX wjc6b52 (.D(ou3ee1e), .CK(wjf77ad), .CD(icf5b61), .Q(lf946e3))             ;        defparam wya371f.GSR = "ENABLED" ;        FD1S3DX wya371f (.D(tw354c3), .CK(uv5eef5), .CD(zk5d4fd), .Q(wjf8778))             ;        defparam yxc3bc4.GSR = "ENABLED" ;        FD1S3DX yxc3bc4 (.D(jrb034c), .CK(uv5eef5), .CD(zk5d4fd), .Q(aa24809))             ;        defparam qi24048.GSR = "ENABLED" ;        FD1S3DX qi24048 (.D(gqbc45e), .CK(uv5eef5), .CD(zk5d4fd), .Q(yx416b2))             ;        defparam lsb594.GSR = "ENABLED" ;        FD1S3DX lsb594 (.D(qv23d0e), .CK(uv5eef5), .CD(zk5d4fd), .Q(swa3f17))             ;        defparam ie1f8b9.GSR = "ENABLED" ;        FD1S3DX ie1f8b9 (.D(ld5a8a4), .CK(uv5eef5), .CD(zk5d4fd), .Q(gbc9d60))             ;        defparam gb4eb05.GSR = "ENABLED" ;        FD1S3DX gb4eb05 (.D(qgcb534), .CK(uv5eef5), .CD(zk5d4fd), .Q(qv2ca61))             ;        defparam uv6530c.GSR = "ENABLED" ;        FD1S3DX uv6530c (.D(me78221), .CK(uv5eef5), .CD(zk5d4fd), .Q(ip65837))             ;        defparam ep2c1bc.GSR = "ENABLED" ;        FD1S3DX ep2c1bc (.D(sw5867), .CK(uv5eef5), .CD(zk5d4fd), .Q(kqe59b1))             ;        defparam ux2cd88.GSR = "ENABLED" ;        FD1S3DX ux2cd88 (.D(vv6ee2f), .CK(uv5eef5), .CD(zk5d4fd), .Q(ip44761))             ;        defparam oh23b0c.GSR = "ENABLED" ;        FD1S3DX oh23b0c (.D(qgcb6ee), .CK(uv5eef5), .CD(zk5d4fd), .Q(gb659f7))             ;        defparam oh2cfbc.GSR = "ENABLED" ;        FD1S3DX oh2cfbc (.D(ld61cba), .CK(uv5eef5), .CD(zk5d4fd), .Q(lqe4221))             ;        defparam ec2110b.GSR = "ENABLED" ;        FD1S3DX ec2110b (.D(ipfd144), .CK(wjf77ad), .CD(icf5b61), .Q(of51cff))             ;        defparam thcd858.GSR = "ENABLED" ;        FD1S3DX thcd858 (.D(ks24da8), .CK(wjf77ad), .CD(icf5b61), .Q(sud174a))             ;        defparam ou3bed7.GSR = "ENABLED" ;        FD1S3DX ou3bed7 (.D(sw599f), .CK(wjf77ad), .CD(icf5b61), .Q(dob2607))             ;        defparam zxc26f2.GSR = "ENABLED" ;        FD1S3DX zxc26f2 (.D(wjcc527), .CK(wjf77ad), .CD(icf5b61), .Q(ne58241))             ;        defparam cbbee21.GSR = "ENABLED" ;        FD1S3DX cbbee21 (.D(hoe153c), .CK(wjf77ad), .CD(icf5b61), .Q(qgc120b))             ;        defparam en65607.GSR = "ENABLED" ;        FD1S3DX en65607 (.D(gd188e6), .CK(wjf77ad), .CD(icf5b61), .Q(bn9058))             ;        defparam yxe86e6.GSR = "ENABLED" ;        FD1S3DX yxe86e6 (.D(pha0bb8), .CK(wjf77ad), .CD(icf5b61), .Q(ne482c6))             ;        defparam yma973a.GSR = "ENABLED" ;        FD1S3DX yma973a (.D(qi3125d), .CK(wjf77ad), .CD(icf5b61), .Q(ayef977))             ;        defparam zm8c118.GSR = "ENABLED" ;        FD1S3DX zm8c118 (.D(fa4211d), .CK(wjf77ad), .CD(icf5b61), .Q(zk7cbb9))             ;        defparam do1452e.GSR = "ENABLED" ;        FD1S3DX do1452e (.D(by58d6a), .CK(wjf77ad), .CD(icf5b61), .Q(yke5dcb))             ;        defparam jr9b583.GSR = "ENABLED" ;        FD1S3DX jr9b583 (.D(lf946e3), .CK(wjf77ad), .CD(icf5b61), .Q(aa2ee58))             ;        defparam xjf3992.GSR = "ENABLED" ;        FD1S3DX xjf3992 (.D(wjf8778), .CK(uv5eef5), .CD(zk5d4fd), .Q(hqad6a9))             ;        defparam vkb2dca.GSR = "ENABLED" ;        FD1S3DX vkb2dca (.D(aa24809), .CK(uv5eef5), .CD(zk5d4fd), .Q(wjdc2f5))             ;        defparam hq9361a.GSR = "ENABLED" ;        FD1S3DX hq9361a (.D(yx416b2), .CK(uv5eef5), .CD(zk5d4fd), .Q(xy2afad))             ;        defparam do96f47.GSR = "ENABLED" ;        FD1S3DX do96f47 (.D(swa3f17), .CK(uv5eef5), .CD(zk5d4fd), .Q(ou3e94b))             ;        defparam vida519.GSR = "ENABLED" ;        FD1S3DX vida519 (.D(gbc9d60), .CK(uv5eef5), .CD(zk5d4fd), .Q(lqf4a58))             ;        defparam xw57e3a.GSR = "ENABLED" ;        FD1S3DX xw57e3a (.D(qv2ca61), .CK(uv5eef5), .CD(zk5d4fd), .Q(pha52c3))             ;        defparam cb9fbdd.GSR = "ENABLED" ;        FD1S3DX cb9fbdd (.D(ip65837), .CK(uv5eef5), .CD(zk5d4fd), .Q(mt2961f))             ;        defparam ay6d1b4.GSR = "ENABLED" ;        FD1S3DX ay6d1b4 (.D(kqe59b1), .CK(uv5eef5), .CD(zk5d4fd), .Q(wl9a526))             ;        defparam 
+kf8125.GSR = "ENABLED" ;        FD1S3DX kf8125 (.D(ip44761), .CK(uv5eef5), .CD(zk5d4fd), .Q(jpd2934))             ;        defparam kq67614.GSR = "ENABLED" ;        FD1S3DX kq67614 (.D(gb659f7), .CK(uv5eef5), .CD(zk5d4fd), .Q(jr949a7))             ;        defparam ls1f9f.GSR = "ENABLED" ;        FD1S3DX ls1f9f (.D(lqe4221), .CK(uv5eef5), .CD(zk5d4fd), .Q(epa4d3e))             ;        defparam rtd8fa3.GSR = "ENABLED" ;        FD1S3BX rtd8fa3 (.D(mg3e8f6), .CK(wjf77ad), .PD(zk5d4fd), .Q(wjf8aab))             ;        defparam vvf608b.GSR = "ENABLED" ;        FD1S3DX vvf608b (.D(ec822fe), .CK(uv5eef5), .CD(icf5b61), .Q(jra069c))             ;        defparam jcfe2f7.GSR = "ENABLED" ;        FD1S3BX jcfe2f7 (.D(jr8bdda), .CK(wjf77ad), .PD(zk5d4fd), .Q(hb507f7))             ;        defparam vidad6d.GSR = "ENABLED" ;        FD1S3DX vidad6d (.D(vxb5b5d), .CK(uv5eef5), .CD(icf5b61), .Q(ri83fbf))             ;    FADD2B me530f4 (.A0(hd946ae), .A1(ba1ecef), .B0(hd946ae), .B1(ba1ecef),        .CI(hd946ae), .COUT(je18d7), .S0(), .S1());    CU2 xw5de8f (.CI(je18d7), .PC0(go53f58), .PC1(kf9fac1), .CO(cb8f428),        .NC0(gb44fd5), .NC1(zx7b094));    CU2 oh8516a (.CI(cb8f428), .PC0(icc1b13), .PC1(tj13358), .CO(kd6a9bf), .NC0(qgffb9a),        .NC1(hq2a714));    CU2 hd37f61 (.CI(kd6a9bf), .PC0(jc58cb6), .PC1(ymb6573), .CO(dz61daf), .NC0(db8bad3),        .NC1(kf9ad77));    CU2 ntb5f92 (.CI(dz61daf), .PC0(xw732a4), .PC1(oha46d4), .CO(mg92ffd), .NC0(mr6fa1c),        .NC1(dz4518e));    CU2 osffa4e (.CI(mg92ffd), .PC0(god4b13), .PC1(hd131a8), .CO(kd4e139), .NC0(cbb1391),        .NC1(ir1d393));    CU2 jr2736f (.CI(kd4e139), .PC0(baa8c22), .PC1(hd946ae), .CO(uv6fd78),        .NC0(al752c3), .NC1());    FADD2B ng30277 (.A0(hd946ae), .A1(ba1ecef), .B0(hd946ae), .B1(ba1ecef),        .CI(hd946ae), .COUT(kdd6f0e), .S0(), .S1());    CU2 qgf5e2e (.CI(kdd6f0e), .PC0(rv8448b), .PC1(jr2245e), .CO(qi2e38b),        .NC0(ph133cc), .NC1(ba93757));    CU2 rt7163f (.CI(qi2e38b), .PC0(gb5ed3e), .PC1(co3ebab), .CO(ri3fdd6),        .NC0(tjbf2b7), .NC1(czf22b3));    CU2 phbac95 (.CI(ri3fdd6), .PC0(irab06c), .PC1(lq6c8cd), .CO(ep95d19),        .NC0(lq7c001), .NC1(ym8808d));    CU2 qia332e (.CI(ep95d19), .PC0(ldcd5e6), .PC1(rte6d59), .CO(ym2e1ac),        .NC0(tw35400), .NC1(pub7827));    CU2 tw3582a (.CI(ym2e1ac), .PC0(qg59f34), .PC1(mg3409f), .CO(pu2a660),        .NC0(qv8079b), .NC1(zz32fe6));    CU2 yxcc082 (.CI(pu2a660), .PC0(an9f1ef), .PC1(hd946ae), .CO(ym82969),        .NC0(nt8efed), .NC1());    FSUB2B vxa5a42 (.A0(ba1ecef), .A1(go53f58), .B0(hd946ae), .B1(of65b65),        .BI(hd946ae), .BOUT(bab7697), .S0(), .S1(zkda5dd));    FSUB2B hbd2eea (.A0(kf9fac1), .A1(icc1b13), .B0(fcbd6b), .B1(wlbeb5b),        .BI(bab7697), .BOUT(fcb89ca), .S0(mtbb4bb), .S1(lf27299));    FSUB2B cb394ce (.A0(tj13358), .A1(jc58cb6), .B0(xwc0665), .B1(fa57824),        .BI(fcb89ca), .BOUT(aaa3086), .S0(uic4e53), .S1(hbc2185));    FSUB2B ie10c2f (.A0(ymb6573), .A1(xw732a4), .B0(iea456), .B1(wwd4d46),        .BI(aaa3086), .BOUT(ofc3750), .S0(sj18430), .S1(thdd42b));    FSUB2B meea15f (.A0(oha46d4), .A1(god4b13), .B0(yz269f4),        .B1(nr55112), .BI(ofc3750), .BOUT(czc6875), .S0(ng1ba85), .S1(yza1d60));    FSUB2B baeb04 (.A0(hd131a8), .A1(gb65982), .B0(os5c36f), .B1(hd946ae),        .BI(czc6875), .BOUT(), .S0(qi343ac), .S1(ym15317));    VHI wya98b9 (.Z(ba1ecef));    FSUB2B me62e40 (.A0(ba1ecef), .A1(ep3c4d2), .B0(hd946ae), .B1(rv8448b),        .BI(hd946ae), .BOUT(xlc1b3), .S0(), .S1(fp6cf4));    FSUB2B qv367a6 (.A0(dm5d28e), .A1(qi981da), .B0(jr2245e), .B1(gb5ed3e),        .BI(xlc1b3), .BOUT(ria8eca), .S0(ip60d9e), .S1(mg3b293));    FSUB2B ald9499 (.A0(dm661f6), .A1(wlaea9b), .B0(co3ebab), .B1(irab06c),        .BI(ria8eca), .BOUT(jp6b496), .S0(by47652), .S1(vvd25b6));    FSUB2B uk92db6 (.A0(nta586c), .A1(xwcff6d), .B0(lq6c8cd), .B1(ldcd5e6),        .BI(jp6b496), .BOUT(rv8b00c), .S0(rt5a4b6), .S1(hoc033b));    FSUB2B fc19da (.A0(yk772c1), .A1(vv55280), .B0(rte6d59),        .B1(qg59f34), .BI(rv8b00c), .BOUT(yz9f055), .S0(ps58067), .S1(mec1564));    FSUB2B swab20 (.A0(ou1abb8), .A1(ou82912), .B0(mg3409f), .B1(hd946ae),        .BI(yz9f055), .BOUT(), .S0(pfe6d6c), .S1(zmad9e7));    FADD2B aa5bd (.A0(hd946ae), .A1(yxe7e2a), .B0(hd946ae),        .B1(yxe7e2a), .CI(hd946ae), .COUT(wj44e94), .S0(), .S1());    AGEB2 ri30ecf (.A0(rv8448b), .A1(jr2245e), .B0(ep3c4d2), .B1(dm5d28e),        .CI(wj44e94), .GE(wjd931f));    AGEB2 mrc98fd (.A0(gb5ed3e), .A1(co3ebab), .B0(qi981da), .B1(dm661f6),        .CI(wjd931f), .GE(go6866d));    AGEB2 ui43369 (.A0(irab06c), .A1(lq6c8cd), .B0(wlaea9b), .B1(nta586c),        .CI(go6866d), .GE(ip4ee59));    AGEB2 qg772cc (.A0(ldcd5e6), .A1(rte6d59), .B0(xwcff6d), .B1(yk772c1),        .CI(ip4ee59), .GE(cb8227));    AGEB2 wj4113c (.A0(qg59f34), .A1(mg3409f), .B0(vv55280), .B1(ou1abb8),        .CI(cb8227), .GE(zm25bea));    AGEB2 lf2df52 (.A0(ks15d28), .A1(hd946ae), .B0(je2fff9),        .B1(hd946ae), .CI(zm25bea), .GE(lfb501b));    FADD2B jea80de (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(lfb501b), .COUT(), .S0(mg3e8f6), .S1());    FADD2B ic67b2e (.A0(hd946ae), .A1(ng9681a), .B0(hd946ae), .B1(ng9681a),        .CI(hd946ae), .COUT(mt8fd07), .S0(), .S1());    AGEB2 ipf7637 (.A0(go53f58), .A1(kf9fac1), .B0(of65b65), .B1(fcbd6b),        .CI(mt8fd07), .GE(wjedd33));    AGEB2 rt6e99c (.A0(icc1b13), .A1(tj13358), .B0(wlbeb5b), .B1(xwc0665),        .CI(wjedd33), .GE(je3f115));    AGEB2 czf88ab (.A0(jc58cb6), .A1(ymb6573), .B0(fa57824), .B1(iea456),        .CI(je3f115), .GE(cze8e6b));    AGEB2 lq4735c (.A0(xw732a4), .A1(oha46d4), .B0(wwd4d46), .B1(yz269f4),        .CI(cze8e6b), .GE(kf1ddcf));    AGEB2 pseee7c (.A0(god4b13), .A1(hd131a8), .B0(nr55112), .B1(os5c36f),        .CI(kf1ddcf), .GE(vx19296));    AGEB2 enc94b7 (.A0(yke0381), .A1(hd946ae), .B0(of5b9b5),        .B1(hd946ae), .CI(vx19296), .GE(ofe76d1));    FADD2B ym3b68c (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(ofe76d1), .COUT(), .S0(ec822fe), .S1());    FADD2B shed6e6 (.A0(hd946ae), .A1(yxe7e2a), .B0(hd946ae), .B1(yxe7e2a),        .CI(hd946ae), .COUT(mtaeb3b), .S0(), .S1());    AGEB2 ho420bc (.A0(kq6d84a[0]), .A1(kq6d84a[1]), .B0(fp6cf4),        .B1(ip60d9e), .CI(mtaeb3b), .GE(db19146));    AGEB2 rtc8a37 (.A0(kq6d84a[2]), .A1(kq6d84a[3]), .B0(mg3b293),        .B1(by47652), .CI(db19146), .GE(nefec36));    AGEB2 fnf61b2 (.A0(kq6d84a[4]), .A1(kq6d84a[5]), .B0(vvd25b6),        .B1(rt5a4b6), .CI(nefec36), .GE(fpbf551));    AGEB2 uifaa8f (.A0(kq6d84a[6]), .A1(kq6d84a[7]), .B0(hoc033b),        .B1(ps58067), .CI(fpbf551), .GE(shc3d28));    AGEB2 pu1e944 (.A0(kq6d84a[8]), .A1(kq6d84a[9]), .B0(mec1564),        .B1(pfe6d6c), .CI(shc3d28), .GE(fc1bfa4));    AGEB2 fadfd23 (.A0(hbd2363), .A1(hd946ae), .B0(ui679d2), .B1(hd946ae),        .CI(fc1bfa4), .GE(vida762));    FADD2B ald3b12 (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(vida762), .COUT(), .S0(jr8bdda), .S1());    FADD2B qg72dd6 (.A0(hd946ae), .A1(ng9681a), .B0(hd946ae), .B1(ng9681a),        .CI(hd946ae), .COUT(tw837a6), .S0(), .S1());    AGEB2 vi4716a (.A0(zkda5dd), .A1(mtbb4bb), .B0(xw6c250[0]),        .B1(xw6c250[1]), .CI(tw837a6), .GE(ep9f7e1));    AGEB2 tufbf0c (.A0(lf27299), .A1(uic4e53), .B0(xw6c250[2]),        .B1(xw6c250[3]), .CI(ep9f7e1), .GE(tj13cb0));    AGEB2 lf9e587 (.A0(hbc2185), .A1(sj18430), .B0(xw6c250[4]),        .B1(xw6c250[5]), .CI(tj13cb0), .GE(nef45c0));    AGEB2 zma2e02 (.A0(thdd42b), .A1(ng1ba85), .B0(xw6c250[6]),        .B1(xw6c250[7]), .CI(nef45c0), .GE(vk9c4af));    AGEB2 ene257b (.A0(yza1d60), .A1(qi343ac), .B0(xw6c250[8]),        .B1(xw6c250[9]), .CI(vk9c4af), .GE(wwe59b4));    AGEB2 mt2cda0 (.A0(ym15317), .A1(hd946ae), .B0(hd946ae),        .B1(hd946ae), .CI(wwe59b4), .GE(qv23932));    VLO vx1c995 (.Z(hd946ae));    FADD2B kf26572 (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(qv23932), .COUT(), .S0(vxb5b5d), .S1());    assign vx941f = wjf8aab;    assign th4a0fe = jra069c;
+                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                
+always@* begin ng14a7f<={wlabdde>>1,jea8e7a[0]};lfa53fa<=jea8e7a[1];gd29fd7<=jea8e7a[2];th4febc<=jea8e7a[3];cz7f5e6<=jea8e7a[4];wwfaf35<=jea8e7a[5];zxd79a8<=jea8e7a[6];ecbcd47<={kq6d84a>>1,jea8e7a[7]};pfe6a39<={xw6c250>>1,jea8e7a[8]};end
+always@* begin ls351cf[2047]<=uv5eef5;ls351cf[2046]<=wjf77ad;ls351cf[2044]<=yzbbd6d;ls351cf[2040]<=medeb6c;ls351cf[2032]<=icf5b61;ls351cf[2017]<=puadb09;ls351cf[1987]<=kq6d84a[0];ls351cf[1926]<=xw6c250[0];ls351cf[1023]<=wlabdde[0];end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+`timescale 1 ns / 100 ps
+module jr8178b (wlabdde, uv5eef5, wjf77ad, yzbbd6d, medeb6c, icf5b61, 
+    puadb09, kq6d84a, xw6c250, dz61283, vx941f, th4a0fe, hb507f7, 
+    ri83fbf);
+input wire [17:0] wlabdde;
+input wire uv5eef5;
+input wire wjf77ad;
+input wire yzbbd6d;
+input wire medeb6c;
+input wire icf5b61;
+input wire puadb09;
+input wire [9:0] kq6d84a;
+input wire [9:0] xw6c250;
+output wire [17:0] dz61283;
+output wire vx941f;
+output wire th4a0fe;
+output wire hb507f7;
+output wire ri83fbf;
+wire fcb2d03;
+wire ls9cfc5;
+wire ykff9d5;
+wire gb41632;
+wire uka97bb;
+wire sh4b0fd;
+wire yz29b02;
+wire uvfd60d;
+wire sjd899;
+wire fc99ac6;
+wire ipc65b2;
+wire ngb2b99;
+wire fc99523;
+wire ep236a5;
+wire hda5898;
+wire mg98d46;
+wire cm46112;
+wire meef7c0;
+wire pf7be00;
+wire tudf006;
+wire kdf8032;
+wire fac0194;
+wire ouca2;
+wire ph6511;
+wire xl3288f;
+wire db9447e;
+wire lsa23f7;
+wire ykff2e0;
+wire mt122f6;
+wire pff69f5;
+wire suf5d58;
+wire ho58364;
+wire hb6466a;
+wire qt6af36;
+wire cb36acf;
+wire lqcf9a0;
+wire tja04f8;
+wire off8f7c;
+wire al7fc5f;
+wire dmfe2fc;
+wire faf17e3;
+wire ph8bf1a;
+wire xj5f8d6;
+wire vvfc6b7;
+wire rte35be;
+wire wy1adf6;
+wire mrd6fb1;
+wire fcb7d8d;
+wire db22415;
+wire pu3a9c4;
+wire gq82e31;
+wire ep23a0c;
+wire os6dbe6;
+wire ks5795;
+wire tjc95d;
+wire thf328f;
+wire godb92b;
+wire ea62604;
+wire co31697;
+wire ou3ee1e;
+wire tw354c3;
+wire jrb034c;
+wire gqbc45e;
+wire qv23d0e;
+wire ld5a8a4;
+wire qgcb534;
+wire me78221;
+wire sw5867;
+wire vv6ee2f;
+wire qgcb6ee;
+wire ld61cba;
+wire of51cff;
+wire ipfd144;
+wire sud174a;
+wire ks24da8;
+wire dob2607;
+wire sw599f;
+wire ne58241;
+wire wjcc527;
+wire qgc120b;
+wire hoe153c;
+wire bn9058;
+wire gd188e6;
+wire ne482c6;
+wire pha0bb8;
+wire ayef977;
+wire qi3125d;
+wire zk7cbb9;
+wire fa4211d;
+wire yke5dcb;
+wire by58d6a;
+wire aa2ee58;
+wire lf946e3;
+wire hqad6a9;
+wire wjf8778;
+wire wjdc2f5;
+wire aa24809;
+wire xy2afad;
+wire yx416b2;
+wire ou3e94b;
+wire swa3f17;
+wire lqf4a58;
+wire gbc9d60;
+wire pha52c3;
+wire qv2ca61;
+wire mt2961f;
+wire ip65837;
+wire wl9a526;
+wire kqe59b1;
+wire jpd2934;
+wire ip44761;
+wire jr949a7;
+wire gb659f7;
+wire epa4d3e;
+wire lqe4221;
+wire tuee7bc;
+wire wjf8aab;
+wire jra069c;
+wire zk5d4fd;
+wire gb44fd5;
+wire zx7b094;
+wire je18d7;
+wire qgffb9a;
+wire hq2a714;
+wire cb8f428;
+wire db8bad3;
+wire kf9ad77;
+wire kd6a9bf;
+wire mr6fa1c;
+wire dz4518e;
+wire dz61daf;
+wire cbb1391;
+wire ir1d393;
+wire mg92ffd;
+wire al752c3;
+wire uv6fd78;
+wire baa8c22;
+wire kd4e139;
+wire ph133cc;
+wire ba93757;
+wire kdd6f0e;
+wire tjbf2b7;
+wire czf22b3;
+wire qi2e38b;
+wire lq7c001;
+wire ym8808d;
+wire ri3fdd6;
+wire tw35400;
+wire pub7827;
+wire ep95d19;
+wire qv8079b;
+wire zz32fe6;
+wire ym2e1ac;
+wire nt8efed;
+wire ym82969;
+wire an9f1ef;
+wire pu2a660;
+wire zkda5dd;
+wire mtbb4bb;
+wire lf27299;
+wire bab7697;
+wire uic4e53;
+wire hbc2185;
+wire fcb89ca;
+wire sj18430;
+wire thdd42b;
+wire aaa3086;
+wire ng1ba85;
+wire yza1d60;
+wire ofc3750;
+wire qi343ac;
+wire ym15317;
+wire czc6875;
+wire gb65982;
+wire an29990;
+wire ui4cc84;
+wire fp6cf4;
+wire ba1ecef;
+wire ip60d9e;
+wire mg3b293;
+wire xlc1b3;
+wire by47652;
+wire vvd25b6;
+wire ria8eca;
+wire rt5a4b6;
+wire hoc033b;
+wire jp6b496;
+wire ps58067;
+wire mec1564;
+wire rv8b00c;
+wire pfe6d6c;
+wire zmad9e7;
+wire yz9f055;
+wire ou82912;
+wire hb7191b;
+wire hq8c8df;
+wire wj44e94;
+wire ep3c4d2;
+wire dm5d28e;
+wire rv8448b;
+wire jr2245e;
+wire wjd931f;
+wire qi981da;
+wire dm661f6;
+wire gb5ed3e;
+wire co3ebab;
+wire go6866d;
+wire wlaea9b;
+wire nta586c;
+wire irab06c;
+wire lq6c8cd;
+wire ip4ee59;
+wire xwcff6d;
+wire yk772c1;
+wire ldcd5e6;
+wire rte6d59;
+wire cb8227;
+wire vv55280;
+wire ou1abb8;
+wire qg59f34;
+wire mg3409f;
+wire zm25bea;
+wire je2fff9;
+wire ks15d28;
+wire mg3e8f6;
+wire lfb501b;
+wire mt8fd07;
+wire of65b65;
+wire fcbd6b;
+wire go53f58;
+wire kf9fac1;
+wire wjedd33;
+wire wlbeb5b;
+wire xwc0665;
+wire icc1b13;
+wire tj13358;
+wire je3f115;
+wire fa57824;
+wire iea456;
+wire jc58cb6;
+wire ymb6573;
+wire cze8e6b;
+wire wwd4d46;
+wire yz269f4;
+wire xw732a4;
+wire oha46d4;
+wire kf1ddcf;
+wire nr55112;
+wire os5c36f;
+wire god4b13;
+wire hd131a8;
+wire vx19296;
+wire of5b9b5;
+wire yke0381;
+wire ec822fe;
+wire ofe76d1;
+wire yxe7e2a;
+wire mtaeb3b;
+wire ba898cd;
+wire qg4c66b;
+wire db19146;
+wire rv19ac2;
+wire vvcd616;
+wire nefec36;
+wire rg585b6;
+wire zxc2db3;
+wire fpbf551;
+wire ymb6cf7;
+wire ieb67b8;
+wire shc3d28;
+wire jr9ee08;
+wire mrf7047;
+wire fc1bfa4;
+wire ui679d2;
+wire hbd2363;
+wire jr8bdda;
+wire vida762;
+wire ng9681a;
+wire tw837a6;
+wire kf85586;
+wire do2ac34;
+wire ep9f7e1;
+wire fpb0d18;
+wire ri868c7;
+wire tj13cb0;
+wire uka31d0;
+wire wl18e80;
+wire nef45c0;
+wire zm3a027;
+wire zkd013b;
+wire vk9c4af;
+wire ba4edd;
+wire ph276eb;
+wire wwe59b4;
+wire cmdbaf1;
+wire vxb5b5d;
+wire qv23932;
+wire hd946ae;
+reg [17 : 0] ng14a7f;
+reg lfa53fa;
+reg gd29fd7;
+reg th4febc;
+reg cz7f5e6;
+reg wwfaf35;
+reg zxd79a8;
+reg [9 : 0] ecbcd47;
+reg [9 : 0] pfe6a39;
+reg qgf59ea;
+reg aaacf51;
+reg ui67a88;
+reg bn3d441;
+reg kqea20e;
+reg yx51070;
+reg wy88385;
+reg al41c28;
+reg fce145;
+reg yx70a2b;
+reg oh8515d;
+reg lf28aec;
+reg hb45766;
+reg ec2bb36;
+reg fa5d9b1;
+reg dzecd8a;
+reg tu66c56;
+reg sj362b3;
+reg sjb159d;
+reg oh8acec;
+reg me56764;
+reg aab3b20;
+reg ym9d900;
+reg zxec800;
+reg pf64006;
+reg ls20037;
+reg ec1bb;
+reg gqdd8;
+reg db6ec1;
+reg bn3760e;
+reg mtbb077;
+reg bld83bd;
+reg ykc1def;
+reg puef79;
+reg ui77bca;
+reg dobde50;
+reg eaef283;
+reg by79418;
+reg lqca0c2;
+reg vv50613;
+reg zm8309f;
+reg yz184fb;
+reg dzc27dd;
+reg fp13eef;
+reg cb9f77f;
+reg dmfbbfc;
+reg dzddfe2;
+reg kqeff16;
+reg cz7f8b1;
+reg vvfc58c;
+reg qte2c66;
+reg vx16335;
+reg wlb19ae;
+reg xy8cd75;
+reg lq66bab;
+reg fc35d5b;
+reg sjaeadb;
+reg of756dd;
+reg irab6ec;
+reg ip5b764;
+reg ykdbb24;
+reg dzdd921;
+reg nrec90e;
+reg xj64876;
+reg sw243b6;
+reg ie21db1;
+reg doed88;
+reg dm76c42;
+reg wyb6212;
+reg yzb1091;
+reg ie8848c;
+reg rg42460;
+reg mt12303;
+reg db9181c;
+reg hq8c0e6;
+reg kd60732;
+reg zz3995;
+reg vx1ccae;
+reg ble6576;
+reg bn32bb6;
+reg ir95db6;
+reg wlaedb4;
+reg tu76da5;
+reg ukb6d2a;
+reg cob6950;
+reg qvb4a80;
+reg dba5405;
+reg tw2a02f;
+reg th5017a;
+reg kf80bd7;
+reg gq5ebd;
+reg ba2f5e9;
+reg en7af4a;
+reg ldd7a54;
+reg xybd2a7;
+reg hbe953a;
+reg fn4a9d7;
+reg uv54ebe;
+reg nga75f4;
+reg ri3afa2;
+reg ldd7d12;
+reg yzbe897;
+reg nef44bc;
+reg zma25e2;
+reg kf12f12;
+reg ou97895;
+reg ukbc4a9;
+reg ipe2548;
+reg ep12a44;
+reg ux95225;
+reg qia912d;
+reg xw48969;
+reg mr44b4b;
+reg xy25a5d;
+reg vx2d2ea;
+reg lq69757;
+reg kd4babb;
+reg ho5d5dc;
+reg uieaee3;
+reg dm57719;
+reg irbb8ca;
+reg qtdc654;
+reg rte32a5;
+reg zz1952e;
+reg jcca977;
+reg al54bba;
+reg lfa5dd4;
+reg aa2eea6;
+reg yk77531;
+reg phba989;
+reg sud4c4e;
+reg wya6270;
+reg ks31380;
+reg ba89c00;
+reg sh4e003;
+reg yx7001d;
+reg kf800ec;
+reg co764;
+reg tj3b20;
+reg yz1d906;
+reg zxec834;
+reg pf641a6;
+reg ls20d37;
+reg db69ba;
+reg ym34dd6;
+reg mga6eb1;
+reg ph37589;
+reg vxbac4f;
+reg qtd627e;
+reg irb13f4;
+reg ls89fa4;
+reg vv4fd23;
+reg th7e91e;
+reg zkf48f6;
+reg wla47b3;
+reg qv23d9d;
+reg tw1eced;
+reg pff676b;
+reg swb3b5f;
+reg mg9daf8;
+reg gbed7c0;
+reg xj6be05;
+reg dz5f02f;
+reg mrf817e;
+reg vic0bf4;
+reg qi5fa3;
+reg do2fd19;
+reg zx7e8cb;
+reg vif465e;
+reg ksa32f2;
+reg vx19790;
+reg cmcbc87;
+reg wj5e438;
+reg ipf21c4;
+reg qv90e25;
+reg gq8712c;
+reg db38961;
+reg eac4b0f;
+reg ls25879;
+reg cb2c3ca;
+reg rt61e55;
+reg wyf2ae;
+reg dm79571;
+reg bycab8a;
+reg pf55c52;
+reg anae296;
+reg xj714b4;
+reg cb8a5a6;
+reg zk52d35;
+reg fc969ab;
+reg cbb4d5b;
+reg swa6add;
+reg je356e9;
+reg uxab74a;
+reg al5ba54;
+reg thdd2a5;
+reg pfe952f;
+reg rt4a97f;
+reg mr54bfe;
+reg dba5ff4;
+reg pu2ffa6;
+reg qt7fd33;
+reg byfe99c;
+reg lqf4ce2;
+reg mga6713;
+reg kf33898;
+reg ba9c4c4;
+reg ale2623;
+reg tj1311c;
+reg ec988e6;
+reg suc4730;
+reg qv23980;
+reg vx1cc05;
+reg ble602e;
+reg zm30176;
+reg sj80bb2;
+reg sw5d95;
+reg sw2eca9;
+reg ne76549;
+reg dob2a4b;
+reg yz9525a;
+reg wya92d5;
+reg su496a9;
+reg uv4b548;
+reg pf5aa45;
+reg hod522f;
+reg qia917b;
+reg xw48bd9;
+reg nr45ecb;
+reg fc2f65f;
+reg ld7b2fa;
+reg shd97d7;
+reg eacbebd;
+reg nr5f5e8;
+reg mefaf46;
+reg xjd7a30;
+reg pubd187;
+reg uve8c3a;
+reg yk461d4;
+reg pu30ea1;
+reg sw8750b;
+reg tw3a859;
+reg ykd42ca;
+reg yza1651;
+reg fcb28d;
+reg ww5946b;
+reg lqca359;
+reg uv51acb;
+reg mg8d65c;
+reg zx6b2e0;
+reg me59706;
+reg iccb831;
+reg qg5c188;
+reg ose0c41;
+reg bn6208;
+reg mg31047;
+reg qi88238;
+reg en411c0;
+reg ir8e04;
+reg vi47025;
+reg tj3812b;
+reg zkc095e;
+reg xy4af3;
+reg hq2579b;
+reg ym2bcdb;
+reg kd5e6d9;
+reg rtf36cc;
+reg lf9b667;
+reg wwdb33e;
+reg ipd99f0;
+reg alccf84;
+reg ic67c25;
+reg gq3e129;
+reg cmf0949;
+reg vx84a49;
+reg ri2524f;
+reg cb2927b;
+reg os493dd;
+reg me49ee8;
+reg dz4f741;
+reg jp7ba0f;
+reg zxdd07e;
+reg kde83f7;
+reg cz41fbd;
+reg ukfded;
+reg ld7ef68;
+reg off7b46;
+reg hqbda34;
+reg mred1a3;
+reg qg68d1d;
+reg su468e8;
+reg ou34740;
+reg gqa3a00;
+reg sj1d001;
+reg gbe800d;
+reg xj4006d;
+reg co36e;
+reg ri1b70;
+reg [2047:0] ls351cf;
+wire [306:0] jea8e7a;
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+localparam ps473d2 = 307,wl39e93 = 32'hfdffc68b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+AND2 mececb4 (.A(yzbbd6d), .B(fcb2d03), .Z(ng9681a));    INV ohb40d3 (.A(jra069c), .Z(fcb2d03));    AND2 ks1a73f (.A(medeb6c), .B(ls9cfc5), .Z(yxe7e2a));    INV fc3f155 (.A(wjf8aab), .Z(ls9cfc5));    OR2 je2aaea (.A(icf5b61), .B(puadb09), .Z(zk5d4fd));    XOR2 icea7eb (.A(go53f58), .B(kf9fac1), .Z(uvfd60d));    XOR2 hoeb06c (.A(kf9fac1), .B(icc1b13), .Z(sjd899));    XOR2 xw6c4cd (.A(icc1b13), .B(tj13358), .Z(fc99ac6));    XOR2 dzcd632 (.A(tj13358), .B(jc58cb6), .Z(ipc65b2));    XOR2 tj32d95 (.A(jc58cb6), .B(ymb6573), .Z(ngb2b99));    XOR2 an95cca (.A(ymb6573), .B(xw732a4), .Z(fc99523));    XOR2 faca91b (.A(xw732a4), .B(oha46d4), .Z(ep236a5));    XOR2 xy1b52c (.A(oha46d4), .B(god4b13), .Z(hda5898));    XOR2 wl2c4c6 (.A(god4b13), .B(hd131a8), .Z(mg98d46));    XOR2 gbc6a30 (.A(hd131a8), .B(baa8c22), .Z(cm46112));    XOR2 vk30891 (.A(rv8448b), .B(jr2245e), .Z(mt122f6));    XOR2 db917b4 (.A(jr2245e), .B(gb5ed3e), .Z(pff69f5));    XOR2 qvb4fae (.A(gb5ed3e), .B(co3ebab), .Z(suf5d58));    XOR2 sjaeac1 (.A(co3ebab), .B(irab06c), .Z(ho58364));    XOR2 uic1b23 (.A(irab06c), .B(lq6c8cd), .Z(hb6466a));    XOR2 an23357 (.A(lq6c8cd), .B(ldcd5e6), .Z(qt6af36));    XOR2 xw579b5 (.A(ldcd5e6), .B(rte6d59), .Z(cb36acf));    XOR2 rvb567c (.A(rte6d59), .B(qg59f34), .Z(lqcf9a0));    XOR2 ps7cd02 (.A(qg59f34), .B(mg3409f), .Z(tja04f8));    XOR2 sj27c7 (.A(mg3409f), .B(an9f1ef), .Z(off8f7c));    defparam rtc7be5.initval =  16'h6996 ;    ROM16X1A rtc7be5 (.AD3(ayef977), .AD2(zk7cbb9), .AD1(yke5dcb),        .AD0(aa2ee58), .DO0(yk772c1));    defparam mgb9609.initval =  16'h6996 ;    ROM16X1A mgb9609 (.AD3(ne58241), .AD2(qgc120b), .AD1(bn9058),        .AD0(ne482c6), .DO0(gb41632));    defparam xyb194.initval =  16'h6996 ;    ROM16X1A xyb194 (.AD3(yke5dcb), .AD2(aa2ee58), .AD1(hd946ae),        .AD0(hd946ae), .DO0(ou1abb8));    defparam zkd5dc1.initval =  16'h6996 ;    ROM16X1A zkd5dc1 (.AD3(zk7cbb9), .AD2(yke5dcb), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(vv55280));    defparam yma9407.initval =  16'h6996 ;    ROM16X1A yma9407 (.AD3(ne482c6), .AD2(ayef977), .AD1(zk7cbb9),        .AD0(ou1abb8), .DO0(xwcff6d));    defparam ip7fb6a.initval =  16'h6996 ;    ROM16X1A ip7fb6a (.AD3(bn9058), .AD2(ne482c6), .AD1(ayef977),        .AD0(vv55280), .DO0(nta586c));    defparam sj2c366.initval =  16'h6996 ;    ROM16X1A sj2c366 (.AD3(qgc120b), .AD2(bn9058), .AD1(ne482c6),        .AD0(yk772c1), .DO0(wlaea9b));    defparam uv754dd.initval =  16'h6996 ;    ROM16X1A uv754dd (.AD3(yk772c1), .AD2(gb41632),        .AD1(hd946ae), .AD0(hd946ae), .DO0(dm661f6));    defparam pu30fb2.initval =  16'h6996 ;    ROM16X1A pu30fb2 (.AD3(yk772c1), .AD2(gb41632),        .AD1(dob2607), .AD0(hd946ae), .DO0(qi981da));    defparam psc0ed1.initval =  16'h6996 ;    ROM16X1A psc0ed1 (.AD3(yk772c1), .AD2(gb41632),        .AD1(sud174a), .AD0(dob2607), .DO0(dm5d28e));    defparam rte9473.initval =  16'h6996 ;    ROM16X1A rte9473 (.AD3(of51cff), .AD2(sud174a), .AD1(dob2607),        .AD0(hd946ae), .DO0(ykff9d5));    defparam pffcea8.initval =  16'h6996 ;    ROM16X1A pffcea8 (.AD3(yk772c1), .AD2(gb41632),        .AD1(ykff9d5), .AD0(hd946ae), .DO0(ep3c4d2));    defparam kde2694.initval =  16'h6996 ;    ROM16X1A kde2694 (.AD3(wl9a526), .AD2(jpd2934), .AD1(jr949a7),        .AD0(epa4d3e), .DO0(yz269f4));    defparam ec34fa5.initval =  16'h6996 ;    ROM16X1A ec34fa5 (.AD3(ou3e94b), .AD2(lqf4a58), .AD1(pha52c3),        .AD0(mt2961f), .DO0(sh4b0fd));    defparam xw587ed.initval =  16'h6996 ;    ROM16X1A xw587ed (.AD3(jr949a7), .AD2(epa4d3e), .AD1(hd946ae),        .AD0(hd946ae), .DO0(os5c36f));    defparam zke1b79.initval =  16'h6996 ;    ROM16X1A zke1b79 (.AD3(jpd2934), .AD2(jr949a7), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(nr55112));    defparam nga8897.initval =  16'h6996 ;    ROM16X1A nga8897 (.AD3(mt2961f), .AD2(wl9a526), .AD1(jpd2934),        .AD0(os5c36f), .DO0(wwd4d46));    defparam coa6a30.initval =  16'h6996 ;    ROM16X1A coa6a30 (.AD3(pha52c3), .AD2(mt2961f), .AD1(wl9a526),        .AD0(nr55112), .DO0(iea456));    defparam vi522b1.initval =  16'h6996 ;    ROM16X1A vi522b1 (.AD3(lqf4a58), .AD2(pha52c3), .AD1(mt2961f),        .AD0(yz269f4), .DO0(fa57824));    defparam aabc123.initval =  16'h6996 ;    ROM16X1A aabc123 (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(hd946ae), .AD0(hd946ae), .DO0(xwc0665));    defparam fp332a.initval =  16'h6996 ;    ROM16X1A fp332a (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(xy2afad), .AD0(hd946ae), .DO0(wlbeb5b));    defparam wwf5adc.initval =  16'h6996 ;    ROM16X1A wwf5adc (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(wjdc2f5), .AD0(xy2afad), .DO0(fcbd6b));    defparam uv5eb5a.initval =  16'h6996 ;    ROM16X1A uv5eb5a (.AD3(hqad6a9), .AD2(wjdc2f5), .AD1(xy2afad),        .AD0(hd946ae), .DO0(uka97bb));    defparam en4bdd9.initval =  16'h6996 ;    ROM16X1A en4bdd9 (.AD3(yz269f4), .AD2(sh4b0fd),        .AD1(uka97bb), .AD0(hd946ae), .DO0(of65b65));    XOR2 bn2db2c (.A(baa8c22), .B(epa4d3e), .Z(gb65982));    XOR2 oh2cc14 (.A(aa2ee58), .B(an9f1ef), .Z(ou82912));    defparam je14890.initval =  16'h0410 ;    ROM16X1A je14890 (.AD3(db22415), .AD2(an9f1ef), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(ks15d28));    defparam mtae940.initval =  16'h1004 ;    ROM16X1A mtae940 (.AD3(db22415), .AD2(an9f1ef), .AD1(aa2ee58),        .AD0(hd946ae), .DO0(je2fff9));    defparam uv7ffcb.initval =  16'h0140 ;    ROM16X1A uv7ffcb (.AD3(ykff2e0), .AD2(baa8c22), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(yke0381));    defparam fc1c09.initval =  16'h4001 ;    ROM16X1A fc1c09 (.AD3(ykff2e0), .AD2(baa8c22), .AD1(epa4d3e),        .AD0(hd946ae), .DO0(of5b9b5));    INV aldcdad (.A(mrf7047), .Z(yz29b02));    AND2 tjb5b3c (.A(tuee7bc), .B(yz29b02), .Z(ui679d2));    AND2 an3ce91 (.A(tuee7bc), .B(mrf7047), .Z(hbd2363));    defparam ph91b1e.CSDECODE_B = "0b000" ;    defparam ph91b1e.CSDECODE_A = "0b000" ;    defparam ph91b1e.WRITEMODE_B = "NORMAL" ;    defparam ph91b1e.WRITEMODE_A = "NORMAL" ;    defparam ph91b1e.GSR = "ENABLED" ;    defparam ph91b1e.REGMODE_B = "NOREG" ;    defparam ph91b1e.REGMODE_A = "NOREG" ;    defparam ph91b1e.DATA_WIDTH_B = 18 ;    defparam ph91b1e.DATA_WIDTH_A = 18 ;    DP16KC ph91b1e (.DIA0(wlabdde[0]), .DIA1(wlabdde[1]), .DIA2(wlabdde[2]),        .DIA3(wlabdde[3]), .DIA4(wlabdde[4]), .DIA5(wlabdde[5]), .DIA6(wlabdde[6]),        .DIA7(wlabdde[7]), .DIA8(wlabdde[8]), .DIA9(wlabdde[9]), .DIA10(wlabdde[10]),        .DIA11(wlabdde[11]), .DIA12(wlabdde[12]), .DIA13(wlabdde[13]), .DIA14(wlabdde[14]),        .DIA15(wlabdde[15]), .DIA16(wlabdde[16]), .DIA17(wlabdde[17]), .ADA0(ba1ecef),        .ADA1(ba1ecef), .ADA2(hd946ae), .ADA3(hd946ae), .ADA4(meef7c0),        .ADA5(pf7be00), .ADA6(tudf006), .ADA7(kdf8032), .ADA8(fac0194), .ADA9(ouca2),        .ADA10(ph6511), .ADA11(xl3288f), .ADA12(db9447e), .ADA13(lsa23f7),        .CEA(ng9681a), .CLKA(uv5eef5), .OCEA(ng9681a), .WEA(ba1ecef), .CSA0(hd946ae),        .CSA1(hd946ae), .CSA2(hd946ae), .RSTA(icf5b61), .DIB0(hd946ae),        .DIB1(hd946ae), .DIB2(hd946ae), .DIB3(hd946ae), .DIB4(hd946ae),        .DIB5(hd946ae), .DIB6(hd946ae), .DIB7(hd946ae), .DIB8(hd946ae),        .DIB9(hd946ae), .DIB10(hd946ae), .DIB11(hd946ae), .DIB12(hd946ae),        .DIB13(hd946ae), .DIB14(hd946ae), .DIB15(hd946ae), .DIB16(hd946ae),        .DIB17(hd946ae), .ADB0(hd946ae), .ADB1(hd946ae), .ADB2(hd946ae),        .ADB3(hd946ae), .ADB4(al7fc5f), .ADB5(dmfe2fc), .ADB6(faf17e3), .ADB7(ph8bf1a),        .ADB8(xj5f8d6), .ADB9(vvfc6b7), .ADB10(rte35be), .ADB11(wy1adf6), .ADB12(mrd6fb1),        .ADB13(fcb7d8d), .CEB(yxe7e2a), .CLKB(wjf77ad), .OCEB(yxe7e2a), .WEB(hd946ae),        .CSB0(hd946ae), .CSB1(hd946ae), .CSB2(hd946ae), .RSTB(icf5b61),        .DOA0(), .DOA1(), .DOA2(), .DOA3(), .DOA4(), .DOA5(), .DOA6(), .DOA7(),        .DOA8(), .DOA9(), .DOA10(), .DOA11(), .DOA12(), .DOA13(), .DOA14(),        .DOA15(), .DOA16(), .DOA17(), .DOB0(dz61283[0]), .DOB1(dz61283[1]), .DOB2(dz61283[2]),        .DOB3(dz61283[3]), .DOB4(dz61283[4]), .DOB5(dz61283[5]), .DOB6(dz61283[6]), .DOB7(dz61283[7]),        .DOB8(dz61283[8]), .DOB9(dz61283[9]), .DOB10(dz61283[10]), .DOB11(dz61283[11]), .DOB12(dz61283[12]),        .DOB13(dz61283[13]), .DOB14(dz61283[14]), .DOB15(dz61283[15]), .DOB16(dz61283[16]), .DOB17(dz61283[17]))
+                                       ;    FD1P3BX hd19c3f (.D(gb44fd5), .SP(ng9681a), .CK(uv5eef5), .PD(icf5b61),        .Q(go53f58))             ;    FD1P3DX jcf1a13 (.D(zx7b094), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(kf9fac1))             ;    FD1P3DX ldd82f6 (.D(qgffb9a), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(icc1b13))             ;    FD1P3DX hqb8573 (.D(hq2a714), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tj13358))             ;    FD1P3DX ipd611d (.D(db8bad3), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(jc58cb6))             ;    FD1P3DX su67d66 (.D(kf9ad77), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ymb6573))             ;    FD1P3DX mg88210 (.D(mr6fa1c), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(xw732a4))             ;    FD1P3DX hq48cd (.D(dz4518e), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(oha46d4))             ;    FD1P3DX ic599b6 (.D(cbb1391), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(god4b13))             ;    FD1P3DX wl8570f (.D(ir1d393), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(hd131a8))             ;    FD1P3DX xwe1282 (.D(al752c3), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(baa8c22))             ;    FD1P3DX jr9efcf (.D(uvfd60d), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(pu3a9c4))             ;    FD1P3DX thfcfd4 (.D(sjd899), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(gq82e31))             ;    FD1P3DX hd3d603 (.D(fc99ac6), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ep23a0c))             ;    FD1P3DX mrf26b2 (.D(ipc65b2), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(os6dbe6))             ;    FD1P3DX bnaab15 (.D(ngb2b99), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ks5795))             ;    FD1P3DX jp7e247 (.D(fc99523), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tjc95d))             ;    FD1P3DX ykfa4d3 (.D(ep236a5), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(thf328f))             ;    FD1P3DX icce522 (.D(hda5898), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(godb92b))             ;    FD1P3DX ym88d73 (.D(mg98d46), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ea62604))             ;    FD1P3DX fnc871b (.D(cm46112), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(co31697))             ;    FD1P3DX osf0f98 (.D(baa8c22), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ou3ee1e))             ;    FD1P3DX hq113f (.D(go53f58), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(meef7c0))             ;    FD1P3DX fcadec2 (.D(kf9fac1), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(pf7be00))             ;    FD1P3DX lsa7fee (.D(icc1b13), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(tudf006))             ;    FD1P3DX jcd0a9c (.D(tj13358), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(kdf8032))             ;    FD1P3DX fca22eb (.D(jc58cb6), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(fac0194))             ;    FD1P3DX xl9e6b5 (.D(ymb6573), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ouca2))             ;    FD1P3DX jebdbe8 (.D(xw732a4), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ph6511))             ;    FD1P3DX wwe5146 (.D(oha46d4), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(xl3288f))             ;    FD1P3DX zk76c4e (.D(god4b13), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(db9447e))             ;    FD1P3DX pu8c74e (.D(hd131a8), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(lsa23f7))             ;    FD1P3DX aa9dd4b (.D(baa8c22), .SP(ng9681a), .CK(uv5eef5), .CD(icf5b61),        .Q(ykff2e0))             ;    FD1P3BX tj1c35c (.D(ph133cc), .SP(yxe7e2a), .CK(wjf77ad), .PD(zk5d4fd),        .Q(rv8448b))             ;    FD1P3DX sud4e22 (.D(ba93757), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(jr2245e))             ;    FD1P3DX yz17188 (.D(tjbf2b7), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(gb5ed3e))             ;    FD1P3DX cb1d065 (.D(czf22b3), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(co3ebab))             ;    FD1P3DX cm6df30 (.D(lq7c001), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(irab06c))             ;    FD1P3DX co2bca8 (.D(ym8808d), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(lq6c8cd))             ;    FD1P3DX hb64aef (.D(tw35400), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ldcd5e6))             ;    FD1P3DX zm9947f (.D(pub7827), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(rte6d59))             ;    FD1P3DX ofdc959 (.D(qv8079b), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qg59f34))             ;    FD1P3DX zz13020 (.D(zz32fe6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(mg3409f))             ;    FD1P3DX rv8b4b8 (.D(nt8efed), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(an9f1ef))             ;    FD1P3DX mrf70f0 (.D(mt122f6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(tw354c3))             ;    FD1P3DX yx54463 (.D(pff69f5), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(jrb034c))             ;    FD1P3DX by524e8 (.D(suf5d58), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(gqbc45e))             ;    FD1P3DX je83359 (.D(ho58364), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qv23d0e))             ;    FD1P3DX rtf6c45 (.D(hb6466a), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ld5a8a4))             ;    FD1P3DX ri8c3c7 (.D(qt6af36), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qgcb534))             ;    FD1P3DX kfb13d4 (.D(cb36acf), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(me78221))             ;    FD1P3DX uk1f82d (.D(lqcf9a0), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(sw5867))             ;    FD1P3DX kd703d5 (.D(tja04f8), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(vv6ee2f))             ;    FD1P3DX qv39880 (.D(off8f7c), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(qgcb6ee))             ;    FD1P3DX al6034d (.D(an9f1ef), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(ld61cba))             ;    FD1P3DX su5c4cf (.D(rv8448b), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(al7fc5f))             ;    FD1P3DX lq664dd (.D(jr2245e), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(dmfe2fc))             ;    FD1P3DX xlbafca (.D(gb5ed3e), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(faf17e3))             ;    FD1P3DX rvbfc8a (.D(co3ebab), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(ph8bf1a))             ;    FD1P3DX gq9df00 (.D(irab06c), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(xj5f8d6))             ;    FD1P3DX qia202 (.D(lq6c8cd), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(vvfc6b7))             ;    FD1P3DX me68d50 (.D(ldcd5e6), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(rte35be))             ;    FD1P3DX wl2de0 (.D(rte6d59), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(wy1adf6))             ;    FD1P3DX pu3a01e (.D(qg59f34), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(mrd6fb1))             ;    FD1P3DX kddccbf (.D(mg3409f), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd), .Q(fcb7d8d))             ;    FD1P3DX yz363bf (.D(an9f1ef), .SP(yxe7e2a), .CK(wjf77ad), .CD(zk5d4fd),        .Q(db22415))             ;    FD1S3DX bl6e1ac (.D(pu3a9c4), .CK(wjf77ad), .CD(icf5b61), .Q(ipfd144))             ;    FD1S3DX xlaa61a (.D(gq82e31), .CK(wjf77ad), .CD(icf5b61), .Q(ks24da8))             ;    FD1S3DX vx81a66 (.D(ep23a0c), .CK(wjf77ad), .CD(icf5b61), .Q(sw599f))             ;    FD1S3DX ale22f0 (.D(os6dbe6), .CK(wjf77ad), .CD(icf5b61), .Q(wjcc527))             ;    FD1S3DX tw1e875 (.D(ks5795), .CK(wjf77ad), .CD(icf5b61), .Q(hoe153c))             ;    FD1S3DX ayd4527 (.D(tjc95d), .CK(wjf77ad), .CD(icf5b61), .Q(gd188e6))             ;    FD1S3DX jp5a9a1 (.D(thf328f), .CK(wjf77ad), .CD(icf5b61), .Q(pha0bb8))             ;    FD1S3DX uic1108 (.D(godb92b), .CK(wjf77ad), .CD(icf5b61), .Q(qi3125d))             ;    FD1S3DX ux2c339 (.D(ea62604), .CK(wjf77ad), .CD(icf5b61), .Q(fa4211d))             ;    FD1S3DX kq7717f (.D(co31697), .CK(wjf77ad), .CD(icf5b61), .Q(by58d6a))             ;    FD1S3DX ip5b771 (.D(ou3ee1e), .CK(wjf77ad), .CD(icf5b61), .Q(lf946e3))             ;    FD1S3DX bae5d6 (.D(tw354c3), .CK(uv5eef5), .CD(zk5d4fd), .Q(wjf8778))
+             ;    FD1S3DX lqc0d09 (.D(jrb034c), .CK(uv5eef5), .CD(zk5d4fd), .Q(aa24809))             ;    FD1S3DX wwf0ba4 (.D(gqbc45e), .CK(uv5eef5), .CD(zk5d4fd), .Q(yx416b2))             ;    FD1S3DX ps69f44 (.D(qv23d0e), .CK(uv5eef5), .CD(zk5d4fd), .Q(swa3f17))             ;    FD1S3DX ntb093e (.D(ld5a8a4), .CK(uv5eef5), .CD(zk5d4fd), .Q(gbc9d60))             ;    FD1S3DX czf8e27 (.D(qgcb534), .CK(uv5eef5), .CD(zk5d4fd), .Q(qv2ca61))             ;    FD1S3DX gb7f3f9 (.D(me78221), .CK(uv5eef5), .CD(zk5d4fd), .Q(ip65837))             ;    FD1S3DX al7abfc (.D(sw5867), .CK(uv5eef5), .CD(zk5d4fd), .Q(kqe59b1))             ;    FD1S3DX kf179df (.D(vv6ee2f), .CK(uv5eef5), .CD(zk5d4fd), .Q(ip44761))             ;    FD1S3DX go4c0e6 (.D(qgcb6ee), .CK(uv5eef5), .CD(zk5d4fd), .Q(gb659f7))             ;    FD1S3DX gq2ed9d (.D(ld61cba), .CK(uv5eef5), .CD(zk5d4fd), .Q(lqe4221))             ;    FD1S3DX gb5107f (.D(ipfd144), .CK(wjf77ad), .CD(icf5b61), .Q(of51cff))             ;    FD1S3DX qte8a24 (.D(ks24da8), .CK(wjf77ad), .CD(icf5b61), .Q(sud174a))             ;    FD1S3DX ux26d40 (.D(sw599f), .CK(wjf77ad), .CD(icf5b61), .Q(dob2607))             ;    FD1S3DX ux2ccf9 (.D(wjcc527), .CK(wjf77ad), .CD(icf5b61), .Q(ne58241))             ;    FD1S3DX yk6293c (.D(hoe153c), .CK(wjf77ad), .CD(icf5b61), .Q(qgc120b))             ;    FD1S3DX yma9e3 (.D(gd188e6), .CK(wjf77ad), .CD(icf5b61), .Q(bn9058))             ;    FD1S3DX icc4734 (.D(pha0bb8), .CK(wjf77ad), .CD(icf5b61), .Q(ne482c6))             ;    FD1S3DX gq5dc6 (.D(qi3125d), .CK(wjf77ad), .CD(icf5b61), .Q(ayef977))             ;    FD1S3DX xy892e8 (.D(fa4211d), .CK(wjf77ad), .CD(icf5b61), .Q(zk7cbb9))             ;    FD1S3DX sw108eb (.D(by58d6a), .CK(wjf77ad), .CD(icf5b61), .Q(yke5dcb))             ;    FD1S3DX wjc6b52 (.D(lf946e3), .CK(wjf77ad), .CD(icf5b61), .Q(aa2ee58))             ;    FD1S3DX wya371f (.D(wjf8778), .CK(uv5eef5), .CD(zk5d4fd), .Q(hqad6a9))             ;    FD1S3DX yxc3bc4 (.D(aa24809), .CK(uv5eef5), .CD(zk5d4fd), .Q(wjdc2f5))             ;    FD1S3DX qi24048 (.D(yx416b2), .CK(uv5eef5), .CD(zk5d4fd), .Q(xy2afad))             ;    FD1S3DX lsb594 (.D(swa3f17), .CK(uv5eef5), .CD(zk5d4fd), .Q(ou3e94b))             ;    FD1S3DX ie1f8b9 (.D(gbc9d60), .CK(uv5eef5), .CD(zk5d4fd), .Q(lqf4a58))             ;    FD1S3DX gb4eb05 (.D(qv2ca61), .CK(uv5eef5), .CD(zk5d4fd), .Q(pha52c3))             ;    FD1S3DX uv6530c (.D(ip65837), .CK(uv5eef5), .CD(zk5d4fd), .Q(mt2961f))             ;    FD1S3DX ep2c1bc (.D(kqe59b1), .CK(uv5eef5), .CD(zk5d4fd), .Q(wl9a526))             ;    FD1S3DX ux2cd88 (.D(ip44761), .CK(uv5eef5), .CD(zk5d4fd), .Q(jpd2934))             ;    FD1S3DX oh23b0c (.D(gb659f7), .CK(uv5eef5), .CD(zk5d4fd), .Q(jr949a7))             ;    FD1S3DX oh2cfbc (.D(lqe4221), .CK(uv5eef5), .CD(zk5d4fd), .Q(epa4d3e))             ;    FD1S3DX ec2110b (.D(zkda5dd), .CK(uv5eef5), .CD(icf5b61), .Q(kf85586))             ;    FD1S3DX thcd858 (.D(mtbb4bb), .CK(uv5eef5), .CD(icf5b61), .Q(do2ac34))             ;    FD1S3DX ou3bed7 (.D(lf27299), .CK(uv5eef5), .CD(icf5b61), .Q(fpb0d18))             ;    FD1S3DX zxc26f2 (.D(uic4e53), .CK(uv5eef5), .CD(icf5b61), .Q(ri868c7))             ;    FD1S3DX cbbee21 (.D(hbc2185), .CK(uv5eef5), .CD(icf5b61), .Q(uka31d0))             ;    FD1S3DX en65607 (.D(sj18430), .CK(uv5eef5), .CD(icf5b61), .Q(wl18e80))             ;    FD1S3DX yxe86e6 (.D(thdd42b), .CK(uv5eef5), .CD(icf5b61), .Q(zm3a027))             ;    FD1S3DX yma973a (.D(ng1ba85), .CK(uv5eef5), .CD(icf5b61), .Q(zkd013b))             ;    FD1S3DX zm8c118 (.D(yza1d60), .CK(uv5eef5), .CD(icf5b61), .Q(ba4edd))             ;    FD1S3DX do1452e (.D(qi343ac), .CK(uv5eef5), .CD(icf5b61), .Q(ph276eb))             ;    FD1S3DX jr9b583 (.D(ym15317), .CK(uv5eef5), .CD(icf5b61), .Q(cmdbaf1))             ;    FD1S3DX xjf3992 (.D(fp6cf4), .CK(wjf77ad), .CD(zk5d4fd), .Q(ba898cd))             ;    FD1S3DX vkb2dca (.D(ip60d9e), .CK(wjf77ad), .CD(zk5d4fd), .Q(qg4c66b))             ;    FD1S3DX hq9361a (.D(mg3b293), .CK(wjf77ad), .CD(zk5d4fd), .Q(rv19ac2))             ;    FD1S3DX do96f47 (.D(by47652), .CK(wjf77ad), .CD(zk5d4fd), .Q(vvcd616))             ;    FD1S3DX vida519 (.D(vvd25b6), .CK(wjf77ad), .CD(zk5d4fd), .Q(rg585b6))             ;    FD1S3DX xw57e3a (.D(rt5a4b6), .CK(wjf77ad), .CD(zk5d4fd), .Q(zxc2db3))             ;    FD1S3DX cb9fbdd (.D(hoc033b), .CK(wjf77ad), .CD(zk5d4fd), .Q(ymb6cf7))             ;    FD1S3DX ay6d1b4 (.D(ps58067), .CK(wjf77ad), .CD(zk5d4fd), .Q(ieb67b8))             ;    FD1S3DX kf8125 (.D(mec1564), .CK(wjf77ad), .CD(zk5d4fd), .Q(jr9ee08))             ;    FD1S3DX kq67614 (.D(pfe6d6c), .CK(wjf77ad), .CD(zk5d4fd), .Q(mrf7047))             ;    FD1S3DX ls1f9f (.D(zmad9e7), .CK(wjf77ad), .CD(zk5d4fd), .Q(tuee7bc))             ;    FD1S3BX rtd8fa3 (.D(mg3e8f6), .CK(wjf77ad), .PD(zk5d4fd), .Q(wjf8aab))             ;    FD1S3DX vvf608b (.D(ec822fe), .CK(uv5eef5), .CD(icf5b61), .Q(jra069c))             ;    FD1S3BX jcfe2f7 (.D(jr8bdda), .CK(wjf77ad), .PD(zk5d4fd), .Q(hb507f7))             ;    FD1S3DX vidad6d (.D(vxb5b5d), .CK(uv5eef5), .CD(icf5b61), .Q(ri83fbf))             ;    FADD2B me530f4 (.A0(hd946ae), .A1(ba1ecef), .B0(hd946ae), .B1(ba1ecef),        .CI(hd946ae), .COUT(je18d7), .S0(), .S1());    CU2 xw5de8f (.CI(je18d7), .PC0(go53f58), .PC1(kf9fac1), .CO(cb8f428),        .NC0(gb44fd5), .NC1(zx7b094));    CU2 oh8516a (.CI(cb8f428), .PC0(icc1b13), .PC1(tj13358), .CO(kd6a9bf), .NC0(qgffb9a),        .NC1(hq2a714));    CU2 hd37f61 (.CI(kd6a9bf), .PC0(jc58cb6), .PC1(ymb6573), .CO(dz61daf), .NC0(db8bad3),        .NC1(kf9ad77));    CU2 ntb5f92 (.CI(dz61daf), .PC0(xw732a4), .PC1(oha46d4), .CO(mg92ffd), .NC0(mr6fa1c),        .NC1(dz4518e));    CU2 osffa4e (.CI(mg92ffd), .PC0(god4b13), .PC1(hd131a8), .CO(kd4e139), .NC0(cbb1391),        .NC1(ir1d393));    CU2 jr2736f (.CI(kd4e139), .PC0(baa8c22), .PC1(hd946ae), .CO(uv6fd78),        .NC0(al752c3), .NC1());    FADD2B ng30277 (.A0(hd946ae), .A1(ba1ecef), .B0(hd946ae), .B1(ba1ecef),        .CI(hd946ae), .COUT(kdd6f0e), .S0(), .S1());    CU2 qgf5e2e (.CI(kdd6f0e), .PC0(rv8448b), .PC1(jr2245e), .CO(qi2e38b),        .NC0(ph133cc), .NC1(ba93757));    CU2 rt7163f (.CI(qi2e38b), .PC0(gb5ed3e), .PC1(co3ebab), .CO(ri3fdd6),        .NC0(tjbf2b7), .NC1(czf22b3));    CU2 phbac95 (.CI(ri3fdd6), .PC0(irab06c), .PC1(lq6c8cd), .CO(ep95d19),        .NC0(lq7c001), .NC1(ym8808d));    CU2 qia332e (.CI(ep95d19), .PC0(ldcd5e6), .PC1(rte6d59), .CO(ym2e1ac),        .NC0(tw35400), .NC1(pub7827));    CU2 tw3582a (.CI(ym2e1ac), .PC0(qg59f34), .PC1(mg3409f), .CO(pu2a660),        .NC0(qv8079b), .NC1(zz32fe6));    CU2 yxcc082 (.CI(pu2a660), .PC0(an9f1ef), .PC1(hd946ae), .CO(ym82969),        .NC0(nt8efed), .NC1());    FSUB2B vxa5a42 (.A0(ba1ecef), .A1(go53f58), .B0(hd946ae), .B1(of65b65),        .BI(hd946ae), .BOUT(bab7697), .S0(), .S1(zkda5dd));    FSUB2B hbd2eea (.A0(kf9fac1), .A1(icc1b13), .B0(fcbd6b), .B1(wlbeb5b),        .BI(bab7697), .BOUT(fcb89ca), .S0(mtbb4bb), .S1(lf27299));    FSUB2B cb394ce (.A0(tj13358), .A1(jc58cb6), .B0(xwc0665), .B1(fa57824),        .BI(fcb89ca), .BOUT(aaa3086), .S0(uic4e53), .S1(hbc2185));    FSUB2B ie10c2f (.A0(ymb6573), .A1(xw732a4), .B0(iea456), .B1(wwd4d46),        .BI(aaa3086), .BOUT(ofc3750), .S0(sj18430), .S1(thdd42b));    FSUB2B meea15f (.A0(oha46d4), .A1(god4b13), .B0(yz269f4),        .B1(nr55112), .BI(ofc3750), .BOUT(czc6875), .S0(ng1ba85), .S1(yza1d60));    FSUB2B baeb04 (.A0(hd131a8), .A1(gb65982), .B0(os5c36f), .B1(hd946ae),        .BI(czc6875), .BOUT(ui4cc84), .S0(qi343ac), .S1(ym15317));    FADD2B icd45c5 (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(ui4cc84), .COUT(), .S0(an29990), .S1());    VHI wya98b9 (.Z(ba1ecef));    FSUB2B me62e40 (.A0(ba1ecef), .A1(ep3c4d2), .B0(hd946ae), .B1(rv8448b),        .BI(hd946ae), .BOUT(xlc1b3), .S0(), .S1(fp6cf4));    FSUB2B qv367a6 (.A0(dm5d28e), .A1(qi981da), .B0(jr2245e), .B1(gb5ed3e),        .BI(xlc1b3), .BOUT(ria8eca), .S0(ip60d9e), .S1(mg3b293));    FSUB2B ald9499 (.A0(dm661f6), .A1(wlaea9b), .B0(co3ebab), .B1(irab06c),        .BI(ria8eca), .BOUT(jp6b496), .S0(by47652), .S1(vvd25b6));    FSUB2B uk92db6 (.A0(nta586c), .A1(xwcff6d), .B0(lq6c8cd), .B1(ldcd5e6),        .BI(jp6b496),
+ .BOUT(rv8b00c), .S0(rt5a4b6), .S1(hoc033b));    FSUB2B fc19da (.A0(yk772c1), .A1(vv55280), .B0(rte6d59),        .B1(qg59f34), .BI(rv8b00c), .BOUT(yz9f055), .S0(ps58067), .S1(mec1564));    FSUB2B swab20 (.A0(ou1abb8), .A1(ou82912), .B0(mg3409f), .B1(hd946ae),        .BI(yz9f055), .BOUT(hq8c8df), .S0(pfe6d6c), .S1(zmad9e7));    FADD2B epb4ced (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(hq8c8df), .COUT(), .S0(hb7191b), .S1());    FADD2B aa5bd (.A0(hd946ae), .A1(yxe7e2a), .B0(hd946ae),        .B1(yxe7e2a), .CI(hd946ae), .COUT(wj44e94), .S0(), .S1());    AGEB2 ri30ecf (.A0(rv8448b), .A1(jr2245e), .B0(ep3c4d2), .B1(dm5d28e),        .CI(wj44e94), .GE(wjd931f));    AGEB2 mrc98fd (.A0(gb5ed3e), .A1(co3ebab), .B0(qi981da), .B1(dm661f6),        .CI(wjd931f), .GE(go6866d));    AGEB2 ui43369 (.A0(irab06c), .A1(lq6c8cd), .B0(wlaea9b), .B1(nta586c),        .CI(go6866d), .GE(ip4ee59));    AGEB2 qg772cc (.A0(ldcd5e6), .A1(rte6d59), .B0(xwcff6d), .B1(yk772c1),        .CI(ip4ee59), .GE(cb8227));    AGEB2 wj4113c (.A0(qg59f34), .A1(mg3409f), .B0(vv55280), .B1(ou1abb8),        .CI(cb8227), .GE(zm25bea));    AGEB2 lf2df52 (.A0(ks15d28), .A1(hd946ae), .B0(je2fff9),        .B1(hd946ae), .CI(zm25bea), .GE(lfb501b));    FADD2B jea80de (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(lfb501b), .COUT(), .S0(mg3e8f6), .S1());    FADD2B ic67b2e (.A0(hd946ae), .A1(ng9681a), .B0(hd946ae), .B1(ng9681a),        .CI(hd946ae), .COUT(mt8fd07), .S0(), .S1());    AGEB2 ipf7637 (.A0(go53f58), .A1(kf9fac1), .B0(of65b65), .B1(fcbd6b),        .CI(mt8fd07), .GE(wjedd33));    AGEB2 rt6e99c (.A0(icc1b13), .A1(tj13358), .B0(wlbeb5b), .B1(xwc0665),        .CI(wjedd33), .GE(je3f115));    AGEB2 czf88ab (.A0(jc58cb6), .A1(ymb6573), .B0(fa57824), .B1(iea456),        .CI(je3f115), .GE(cze8e6b));    AGEB2 lq4735c (.A0(xw732a4), .A1(oha46d4), .B0(wwd4d46), .B1(yz269f4),        .CI(cze8e6b), .GE(kf1ddcf));    AGEB2 pseee7c (.A0(god4b13), .A1(hd131a8), .B0(nr55112), .B1(os5c36f),        .CI(kf1ddcf), .GE(vx19296));    AGEB2 enc94b7 (.A0(yke0381), .A1(hd946ae), .B0(of5b9b5),        .B1(hd946ae), .CI(vx19296), .GE(ofe76d1));    FADD2B ym3b68c (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(ofe76d1), .COUT(), .S0(ec822fe), .S1());    FADD2B shed6e6 (.A0(hd946ae), .A1(yxe7e2a), .B0(hd946ae), .B1(yxe7e2a),        .CI(hd946ae), .COUT(mtaeb3b), .S0(), .S1());    AGEB2 ho420bc (.A0(kq6d84a[0]), .A1(kq6d84a[1]), .B0(ba898cd),        .B1(qg4c66b), .CI(mtaeb3b), .GE(db19146));    AGEB2 rtc8a37 (.A0(kq6d84a[2]), .A1(kq6d84a[3]), .B0(rv19ac2),        .B1(vvcd616), .CI(db19146), .GE(nefec36));    AGEB2 fnf61b2 (.A0(kq6d84a[4]), .A1(kq6d84a[5]), .B0(rg585b6),        .B1(zxc2db3), .CI(nefec36), .GE(fpbf551));    AGEB2 uifaa8f (.A0(kq6d84a[6]), .A1(kq6d84a[7]), .B0(ymb6cf7),        .B1(ieb67b8), .CI(fpbf551), .GE(shc3d28));    AGEB2 pu1e944 (.A0(kq6d84a[8]), .A1(kq6d84a[9]), .B0(jr9ee08),        .B1(mrf7047), .CI(shc3d28), .GE(fc1bfa4));    AGEB2 fadfd23 (.A0(hbd2363), .A1(hd946ae), .B0(ui679d2), .B1(hd946ae),        .CI(fc1bfa4), .GE(vida762));    FADD2B ald3b12 (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(vida762), .COUT(), .S0(jr8bdda), .S1());    FADD2B qg72dd6 (.A0(hd946ae), .A1(ng9681a), .B0(hd946ae), .B1(ng9681a),        .CI(hd946ae), .COUT(tw837a6), .S0(), .S1());    AGEB2 vi4716a (.A0(kf85586), .A1(do2ac34), .B0(xw6c250[0]),        .B1(xw6c250[1]), .CI(tw837a6), .GE(ep9f7e1));    AGEB2 tufbf0c (.A0(fpb0d18), .A1(ri868c7), .B0(xw6c250[2]),        .B1(xw6c250[3]), .CI(ep9f7e1), .GE(tj13cb0));    AGEB2 lf9e587 (.A0(uka31d0), .A1(wl18e80), .B0(xw6c250[4]),        .B1(xw6c250[5]), .CI(tj13cb0), .GE(nef45c0));    AGEB2 zma2e02 (.A0(zm3a027), .A1(zkd013b), .B0(xw6c250[6]),        .B1(xw6c250[7]), .CI(nef45c0), .GE(vk9c4af));    AGEB2 ene257b (.A0(ba4edd), .A1(ph276eb), .B0(xw6c250[8]),        .B1(xw6c250[9]), .CI(vk9c4af), .GE(wwe59b4));    AGEB2 mt2cda0 (.A0(cmdbaf1), .A1(hd946ae), .B0(hd946ae),        .B1(hd946ae), .CI(wwe59b4), .GE(qv23932));    VLO vx1c995 (.Z(hd946ae));    FADD2B kf26572 (.A0(hd946ae), .A1(hd946ae), .B0(hd946ae), .B1(hd946ae),        .CI(qv23932), .COUT(), .S0(vxb5b5d), .S1());    assign vx941f = kd4babb;    assign th4a0fe = ho5d5dc;
+                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                    
+always@* begin ng14a7f<={wlabdde>>1,jea8e7a[0]};lfa53fa<=jea8e7a[1];gd29fd7<=jea8e7a[2];th4febc<=jea8e7a[3];cz7f5e6<=jea8e7a[4];wwfaf35<=jea8e7a[5];zxd79a8<=jea8e7a[6];ecbcd47<={kq6d84a>>1,jea8e7a[7]};pfe6a39<={xw6c250>>1,jea8e7a[8]};qgf59ea<=jea8e7a[9];aaacf51<=jea8e7a[10];ui67a88<=jea8e7a[11];bn3d441<=jea8e7a[12];kqea20e<=jea8e7a[13];yx51070<=jea8e7a[14];wy88385<=jea8e7a[15];al41c28<=jea8e7a[16];fce145<=jea8e7a[17];yx70a2b<=jea8e7a[18];oh8515d<=jea8e7a[19];lf28aec<=jea8e7a[20];hb45766<=jea8e7a[21];ec2bb36<=jea8e7a[22];fa5d9b1<=jea8e7a[23];dzecd8a<=jea8e7a[24];tu66c56<=jea8e7a[25];sj362b3<=jea8e7a[26];sjb159d<=jea8e7a[27];oh8acec<=jea8e7a[28];me56764<=jea8e7a[29];aab3b20<=jea8e7a[30];ym9d900<=jea8e7a[31];zxec800<=jea8e7a[32];pf64006<=jea8e7a[33];ls20037<=jea8e7a[34];ec1bb<=jea8e7a[35];gqdd8<=jea8e7a[36];db6ec1<=jea8e7a[37];bn3760e<=jea8e7a[38];mtbb077<=jea8e7a[39];bld83bd<=jea8e7a[40];ykc1def<=jea8e7a[41];puef79<=jea8e7a[42];ui77bca<=jea8e7a[43];dobde50<=jea8e7a[44];eaef283<=jea8e7a[45];by79418<=jea8e7a[46];lqca0c2<=jea8e7a[47];vv50613<=jea8e7a[48];zm8309f<=jea8e7a[49];yz184fb<=jea8e7a[50];dzc27dd<=jea8e7a[51];fp13eef<=jea8e7a[52];cb9f77f<=jea8e7a[53];dmfbbfc<=jea8e7a[54];dzddfe2<=jea8e7a[55];kqeff16<=jea8e7a[56];cz7f8b1<=jea8e7a[57];vvfc58c<=jea8e7a[58];qte2c66<=jea8e7a[59];vx16335<=jea8e7a[60];wlb19ae<=jea8e7a[61];xy8cd75<=jea8e7a[62];lq66bab<=jea8e7a[63];fc35d5b<=jea8e7a[64];sjaeadb<=jea8e7a[65];of756dd<=jea8e7a[66];irab6ec<=jea8e7a[67];ip5b764<=jea8e7a[68];ykdbb24<=jea8e7a[69];dzdd921<=jea8e7a[70];nrec90e<=jea8e7a[71];xj64876<=jea8e7a[72];sw243b6<=jea8e7a[73];ie21db1<=jea8e7a[74];doed88<=jea8e7a[75];dm76c42<=jea8e7a[76];wyb6212<=jea8e7a[77];yzb1091<=jea8e7a[78];ie8848c<=jea8e7a[79];rg42460<=jea8e7a[80];mt12303<=jea8e7a[81];db9181c<=jea8e7a[82];hq8c0e6<=jea8e7a[83];kd60732<=jea8e7a[84];zz3995<=jea8e7a[85];vx1ccae<=jea8e7a[86];ble6576<=jea8e7a[87];bn32bb6<=jea8e7a[88];ir95db6<=jea8e7a[89];wlaedb4<=jea8e7a[90];tu76da5<=jea8e7a[91];ukb6d2a<=jea8e7a[92];cob6950<=jea8e7a[93];qvb4a80<=jea8e7a[94];dba5405<=jea8e7a[95];tw2a02f<=jea8e7a[96];th5017a<=jea8e7a[97];kf80bd7<=jea8e7a[98];gq5ebd<=jea8e7a[99];ba2f5e9<=jea8e7a[100];en7af4a<=jea8e7a[101];ldd7a54<=jea8e7a[102];xybd2a7<=jea8e7a[103];hbe953a<=jea8e7a[104];fn4a9d7<=jea8e7a[105];uv54ebe<=jea8e7a[106];nga75f4<=jea8e7a[107];ri3afa2<=jea8e7a[108];ldd7d12<=jea8e7a[109];yzbe897<=jea8e7a[110];nef44bc<=jea8e7a[111];zma25e2<=jea8e7a[112];kf12f12<=jea8e7a[113];ou97895<=jea8e7a[114];ukbc4a9<=jea8e7a[115];ipe2548<=jea8e7a[116];ep12a44<=jea8e7a[117];ux95225<=jea8e7a[118];qia912d<=jea8e7a[119];xw48969<=jea8e7a[120];mr44b4b<=jea8e7a[121];xy25a5d<=jea8e7a[122];vx2d2ea<=jea8e7a[123];lq69757<=jea8e7a[124];kd4babb<=jea8e7a[125];ho5d5dc<=jea8e7a[126];uieaee3<=jea8e7a[127];dm57719<=jea8e7a[128];irbb8ca<=jea8e7a[129];qtdc654<=jea8e7a[130];rte32a5<=jea8e7a[131];zz1952e<=jea8e7a[132];jcca977<=jea8e7a[133];al54bba<=jea8e7a[134];lfa5dd4<=jea8e7a[135];aa2eea6<=jea8e7a[136];yk77531<=jea8e7a[137];phba989<=jea8e7a[138];sud4c4e<=jea8e7a[139];wya6270<=jea8e7a[140];ks31380<=jea8e7a[141];ba89c00<=jea8e7a[142];sh4e003<=jea8e7a[143];yx7001d<=jea8e7a[144];kf800ec<=jea8e7a[145];co764<=jea8e7a[146];tj3b20<=jea8e7a[147];yz1d906<=jea8e7a[148];zxec834<=jea8e7a[149];pf641a6<=jea8e7a[150];ls20d37<=jea8e7a[151];db69ba<=jea8e7a[152];ym34dd6<=jea8e7a[153];mga6eb1<=jea8e7a[154];ph37589<=jea8e7a[155];vxbac4f<=jea8e7a[156];qtd627e<=jea8e7a[157];irb13f4<=jea8e7a[158];ls89fa4<=jea8e7a[159];vv4fd23<=jea8e7a[160];th7e91e<=jea8e7a[161];zkf48f6<=jea8e7a[162];wla47b3<=jea8e7a[163];qv23d9d<=jea8e7a[164];tw1eced<=jea8e7a[165];pff676b<=jea8e7a[166];swb3b5f<=jea8e7a[167];mg9daf8<=jea8e7a[168];gbed7c0<=jea8e7a[169];xj6be05<=jea8e7a[170];dz5f02f<=jea8e7a[171];mrf817e<=jea8e7a[172];vic0bf4<=jea8e7a[173];qi5fa3<=jea8e7a[174];do2fd19<=jea8e7a[175];zx7e8cb<=jea8e7a[176];vif465e<=jea8e7a[177];ksa32f2<=jea8e7a[178];vx19790<=jea8e7a[179];cmcbc87<=jea8e7a[180];wj5e438<=jea8e7a[181];ipf21c4<=jea8e7a[182];qv90e25<=jea8e7a[183];gq8712c<=jea8e7a[184];db38961<=jea8e7a[185];eac4b0f<=jea8e7a[186];ls25879<=jea8e7a[187];cb2c3ca<=jea8e7a[188];rt61e55<=jea8e7a[189];wyf2ae<=jea8e7a[190];dm79571<=jea8e7a[191];bycab8a<=jea8e7a[192];pf55c52<=jea8e7a[193];anae296<=jea8e7a[194];xj714b4<=jea8e7a[195];cb8a5a6<=jea8e7a[196];zk52d35<=jea8e7a[197];fc969ab<=jea8e7a[198];cbb4d5b<=jea8e7a[199];swa6add<=jea8e7a[200];je356e9<=jea8e7a[201];uxab74a<=jea8e7a[202];al5ba54<=jea8e7a[203];thdd2a5<=jea8e7a[204];pfe952f<=jea8e7a[205];rt4a97f<=jea8e7a[206];mr54bfe<=jea8e7a[207];dba5ff4<=jea8e7a[208];pu2ffa6<=jea8e7a[209];qt7fd33<=jea8e7a[210];byfe99c<=jea8e7a[211];lqf4ce2<=jea8e7a[212];mga6713<=jea8e7a[213];kf33898<=jea8e7a[214];ba9c4c4<=jea8e7a[215];ale2623<=jea8e7a[216];tj1311c<=jea8e7a[217];ec988e6<=jea8e7a[218];suc4730<=jea8e7a[219];qv23980<=jea8e7a[220];vx1cc05<=jea8e7a[221];ble602e<=jea8e7a[222];zm30176<=jea8e7a[223];sj80bb2<=jea8e7a[224];sw5d95<=jea8e7a[225];sw2eca9<=jea8e7a[226];ne76549<=jea8e7a[227];dob2a4b<=jea8e7a[228];yz9525a<=jea8e7a[229];wya92d5<=jea8e7a[230];su496a9<=jea8e7a[231];uv4b548<=jea8e7a[232];pf5aa45<=jea8e7a[233];hod522f<=jea8e7a[234];qia917b<=jea8e7a[235];xw48bd9<=jea8e7a[236];nr45ecb<=jea8e7a[237];fc2f65f<=jea8e7a[238];ld7b2fa<=jea8e7a[239];shd97d7<=jea8e7a[240];eacbebd<=jea8e7a[241];nr5f5e8<=
+jea8e7a[242];mefaf46<=jea8e7a[243];xjd7a30<=jea8e7a[244];pubd187<=jea8e7a[245];uve8c3a<=jea8e7a[246];yk461d4<=jea8e7a[247];pu30ea1<=jea8e7a[248];sw8750b<=jea8e7a[249];tw3a859<=jea8e7a[250];ykd42ca<=jea8e7a[251];yza1651<=jea8e7a[252];fcb28d<=jea8e7a[253];ww5946b<=jea8e7a[254];lqca359<=jea8e7a[255];uv51acb<=jea8e7a[256];mg8d65c<=jea8e7a[257];zx6b2e0<=jea8e7a[258];me59706<=jea8e7a[259];iccb831<=jea8e7a[260];qg5c188<=jea8e7a[261];ose0c41<=jea8e7a[262];bn6208<=jea8e7a[263];mg31047<=jea8e7a[264];qi88238<=jea8e7a[265];en411c0<=jea8e7a[266];ir8e04<=jea8e7a[267];vi47025<=jea8e7a[268];tj3812b<=jea8e7a[269];zkc095e<=jea8e7a[270];xy4af3<=jea8e7a[271];hq2579b<=jea8e7a[272];ym2bcdb<=jea8e7a[273];kd5e6d9<=jea8e7a[274];rtf36cc<=jea8e7a[275];lf9b667<=jea8e7a[276];wwdb33e<=jea8e7a[277];ipd99f0<=jea8e7a[278];alccf84<=jea8e7a[279];ic67c25<=jea8e7a[280];gq3e129<=jea8e7a[281];cmf0949<=jea8e7a[282];vx84a49<=jea8e7a[283];ri2524f<=jea8e7a[284];cb2927b<=jea8e7a[285];os493dd<=jea8e7a[286];me49ee8<=jea8e7a[287];dz4f741<=jea8e7a[288];jp7ba0f<=jea8e7a[289];zxdd07e<=jea8e7a[290];kde83f7<=jea8e7a[291];cz41fbd<=jea8e7a[292];ukfded<=jea8e7a[293];ld7ef68<=jea8e7a[294];off7b46<=jea8e7a[295];hqbda34<=jea8e7a[296];mred1a3<=jea8e7a[297];qg68d1d<=jea8e7a[298];su468e8<=jea8e7a[299];ou34740<=jea8e7a[300];gqa3a00<=jea8e7a[301];sj1d001<=jea8e7a[302];gbe800d<=jea8e7a[303];xj4006d<=jea8e7a[304];co36e<=jea8e7a[305];ri1b70<=jea8e7a[306];end
+always@* begin ls351cf[2047]<=uv5eef5;ls351cf[2046]<=wjf77ad;ls351cf[2044]<=yzbbd6d;ls351cf[2043]<=ui4cc84;ls351cf[2040]<=medeb6c;ls351cf[2038]<=fp6cf4;ls351cf[2032]<=icf5b61;ls351cf[2029]<=ba1ecef;ls351cf[2017]<=puadb09;ls351cf[2013]<=gbc9d60;ls351cf[2010]<=ip60d9e;ls351cf[2003]<=vida762;ls351cf[1990]<=cb8f428;ls351cf[1987]<=kq6d84a[0];ls351cf[1982]<=mrf7047;ls351cf[1980]<=faf17e3;ls351cf[1979]<=pha52c3;ls351cf[1973]<=mg3b293;ls351cf[1963]<=xl3288f;ls351cf[1958]<=ng9681a;ls351cf[1957]<=gb659f7;ls351cf[1953]<=wlbeb5b;ls351cf[1942]<=wy1adf6;ls351cf[1932]<=db8bad3;ls351cf[1929]<=mtbb4bb;ls351cf[1926]<=xw6c250[0];ls351cf[1923]<=ep95d19;ls351cf[1921]<=hda5898;ls351cf[1917]<=fc1bfa4;ls351cf[1914]<=kqe59b1;ls351cf[1913]<=ph8bf1a;ls351cf[1911]<=qv2ca61;ls351cf[1903]<=qt6af36;ls351cf[1898]<=xlc1b3;ls351cf[1879]<=db9447e;ls351cf[1876]<=hoe153c;ls351cf[1872]<=godb92b;ls351cf[1868]<=tw837a6;ls351cf[1866]<=epa4d3e;ls351cf[1863]<=vx19296;ls351cf[1858]<=xwc0665;ls351cf[1837]<=mrd6fb1;ls351cf[1816]<=kf9ad77;ls351cf[1810]<=lf27299;ls351cf[1805]<=fcb2d03;ls351cf[1802]<=qg4c66b;ls351cf[1799]<=qv8079b;ls351cf[1795]<=mg98d46;ls351cf[1786]<=ui679d2;ls351cf[1783]<=off8f7c;ls351cf[1780]<=jpd2934;ls351cf[1778]<=xj5f8d6;ls351cf[1775]<=mt2961f;ls351cf[1770]<=ks5795;ls351cf[1758]<=cb36acf;ls351cf[1748]<=by47652;ls351cf[1710]<=lsa23f7;ls351cf[1704]<=bn9058;ls351cf[1701]<=ou1abb8;ls351cf[1699]<=rt5a4b6;ls351cf[1696]<=ea62604;ls351cf[1689]<=kf85586;ls351cf[1685]<=lqe4221;ls351cf[1679]<=uvfd60d;ls351cf[1678]<=of5b9b5;ls351cf[1668]<=icc1b13;ls351cf[1627]<=fcb7d8d;ls351cf[1624]<=ou82912;ls351cf[1605]<=uka31d0;ls351cf[1589]<=yk772c1;ls351cf[1584]<=kd6a9bf;ls351cf[1573]<=bab7697;ls351cf[1572]<=rv8448b;ls351cf[1567]<=aa24809;ls351cf[1562]<=ls9cfc5;ls351cf[1557]<=ba93757;ls351cf[1556]<=db19146;ls351cf[1551]<=zz32fe6;ls351cf[1548]<=ir1d393;ls351cf[1543]<=cm46112;ls351cf[1524]<=hbd2363;ls351cf[1521]<=qgffb9a;ls351cf[1519]<=al7fc5f;ls351cf[1513]<=ip44761;ls351cf[1512]<=kf9fac1;ls351cf[1509]<=vvfc6b7;ls351cf[1504]<=tw35400;ls351cf[1502]<=ip65837;ls351cf[1499]<=ho58364;ls351cf[1493]<=wjcc527;ls351cf[1492]<=tjc95d;ls351cf[1469]<=lqcf9a0;ls351cf[1466]<=ep23a0c;ls351cf[1448]<=vvd25b6;ls351cf[1421]<=ip4ee59;ls351cf[1417]<=ep3c4d2;ls351cf[1415]<=wjf8778;ls351cf[1404]<=zx7b094;ls351cf[1402]<=fcbd6b;ls351cf[1400]<=ym8808d;ls351cf[1398]<=pff69f5;ls351cf[1377]<=lf946e3;ls351cf[1374]<=mt8fd07;ls351cf[1373]<=ykff2e0;ls351cf[1361]<=gd188e6;ls351cf[1359]<=yza1d60;ls351cf[1355]<=of51cff;ls351cf[1354]<=qg59f34;ls351cf[1350]<=hoc033b;ls351cf[1349]<=pha0bb8;ls351cf[1344]<=co31697;ls351cf[1343]<=qi343ac;ls351cf[1341]<=fpbf551;ls351cf[1330]<=do2ac34;ls351cf[1326]<=sud174a;ls351cf[1323]<=tuee7bc;ls351cf[1322]<=zm25bea;ls351cf[1310]<=sjd899;ls351cf[1308]<=yke0381;ls351cf[1305]<=ps58067;ls351cf[1301]<=qi3125d;ls351cf[1289]<=tj13358;ls351cf[1286]<=wwe59b4;ls351cf[1280]<=tw354c3;ls351cf[1279]<=czc6875;ls351cf[1271]<=ieb67b8;ls351cf[1224]<=fpb0d18;ls351cf[1210]<=dob2607;ls351cf[1207]<=db22415;ls351cf[1201]<=hb7191b;ls351cf[1199]<=jra069c;ls351cf[1195]<=ks15d28;ls351cf[1193]<=thdd42b;ls351cf[1163]<=gb5ed3e;ls351cf[1162]<=wl18e80;ls351cf[1155]<=wwd4d46;ls351cf[1144]<=ipc65b2;ls351cf[1136]<=ofe76d1;ls351cf[1130]<=ldcd5e6;ls351cf[1125]<=rv8b00c;ls351cf[1120]<=mr6fa1c;ls351cf[1112]<=wlaea9b;ls351cf[1110]<=fa4211d;ls351cf[1104]<=zkd013b;ls351cf[1098]<=uic4e53;ls351cf[1096]<=jr2245e;ls351cf[1086]<=xy2afad;ls351cf[1076]<=ykff9d5;ls351cf[1067]<=kdd6f0e;ls351cf[1065]<=rv19ac2;ls351cf[1060]<=fa57824;ls351cf[1054]<=ym2e1ac;ls351cf[1053]<=oha46d4;ls351cf[1051]<=vxb5b5d;ls351cf[1048]<=mg92ffd;ls351cf[1039]<=meef7c0;ls351cf[1026]<=gqbc45e;ls351cf[1023]<=wlabdde[0];ls351cf[1021]<=an29990;ls351cf[1006]<=lqf4a58;ls351cf[1001]<=jr8bdda;ls351cf[995]<=hq2a714;ls351cf[991]<=jr9ee08;ls351cf[990]<=dmfe2fc;ls351cf[981]<=ph6511;ls351cf[978]<=jr949a7;ls351cf[976]<=wjedd33;ls351cf[971]<=rte35be;ls351cf[964]<=zkda5dd;ls351cf[961]<=pub7827;ls351cf[960]<=ep236a5;ls351cf[957]<=wl9a526;ls351cf[951]<=hb6466a;ls351cf[938]<=qgc120b;ls351cf[936]<=thf328f;ls351cf[931]<=hd131a8;ls351cf[901]<=ba898cd;ls351cf[891]<=tja04f8;ls351cf[885]<=os6dbe6;ls351cf[850]<=vv55280;ls351cf[849]<=ria8eca;ls351cf[839]<=yz29b02;ls351cf[812]<=yz9f055;ls351cf[802]<=tj13cb0;ls351cf[794]<=xwcff6d;ls351cf[786]<=dm5d28e;ls351cf[783]<=wjdc2f5;ls351cf[778]<=ph133cc;ls351cf[774]<=cbb1391;ls351cf[760]<=je18d7;ls351cf[756]<=go53f58;ls351cf[752]<=ri3fdd6;ls351cf[749]<=suf5d58;ls351cf[746]<=ne58241;ls351cf[733]<=gq82e31;ls351cf[710]<=lq6c8cd;ls351cf[708]<=wj44e94;ls351cf[707]<=hqad6a9;ls351cf[702]<=gb44fd5;ls351cf[701]<=of65b65;ls351cf[700]<=lq7c001;ls351cf[699]<=mt122f6;ls351cf[688]<=aa2ee58;ls351cf[687]<=lfb501b;ls351cf[679]<=ng1ba85;ls351cf[677]<=ld61cba;ls351cf[674]<=ne482c6;ls351cf[671]<=ofc3750;ls351cf[670]<=zxc2db3;ls351cf[663]<=ipfd144;ls351cf[661]<=mg3409f;ls351cf[652]<=jp6b496;ls351cf[650]<=ayef977;ls351cf[643]<=ph276eb;ls351cf[640]<=ou3ee1e;ls351cf[639]<=ym15317;ls351cf[635]<=ymb6cf7;ls351cf[612]<=ep9f7e1;ls351cf[605]<=ks24da8;ls351cf[599]<=wjf8aab;ls351cf[597]<=je2fff9;ls351cf[596]<=sj18430;ls351cf[581]<=dm661f6;ls351cf[577]<=cze8e6b;ls351cf[572]<=fc99ac6;ls351cf[568]<=ec822fe;ls351cf[562]<=mec1564;ls351cf[556]<=go6866d;ls351cf[555]<=zk7cbb9;ls351cf[552]<=zm3a027;ls351cf[530]<=je3f115;ls351cf[526]<=xw732a4;ls351cf[525]<=cmdbaf1;ls351cf[513]<=jrb034c;ls351cf[510]<=gb65982;ls351cf[503]<=swa3f17;ls351cf[495]<=shc3d28;ls351cf[490]<=ouca2;ls351cf[482]<=pu2a660;ls351cf[480]<=fc99523;ls351cf[465]<=god4b13;ls351cf[450]<=mtaeb3b;ls351cf[425]<=cb8227;ls351cf[419]<=sh4b0fd;ls351cf[406]<=zmad9e7;ls351cf[401]<=ri868c7;ls351cf[389]<=kd4e139;ls351cf[387]<=dz61daf;ls351cf[373]<=sw599f;ls351cf[366]<=pu3a9c4;ls351cf[355]<=irab06c;ls351cf[354]<=hq8c8df;ls351cf[351]<=zk5d4fd;ls351cf[350]<=qi2e38b;ls351cf[344]<=by58d6a;ls351cf[343]<=mg3e8f6;ls351cf[339]<=aaa3086;ls351cf[338]<=qgcb6ee;ls351cf[335]<=rg585b6;ls351cf[321]<=ba4edd;ls351cf[298]<=fcb89ca;ls351cf[290]<=qi981da;ls351cf[288]<=ymb6573;ls351cf[278]<=co3ebab;ls351cf[276]<=nef45c0;ls351cf[263]<=yz269f4;ls351cf[251]<=ou3e94b;ls351cf[245]<=fac0194;ls351cf[241]<=an9f1ef;ls351cf[240]<=ngb2b99;ls351cf[232]<=os5c36f;ls351cf[225]<=yxe7e2a;ls351cf[212]<=rte6d59;ls351cf[209]<=uka97bb;ls351cf[203]<=pfe6d6c;ls351cf[194]<=baa8c22;ls351cf[193]<=dz4518e;ls351cf[177]<=nta586c;ls351cf[175]<=czf22b3;ls351cf[172]<=yke5dcb;ls351cf[169]<=vv6ee2f;ls351cf[167]<=nefec36;ls351cf[160]<=vk9c4af;ls351cf[149]<=hbc2185;ls351cf[145]<=wjd931f;ls351cf[144]<=jc58cb6;ls351cf[125]<=yx416b2;ls351cf[122]<=kdf8032;ls351cf[120]<=ym82969;ls351cf[116]<=nr55112;ls351cf[109]<=hd946ae;ls351cf[104]<=gb41632;ls351cf[97]<=uv6fd78;ls351cf[87]<=tjbf2b7;ls351cf[84]<=sw5867;ls351cf[83]<=vvcd616;ls351cf[72]<=iea456;ls351cf[61]<=tudf006;ls351cf[60]<=nt8efed;
+ls351cf[58]<=kf1ddcf;ls351cf[54]<=qv23932;ls351cf[48]<=al752c3;ls351cf[42]<=me78221;ls351cf[30]<=pf7be00;ls351cf[21]<=qgcb534;ls351cf[10]<=ld5a8a4;ls351cf[5]<=qv23d0e;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module nr553d0 (rst_n,
+                  gbe_mode,
+                  al7a1c7,
+
+                  hod0e3d,
+                  force_isolate,
+
+                  zz38f51,
+                  blc7a8f,
+                  lf3d47e,
+
+                  meea3f6,
+                  of51fb0,
+                  sj8fd84,
+                  vv7ec24
+                 );
+input                rst_n;
+input                gbe_mode;
+input                al7a1c7;
+input  [1:0]         hod0e3d;
+input                force_isolate;
+input  [7:0]         zz38f51;
+input                blc7a8f;
+input                lf3d47e;
+input                meea3f6;
+output  [7:0]        of51fb0;
+output               sj8fd84;
+output               vv7ec24;
+reg  [7:0]           of51fb0;
+reg                  sj8fd84;
+reg                  vv7ec24;
+reg [1:0] ic59746;
+reg [1:0] cmcba31;
+reg [1:0] vi5d188;
+reg [1:0] ene8c43;
+reg [1:0] ay4621c;
+reg [1:0] ec310e1;
+reg ec88708;
+reg kq43840;
+reg fp1c200;
+reg fae1007;
+reg [7:0] kf803b;
+reg jp401dc;
+reg ukee6;
+reg [7:0] kf7731;
+reg gq3b98d;
+reg aldcc68;
+reg [7:0] pfe6344;
+reg gq31a26;
+reg ym8d131;
+reg os68988;
+wire en44c40;
+wire mt26205;
+wire [7:0] ie3102c;
+wire ks88160;
+reg jp40b00;
+reg ym5807;
+reg qv2c039;
+reg yx601cd;
+reg ece6d;
+reg mt7369;
+reg yz39b4d;
+reg thcda6d;
+reg [6:0] ea6d36f;
+reg fa69b79;
+reg jc4dbca;
+reg cm6de53;
+reg mr6f298;
+reg ne794c4;
+reg dmca622;
+reg go53113;
+reg ec98898;
+reg suc44c0;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+`endif\r
+reg rv22600;
+reg [1 : 0] zz13006;
+reg aa98036;
+reg [7 : 0] rgc01b1;
+reg gqd8a;
+reg db6c51;
+reg [1 : 0] an3628e;
+reg [1 : 0] epb1475;
+reg [1 : 0] gd8a3ac;
+reg [1 : 0] gb51d65;
+reg [1 : 0] hd8eb2c;
+reg [1 : 0] kd75966;
+reg ecacb35;
+reg cz659a8;
+reg ir2cd44;
+reg ho66a24;
+reg [7 : 0] zm35123;
+reg ria891a;
+reg cz448d2;
+reg [7 : 0] uk24695;
+reg an234a9;
+reg qi1a54c;
+reg [7 : 0] nrd2a60;
+reg oh95307;
+reg uka983d;
+reg yk4c1e8;
+reg qt60f40;
+reg qv7a04;
+reg [7 : 0] bn3d024;
+reg mre8126;
+reg th40935;
+reg ba49af;
+reg mg24d7b;
+reg kf26bd8;
+reg xy35ec5;
+reg hdaf62b;
+reg bl7b15e;
+reg rtd8af7;
+reg [6 : 0] tuc57be;
+reg wy2bdf2;
+reg uv5ef91;
+reg wjf7c8d;
+reg uxbe46c;
+reg mrf2365;
+reg xl91b2d;
+reg ks8d96e;
+reg lq6cb71;
+reg gb65b8a;
+reg [2047:0] ls351cf;
+wire [47:0] jea8e7a;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+localparam ps473d2 = 48,wl39e93 = 32'hfdffca8b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        qv2c039 <= 1'd1;        yx601cd <= 1'd1;        end     else begin              qv2c039 <= ba49af;      yx601cd <= mg24d7b;     end
+end
+
+
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ece6d <= 1'd1;  mt7369 <= 1'd1; end     else begin              ece6d <= cz659a8;       mt7369 <= xy35ec5;      end
+end
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ec98898 <= 1'b0;        suc44c0 <= 1'b0;        end     else begin      ec98898 <= aa98036;     suc44c0 <= lq6cb71;     end
+end
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp401dc <= 1'b0;        ukee6 <= 1'b0;  kf803b <= 8'd0; gq3b98d <= 1'b0;        aldcc68 <= 1'b0;        kf7731 <= 8'd0; gq31a26 <= 1'b0;        ym8d131 <= 1'b0;        pfe6344 <= 8'd0;        os68988 <= 1'b0;        end     else begin              if (gb65b8a) begin      kf803b <= 8'd0; ukee6 <= 1'b0;  jp401dc <= 1'b0;        end     else begin      kf803b <= rgc01b1;      ukee6 <= db6c51;        jp401dc <= gqd8a;       end             kf7731 <= zm35123;      aldcc68 <= cz448d2;     gq3b98d <= ria891a;
+               if (kf26bd8) begin      os68988 <= 1'b0;        end     else if (((cz448d2==1'd1)  && (qi1a54c==1'd0)) || (hdaf62b ==1'd1))     os68988 <= 1'b1;        else    os68988 <= (~yk4c1e8);          if (yk4c1e8) begin      pfe6344[3:0] <= uk24695[3:0];   ym8d131 <= qi1a54c;     gq31a26 <= an234a9;     if (hdaf62b) begin      pfe6344[7:4] <= uk24695[7:4];   end     else begin      pfe6344[7:4] <= zm35123[3:0];                   end     end     end
+end
+
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+       pmi_fifo_dc #(  .pmi_data_width_w(10),  .pmi_data_width_r(10),  .pmi_data_depth_w(8),  .pmi_data_depth_r(8),  .pmi_full_flag(8),  .pmi_empty_flag(0),  .pmi_almost_full_flag(3),  .pmi_almost_empty_flag(1),  .pmi_regmode("no_reg"),  .pmi_resetmode("async"),  .pmi_family("SC"),  .module_type("pmi_fifo_dc"),  .pmi_implementation("LUT")) wj4e6f9 (        .Reset(yx601cd),        .RPReset(yx601cd),      .WrClock(al7a1c7),      .WrEn(os68988), .Data({gq31a26, ym8d131, pfe6344}),
+       .RdClock(meea3f6),      .RdEn(jp40b00), .Q({en44c40, mt26205, ie3102c}),
+       .Empty(ks88160),        .Full(),        .AlmostEmpty(), .AlmostFull()   );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+       pmi_fifo_dc #(  .pmi_data_width_w(10),  .pmi_data_width_r(10),  .pmi_data_depth_w(8),  .pmi_data_depth_r(8),  .pmi_full_flag(8),  .pmi_empty_flag(0),  .pmi_almost_full_flag(3),  .pmi_almost_empty_flag(1),  .pmi_regmode("no_reg"),  .pmi_resetmode("async"),  .pmi_family("ECP2M"),  .module_type("pmi_fifo_dc"),  .pmi_implementation("LUT")) wj4e6f9 (     .Reset(yx601cd),        .RPReset(yx601cd),      .WrClock(al7a1c7),      .WrEn(os68988), .Data({gq31a26, ym8d131, pfe6344}),
+       .RdClock(meea3f6),      .RdEn(jp40b00), .Q({en44c40, mt26205, ie3102c}),
+       .Empty(ks88160),        .Full(),        .AlmostEmpty(), .AlmostFull()   );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+       pmi_fifo_dc #(  .pmi_data_width_w(10),  .pmi_data_width_r(10),  .pmi_data_depth_w(8),  .pmi_data_depth_r(8),  .pmi_full_flag(8),  .pmi_empty_flag(0),  .pmi_almost_full_flag(3),  .pmi_almost_empty_flag(1),  .pmi_regmode("no_reg"),  .pmi_resetmode("async"),  .pmi_family("ECP3"),  .module_type("pmi_fifo_dc"),  .pmi_implementation("LUT")) wj4e6f9 (      .Reset(yx601cd),        .RPReset(yx601cd),      .WrClock(al7a1c7),      .WrEn(os68988), .Data({gq31a26, ym8d131, pfe6344}),
+       .RdClock(meea3f6),      .RdEn(jp40b00), .Q({en44c40, mt26205, ie3102c}),
+       .Empty(ks88160),        .Full(),        .AlmostEmpty(), .AlmostFull()   );
+
+`endif\r
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jc4dbca <= 1'b0;        cm6de53 <= 1'b0;        mr6f298 <= 1'b0;        ne794c4 <= 1'b0;        dmca622 <= 1'b0;        go53113 <= 1'b0;        end     else begin              jc4dbca <= rv22600;     cm6de53 <= uv5ef91;
+               mr6f298 <= wjf7c8d;             ne794c4 <= wjf7c8d & (~uxbe46c);                dmca622 <= ~wjf7c8d & (uxbe46c);                go53113 <= mrf2365 || xl91b2d;  end
+end
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ic59746 <= 2'd0;        cmcba31 <= 2'd0;        vi5d188 <= 2'd0;        ene8c43 <= 2'd0;        ay4621c <= 2'd0;        ec310e1 <= 2'd0;        ec88708 <= 1'b0;        kq43840 <= 1'b0;        fp1c200 <= 1'b0;        fae1007 <= 1'b0;        end     else begin              ic59746 <= zz13006;             cmcba31 <= an3628e;     vi5d188 <= epb1475;     ene8c43 <= gd8a3ac;     if ((epb1475==gd8a3ac) && (epb1475==gb51d65))   ay4621c <= gb51d65;     ec310e1 <= hd8eb2c;             if (ks8d96e)    ec88708 <= 1'b1;        else if (hd8eb2c != kd75966)    ec88708 <= 1'b1;        else    ec88708 <= 1'b0;                if (uxbe46c) begin      kq43840    <=  1'b1;    fp1c200  <=  1'b0;      fae1007   <=  1'b0;     end     else begin      kq43840    <=   hd8eb2c[1];     fp1c200  <=  !hd8eb2c[1]  & ( hd8eb2c[0]);      fae1007   <=  !hd8eb2c[1]  & (!hd8eb2c[0]);     end
+               case (bl7b15e)  1'd0: begin     if (ecacb35) begin      ym5807 <= 1'b1; yz39b4d <= 1'd1;        end     else begin      ym5807 <= 1'b0; yz39b4d <= 1'd0;        end     end     1'd1: begin     ym5807 <= 1'b1; if (rtd8af7) begin      yz39b4d <= 1'd0;        end     else begin      yz39b4d <= 1'd1;        end     end     default: begin  yz39b4d <= 1'd0;        end     endcase
+       end
+end
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ea6d36f <= 7'd0;        fa69b79 <= 1'b0;        end     else begin              if (ecacb35 || wy2bdf2) begin   ea6d36f <= 7'd0;        end     else begin      ea6d36f <= tuc57be + 1; end
+               if (ecacb35) begin      fa69b79 <= 1'b0;        end     else if (ir2cd44) begin         if (tuc57be == 7'd8) begin      fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else if (ho66a24) begin         if (tuc57be == 7'd98) begin     fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else begin              fa69b79 <= 1'b1;        end     end
+end
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        thcda6d <= 1'b0;        end     else begin      if (ecacb35) begin      thcda6d <=  1'b0;       end     else if (ir2cd44) begin         if (tuc57be == 7'd3) begin      thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else if (ho66a24) begin         if (tuc57be == 7'd49) begin     thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else begin              thcda6d <=  1'b1;       end     end
+end
+
+
+
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp40b00 <= 1'b0;        end     else begin      if (ba49af) begin       jp40b00 <= 1'b0;        end     else if (!mre8126 && rtd8af7) begin     jp40b00 <= 1'b1;        end     else begin      jp40b00 <= 1'b0;        end     end
+end
+
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        of51fb0 <= 8'd0;        sj8fd84 <= 1'd0;        vv7ec24 <= 1'd0;        end     else begin      of51fb0 <= bn3d024;     sj8fd84 <= qt60f40;     vv7ec24 <= qv7a04;      end
+end
+
+always@* begin rv22600<=jea8e7a[0];zz13006<={hod0e3d>>1,jea8e7a[1]};aa98036<=jea8e7a[2];rgc01b1<={zz38f51>>1,jea8e7a[3]};gqd8a<=jea8e7a[4];db6c51<=jea8e7a[5];an3628e<={ic59746>>1,jea8e7a[6]};epb1475<={cmcba31>>1,jea8e7a[7]};gd8a3ac<={vi5d188>>1,jea8e7a[8]};gb51d65<={ene8c43>>1,jea8e7a[9]};hd8eb2c<={ay4621c>>1,jea8e7a[10]};kd75966<={ec310e1>>1,jea8e7a[11]};ecacb35<=jea8e7a[12];cz659a8<=jea8e7a[13];ir2cd44<=jea8e7a[14];ho66a24<=jea8e7a[15];zm35123<={kf803b>>1,jea8e7a[16]};ria891a<=jea8e7a[17];cz448d2<=jea8e7a[18];uk24695<={kf7731>>1,jea8e7a[19]};an234a9<=jea8e7a[20];qi1a54c<=jea8e7a[21];nrd2a60<={pfe6344>>1,jea8e7a[22]};oh95307<=jea8e7a[23];uka983d<=jea8e7a[24];yk4c1e8<=jea8e7a[25];qt60f40<=jea8e7a[26];qv7a04<=jea8e7a[27];bn3d024<={ie3102c>>1,jea8e7a[28]};mre8126<=jea8e7a[29];th40935<=jea8e7a[30];ba49af<=jea8e7a[31];mg24d7b<=jea8e7a[32];kf26bd8<=jea8e7a[33];xy35ec5<=jea8e7a[34];hdaf62b<=jea8e7a[35];bl7b15e<=jea8e7a[36];rtd8af7<=jea8e7a[37];tuc57be<={ea6d36f>>1,jea8e7a[38]};wy2bdf2<=jea8e7a[39];uv5ef91<=jea8e7a[40];wjf7c8d<=jea8e7a[41];uxbe46c<=jea8e7a[42];mrf2365<=jea8e7a[43];xl91b2d<=jea8e7a[44];ks8d96e<=jea8e7a[45];lq6cb71<=jea8e7a[46];gb65b8a<=jea8e7a[47];end
+always@* begin ls351cf[2047]<=hod0e3d[0];ls351cf[2046]<=force_isolate;ls351cf[2044]<=zz38f51[0];ls351cf[2040]<=blc7a8f;ls351cf[2032]<=lf3d47e;ls351cf[2017]<=ic59746[0];ls351cf[1987]<=cmcba31[0];ls351cf[1926]<=vi5d188[0];ls351cf[1805]<=mt7369;ls351cf[1804]<=ene8c43[0];ls351cf[1803]<=gq31a26;ls351cf[1761]<=qv2c039;ls351cf[1668]<=mr6f298;ls351cf[1562]<=yz39b4d;ls351cf[1560]<=ay4621c[0];ls351cf[1558]<=ym8d131;ls351cf[1550]<=kf803b[0];ls351cf[1475]<=yx601cd;ls351cf[1464]<=jp40b00;ls351cf[1288]<=ne794c4;ls351cf[1076]<=thcda6d;ls351cf[1072]<=ec310e1[0];ls351cf[1069]<=os68988;ls351cf[1056]<=go53113;ls351cf[1052]<=jp401dc;ls351cf[1023]<=gbe_mode;ls351cf[902]<=ece6d;ls351cf[901]<=pfe6344[0];ls351cf[880]<=ym5807;ls351cf[834]<=cm6de53;ls351cf[775]<=fae1007;ls351cf[732]<=ks88160;ls351cf[528]<=dmca622;ls351cf[450]<=aldcc68;ls351cf[417]<=jc4dbca;ls351cf[387]<=fp1c200;ls351cf[366]<=ie3102c[0];ls351cf[225]<=gq3b98d;ls351cf[208]<=fa69b79;ls351cf[193]<=kq43840;ls351cf[183]<=mt26205;ls351cf[128]<=suc44c0;ls351cf[112]<=kf7731[0];ls351cf[104]<=ea6d36f[0];ls351cf[96]<=ec88708;ls351cf[91]<=en44c40;ls351cf[64]<=ec98898;ls351cf[56]<=ukee6;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module uie0f07 (rst_n,
+                  gbe_mode,
+                  force_isolate,
+                  al7a1c7,
+
+                  zz38f51,
+                  blc7a8f,
+                  lf3d47e,
+                  do20bc9,
+                  ou5e4a,
+
+                  meea3f6,
+                  vi7928a,
+                  of51fb0,
+                  sj8fd84,
+                  vv7ec24,
+                  yz8a53d,
+                  tu529ed
+                 );
+input                rst_n;
+input                gbe_mode;
+input                force_isolate;
+input                al7a1c7;
+input  [7:0]         zz38f51;
+input                blc7a8f;
+input                lf3d47e;
+input                do20bc9;
+input                ou5e4a;
+input                meea3f6;
+input  [1:0]         vi7928a;
+output  [7:0]        of51fb0;
+output               sj8fd84;
+output               vv7ec24;
+output               yz8a53d;
+output               tu529ed;
+reg [7:0] of51fb0;
+reg sj8fd84;
+reg vv7ec24;
+reg yz8a53d;
+reg tu529ed;
+reg [1:0] ic59746;
+reg [1:0] cmcba31;
+reg [1:0] vi5d188;
+reg [1:0] ene8c43;
+reg [1:0] ay4621c;
+reg [1:0] ec310e1;
+reg ec88708;
+reg kq43840;
+reg fp1c200;
+reg fae1007;
+reg ym5807;
+reg qv2c039;
+reg god13fa;
+reg thcda6d;
+reg [6:0] ea6d36f;
+reg fa69b79;
+reg kqfa2f5;
+reg icd17a9;
+reg jp40b00;
+reg [7:0] kf803b;
+reg ukee6;
+reg jp401dc;
+reg kq49d41;
+reg mr4ea09;
+wire [7:0] ie3102c;
+wire mt26205;
+wire en44c40;
+wire hd991c;
+wire me4c8e7;
+reg [7:0] dz64739;
+reg ux239cf;
+reg bn1ce7d;
+reg wje73ee;
+reg mt39f74;
+reg yz39b4d;
+reg ece6d;
+reg byee951;
+reg jc4dbca;
+reg cm6de53;
+reg mr6f298;
+reg ne794c4;
+reg dmca622;
+reg go53113;
+reg ec98898;
+reg suc44c0;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+`endif\r
+reg rv22600;
+reg aa98036;
+reg [7 : 0] rgc01b1;
+reg gqd8a;
+reg db6c51;
+reg zk42a46;
+reg sw15232;
+reg [1 : 0] aaa9191;
+reg [1 : 0] an3628e;
+reg [1 : 0] epb1475;
+reg [1 : 0] gd8a3ac;
+reg [1 : 0] gb51d65;
+reg [1 : 0] hd8eb2c;
+reg [1 : 0] kd75966;
+reg ecacb35;
+reg cz659a8;
+reg ir2cd44;
+reg ho66a24;
+reg ba49af;
+reg mg24d7b;
+reg jc42a1b;
+reg rtd8af7;
+reg [6 : 0] tuc57be;
+reg wy2bdf2;
+reg ls1b459;
+reg xwda2ca;
+reg th40935;
+reg [7 : 0] zm35123;
+reg cz448d2;
+reg ria891a;
+reg fa523cb;
+reg zz91e5a;
+reg [7 : 0] bn3d024;
+reg qv7a04;
+reg qt60f40;
+reg pf5ad51;
+reg ipd6a8f;
+reg [7 : 0] bnb547d;
+reg jraa3ee;
+reg qt51f76;
+reg ir8fbb4;
+reg qg7dda4;
+reg bl7b15e;
+reg xy35ec5;
+reg anb485a;
+reg uv5ef91;
+reg wjf7c8d;
+reg uxbe46c;
+reg mrf2365;
+reg xl91b2d;
+reg ks8d96e;
+reg lq6cb71;
+reg gb65b8a;
+reg [2047:0] ls351cf;
+wire [52:0] jea8e7a;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+localparam ps473d2 = 53,wl39e93 = 32'hfdffe06b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jc4dbca <= 1'b0;        cm6de53 <= 1'b0;        mr6f298 <= 1'b0;        ne794c4 <= 1'b0;        dmca622 <= 1'b0;        go53113 <= 1'b0;        end     else begin              jc4dbca <= rv22600;     cm6de53 <= uv5ef91;
+               mr6f298 <= wjf7c8d;             ne794c4 <= wjf7c8d & (~uxbe46c);                dmca622 <= ~wjf7c8d & (uxbe46c);                go53113 <= mrf2365 || xl91b2d;  end
+end
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ic59746 <= 2'd0;        cmcba31 <= 2'd0;        vi5d188 <= 2'd0;        ene8c43 <= 2'd0;        ay4621c <= 2'd0;        ec310e1 <= 2'd0;        ec88708 <= 1'b1;        kq43840 <= 1'b0;        fp1c200 <= 1'b0;        fae1007 <= 1'b0;        end     else begin              ic59746 <= aaa9191;             cmcba31 <= an3628e;     vi5d188 <= epb1475;     ene8c43 <= gd8a3ac;     if ((epb1475==gd8a3ac) && (epb1475==gb51d65))   ay4621c <= gb51d65;     ec310e1 <= hd8eb2c;             if (ks8d96e)    ec88708 <= 1'b1;        else if (hd8eb2c != kd75966)    ec88708 <= 1'b1;        else    ec88708 <= 1'b0;                if (uxbe46c) begin      kq43840    <=  1'b1;    fp1c200  <=  1'b0;      fae1007   <=  1'b0;     end     else begin      kq43840    <=   hd8eb2c[1];     fp1c200  <=  !hd8eb2c[1]  & ( hd8eb2c[0]);      fae1007   <=  !hd8eb2c[1]  & (!hd8eb2c[0]);     end             case (bl7b15e)  1'd0: begin     if (ecacb35) begin      ym5807 <= 1'b1; yz39b4d <= 1'd1;        end     else begin      ym5807 <= 1'b0; yz39b4d <= 1'd0;        end     end     1'd1: begin     ym5807 <= 1'b1; if (rtd8af7) begin      yz39b4d <= 1'd0;        end     else begin      yz39b4d <= 1'd1;        end     end     default: begin  yz39b4d <= 1'd0;        end     endcase end
+end
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ea6d36f <= 7'd0;        fa69b79 <= 1'b0;        end     else begin              if (ecacb35 || wy2bdf2) begin   ea6d36f <= 7'd0;        end     else begin      ea6d36f <= tuc57be + 1; end
+               if (ecacb35) begin      fa69b79 <= 1'b0;        end     else if (ir2cd44) begin         if (tuc57be == 7'd8) begin      fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else if (ho66a24) begin         if (tuc57be == 7'd98) begin     fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else begin              fa69b79 <= 1'b1;        end     end
+end
+
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        thcda6d <= 1'b0;        end     else begin      if (ecacb35) begin      thcda6d <=  1'b0;       end     else if (ir2cd44) begin         if (tuc57be == 7'd3) begin      thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else if (ho66a24) begin         if (tuc57be == 7'd49) begin     thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else begin              thcda6d <=  1'b1;       end     end
+end
+
+
+
+always @(posedge al7a1c7 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp401dc <= 0;   ukee6 <= 0;     kq49d41 <= 0;   mr4ea09 <= 0;   kf803b <= 8'd0; end     else begin              kf803b <= rgc01b1;      ukee6 <= db6c51;        jp401dc <= gqd8a;       kq49d41 <= zk42a46;     mr4ea09 <= sw15232;     end
+end
+
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+       pmi_fifo_dc #(  .pmi_data_width_w(12),  .pmi_data_width_r(12),  .pmi_data_depth_w(8),  .pmi_data_depth_r(8),  .pmi_full_flag(8),  .pmi_empty_flag(0),  .pmi_almost_full_flag(3),  .pmi_almost_empty_flag(1),  .pmi_regmode("no_reg"),  .pmi_resetmode("async"),  .pmi_family("SC"),  .module_type("pmi_fifo_dc"),  .pmi_implementation("LUT")) yxfdbcb (        .Reset(god13fa),        .RPReset(god13fa),      .WrClock(al7a1c7),      .WrEn(thcda6d), .Data({mr4ea09, kq49d41, jp401dc, ukee6, kf803b}),
+       .RdClock(meea3f6),      .RdEn(jp40b00), .Q({me4c8e7, hd991c, en44c40, mt26205, ie3102c}),
+       .Empty(ks88160),        .Full(),        .AlmostEmpty(), .AlmostFull()   );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+       pmi_fifo_dc #(  .pmi_data_width_w(12),  .pmi_data_width_r(12),  .pmi_data_depth_w(8),  .pmi_data_depth_r(8),  .pmi_full_flag(8),  .pmi_empty_flag(0),  .pmi_almost_full_flag(3),  .pmi_almost_empty_flag(1),  .pmi_regmode("no_reg"),  .pmi_resetmode("async"),  .pmi_family("ECP2M"),  .module_type("pmi_fifo_dc"),  .pmi_implementation("LUT")) yxfdbcb (     .Reset(god13fa),        .RPReset(god13fa),      .WrClock(al7a1c7),      .WrEn(thcda6d), .Data({mr4ea09, kq49d41, jp401dc, ukee6, kf803b}),
+       .RdClock(meea3f6),      .RdEn(jp40b00), .Q({me4c8e7, hd991c, en44c40, mt26205, ie3102c}),
+       .Empty(ks88160),        .Full(),        .AlmostEmpty(), .AlmostFull()   );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+       pmi_fifo_dc #(  .pmi_data_width_w(12),  .pmi_data_width_r(12),  .pmi_data_depth_w(8),  .pmi_data_depth_r(8),  .pmi_full_flag(8),  .pmi_empty_flag(0),  .pmi_almost_full_flag(3),  .pmi_almost_empty_flag(1),  .pmi_regmode("no_reg"),  .pmi_resetmode("async"),  .pmi_family("ECP3"),  .module_type("pmi_fifo_dc"),  .pmi_implementation("LUT")) yxfdbcb (      .Reset(god13fa),        .RPReset(god13fa),      .WrClock(al7a1c7),      .WrEn(thcda6d), .Data({mr4ea09, kq49d41, jp401dc, ukee6, kf803b}),
+       .RdClock(meea3f6),      .RdEn(jp40b00), .Q({me4c8e7, hd991c, en44c40, mt26205, ie3102c}),
+       .Empty(ks88160),        .Full(),        .AlmostEmpty(), .AlmostFull()   );
+
+`endif\r
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        qv2c039 <= 1'd1;        god13fa <= 1'd1;        end     else begin              qv2c039 <= ba49af;      god13fa <= mg24d7b;     end
+end
+
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ece6d <= 1'd1;  byee951 <= 1'd1;        end     else begin              ece6d <= cz659a8;       byee951 <= xy35ec5;     end
+end
+
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        kqfa2f5 <= 1'b0;        icd17a9 <= 1'b0;        end     else begin  if (jc42a1b) begin  kqfa2f5 <= 1'b0;  end  else begin       if (anb485a) begin      kqfa2f5 <= 1'b1;        end     else begin      kqfa2f5 <= ~ls1b459;    end  end
+    icd17a9 <= ls1b459;
+       end
+end
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp40b00 <= 1'b0;        end     else begin  if (jc42a1b) begin  jp40b00 <= 1'b0;  end  else begin       if (!ks88160 && ls1b459) begin  jp40b00 <= 1'b1;        end     else begin      jp40b00 <= 1'b0;        end  end        end
+end
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        bn1ce7d <= 0;   ux239cf <= 0;   wje73ee <= 0;   mt39f74 <= 0;   dz64739 <= 8'd0;        end     else begin      if (ls1b459) begin      ux239cf <= qv7a04;      wje73ee <= pf5ad51;     mt39f74 <= ipd6a8f;     if ((!anb485a)  && qt60f40  &&  (bn3d024 == 8'h0F) && (!qv7a04) &&  jraa3ee) begin      bn1ce7d <= 1'b0;        dz64739 <= 8'h00;       end     else begin      bn1ce7d <= qt60f40;     dz64739 <= bn3d024;     end     end
+       end
+end
+
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ec98898 <= 1'b0;        suc44c0 <= 1'b0;        end     else begin      ec98898 <= aa98036;     suc44c0 <= lq6cb71;     end
+end
+
+
+always @(posedge meea3f6 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        sj8fd84 <= 0;   vv7ec24 <= 0;   yz8a53d <= 0;   tu529ed <= 0;   of51fb0 <= 8'd0;        end     else begin  if (gb65b8a) begin  sj8fd84 <= 0;   vv7ec24 <= 0;   yz8a53d <= 0;   tu529ed <= 0;   of51fb0 <= 8'd0;  end  else begin               if (xwda2ca) begin      of51fb0[3:0] <= bnb547d[3:0];   end     else begin      of51fb0[3:0] <= bnb547d[7:4];   end             of51fb0[7:4] <= bnb547d[7:4];           vv7ec24 <= jraa3ee;     sj8fd84 <= qt51f76;     yz8a53d <= ir8fbb4;     tu529ed <= qg7dda4;  end        end
+end
+
+
+
+always@* begin rv22600<=jea8e7a[0];aa98036<=jea8e7a[1];rgc01b1<={zz38f51>>1,jea8e7a[2]};gqd8a<=jea8e7a[3];db6c51<=jea8e7a[4];zk42a46<=jea8e7a[5];sw15232<=jea8e7a[6];aaa9191<={vi7928a>>1,jea8e7a[7]};an3628e<={ic59746>>1,jea8e7a[8]};epb1475<={cmcba31>>1,jea8e7a[9]};gd8a3ac<={vi5d188>>1,jea8e7a[10]};gb51d65<={ene8c43>>1,jea8e7a[11]};hd8eb2c<={ay4621c>>1,jea8e7a[12]};kd75966<={ec310e1>>1,jea8e7a[13]};ecacb35<=jea8e7a[14];cz659a8<=jea8e7a[15];ir2cd44<=jea8e7a[16];ho66a24<=jea8e7a[17];ba49af<=jea8e7a[18];mg24d7b<=jea8e7a[19];jc42a1b<=jea8e7a[20];rtd8af7<=jea8e7a[21];tuc57be<={ea6d36f>>1,jea8e7a[22]};wy2bdf2<=jea8e7a[23];ls1b459<=jea8e7a[24];xwda2ca<=jea8e7a[25];th40935<=jea8e7a[26];zm35123<={kf803b>>1,jea8e7a[27]};cz448d2<=jea8e7a[28];ria891a<=jea8e7a[29];fa523cb<=jea8e7a[30];zz91e5a<=jea8e7a[31];bn3d024<={ie3102c>>1,jea8e7a[32]};qv7a04<=jea8e7a[33];qt60f40<=jea8e7a[34];pf5ad51<=jea8e7a[35];ipd6a8f<=jea8e7a[36];bnb547d<={dz64739>>1,jea8e7a[37]};jraa3ee<=jea8e7a[38];qt51f76<=jea8e7a[39];ir8fbb4<=jea8e7a[40];qg7dda4<=jea8e7a[41];bl7b15e<=jea8e7a[42];xy35ec5<=jea8e7a[43];anb485a<=jea8e7a[44];uv5ef91<=jea8e7a[45];wjf7c8d<=jea8e7a[46];uxbe46c<=jea8e7a[47];mrf2365<=jea8e7a[48];xl91b2d<=jea8e7a[49];ks8d96e<=jea8e7a[50];lq6cb71<=jea8e7a[51];gb65b8a<=jea8e7a[52];end
+always@* begin ls351cf[2047]<=force_isolate;ls351cf[2046]<=zz38f51[0];ls351cf[2044]<=blc7a8f;ls351cf[2041]<=lf3d47e;ls351cf[2034]<=do20bc9;ls351cf[2021]<=ou5e4a;ls351cf[2017]<=thcda6d;ls351cf[1995]<=vi7928a[0];ls351cf[1986]<=ea6d36f[0];ls351cf[1943]<=ic59746[0];ls351cf[1925]<=fa69b79;ls351cf[1908]<=mt26205;ls351cf[1864]<=dz64739[0];ls351cf[1855]<=fp1c200;ls351cf[1838]<=cmcba31[0];ls351cf[1803]<=kqfa2f5;ls351cf[1769]<=en44c40;ls351cf[1680]<=ux239cf;ls351cf[1662]<=fae1007;ls351cf[1628]<=vi5d188[0];ls351cf[1583]<=go53113;ls351cf[1559]<=icd17a9;ls351cf[1501]<=mr4ea09;ls351cf[1490]<=hd991c;ls351cf[1487]<=ec88708;ls351cf[1312]<=bn1ce7d;ls351cf[1276]<=ym5807;ls351cf[1209]<=ene8c43[0];ls351cf[1155]<=mt39f74;ls351cf[1118]<=ec98898;ls351cf[1070]<=jp40b00;ls351cf[1048]<=byee951;ls351cf[1023]<=gbe_mode;ls351cf[1008]<=god13fa;ls351cf[954]<=ie3102c[0];ls351cf[932]<=me4c8e7;ls351cf[927]<=kq43840;ls351cf[791]<=dmca622;ls351cf[750]<=kq49d41;ls351cf[743]<=ec310e1[0];ls351cf[577]<=wje73ee;ls351cf[524]<=ece6d;ls351cf[504]<=qv2c039;ls351cf[395]<=ne794c4;ls351cf[375]<=jp401dc;ls351cf[371]<=ay4621c[0];ls351cf[262]<=yz39b4d;ls351cf[197]<=mr6f298;ls351cf[189]<=suc44c0;ls351cf[187]<=ukee6;ls351cf[98]<=cm6de53;ls351cf[93]<=kf803b[0];ls351cf[49]<=jc4dbca;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module dm4d132 (rst_n,
+                  gbe_mode,
+                  yz26485,
+                  db3242c,
+                  thcda6d,
+
+                  force_isolate,
+                  zz38f51,
+                  blc7a8f,
+                  lf3d47e,
+
+                  zz38960,
+                  of51fb0,
+                  sj8fd84,
+                  vv7ec24
+                 );
+input                rst_n;
+input                gbe_mode;
+input                yz26485;
+input                db3242c;
+output               thcda6d;
+input                force_isolate;
+input  [7:0]         zz38f51;
+input                blc7a8f;
+input                lf3d47e;
+input  [1:0]         zz38960;
+output  [7:0]        of51fb0;
+output               sj8fd84;
+output               vv7ec24;
+reg [7:0] of51fb0;
+reg sj8fd84;
+reg vv7ec24;
+reg [1:0] ic59746;
+reg [1:0] cmcba31;
+reg [1:0] vi5d188;
+reg [1:0] ene8c43;
+reg [1:0] ay4621c;
+reg [1:0] ec310e1;
+reg ec88708;
+reg kq43840;
+reg fp1c200;
+reg fae1007;
+reg thcda6d;
+reg [6:0] ea6d36f;
+reg fa69b79;
+reg kqfa2f5;
+reg jp40b00;
+reg [7:0] kf803b;
+reg ukee6;
+reg jp401dc;
+reg jc4dbca;
+reg cm6de53;
+reg mr6f298;
+reg ne794c4;
+reg dmca622;
+reg go53113;
+reg ec98898;
+reg suc44c0;
+reg rv22600;
+reg aycb494;
+reg aa98036;
+reg [7 : 0] rgc01b1;
+reg gqd8a;
+reg db6c51;
+reg [1 : 0] epa1d8f;
+reg [1 : 0] an3628e;
+reg [1 : 0] epb1475;
+reg [1 : 0] gd8a3ac;
+reg [1 : 0] gb51d65;
+reg [1 : 0] hd8eb2c;
+reg [1 : 0] kd75966;
+reg ecacb35;
+reg cz659a8;
+reg ir2cd44;
+reg ho66a24;
+reg [6 : 0] tuc57be;
+reg wy2bdf2;
+reg ls1b459;
+reg th40935;
+reg [7 : 0] zm35123;
+reg cz448d2;
+reg ria891a;
+reg uv5ef91;
+reg wjf7c8d;
+reg uxbe46c;
+reg mrf2365;
+reg xl91b2d;
+reg ks8d96e;
+reg lq6cb71;
+reg gb65b8a;
+reg [2047:0] ls351cf;
+wire [31:0] jea8e7a;
+
+localparam ps473d2 = 32,wl39e93 = 32'hfdffca8b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ec98898 <= 1'b0;        suc44c0 <= 1'b0;        end     else begin      ec98898 <= aa98036;     suc44c0 <= lq6cb71;     end
+end
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jc4dbca <= 1'b0;        cm6de53 <= 1'b0;        mr6f298 <= 1'b0;        ne794c4 <= 1'b0;        dmca622 <= 1'b0;        go53113 <= 1'b0;        end     else begin              jc4dbca <= rv22600;     cm6de53 <= uv5ef91;
+               mr6f298 <= wjf7c8d;             ne794c4 <= wjf7c8d & (~uxbe46c);                dmca622 <= ~wjf7c8d & (uxbe46c);                go53113 <= mrf2365 || xl91b2d;  end
+end
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ic59746 <= 2'd0;        cmcba31 <= 2'd0;        vi5d188 <= 2'd0;        ene8c43 <= 2'd0;        ay4621c <= 2'd0;        ec310e1 <= 2'd0;        ec88708 <= 1'b1;        kq43840 <= 1'b0;        fp1c200 <= 1'b0;        fae1007 <= 1'b0;        end     else begin              ic59746 <= epa1d8f;             cmcba31 <= an3628e;     vi5d188 <= epb1475;     ene8c43 <= gd8a3ac;     if ((epb1475==gd8a3ac) && (epb1475==gb51d65))   ay4621c <= gb51d65;     ec310e1 <= hd8eb2c;             if (ks8d96e)    ec88708 <= 1'b1;        else if (hd8eb2c != kd75966)    ec88708 <= 1'b1;        else    ec88708 <= 1'b0;                if (uxbe46c) begin      kq43840    <=  1'b1;    fp1c200  <=  1'b0;      fae1007   <=  1'b0;     end     else begin      kq43840    <=   hd8eb2c[1];     fp1c200  <=  !hd8eb2c[1]  & ( hd8eb2c[0]);      fae1007   <=  !hd8eb2c[1]  & (!hd8eb2c[0]);     end     end
+end
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ea6d36f <= 7'd0;        fa69b79 <= 1'b0;        end     else begin              if (ecacb35 || wy2bdf2) begin   ea6d36f <= 7'd0;        end     else begin      ea6d36f <= tuc57be + 1; end
+               if (ecacb35) begin      fa69b79 <= 1'b0;        end     else if (ir2cd44) begin         if (tuc57be == 7'd8) begin      fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else if (ho66a24) begin         if (tuc57be == 7'd98) begin     fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else begin              fa69b79 <= 1'b1;        end     end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        thcda6d <= 1'b0;        end     else begin      if (ecacb35) begin      thcda6d <=  1'b0;       end     else if (ir2cd44) begin         if (tuc57be == 7'd3) begin      thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else if (ho66a24) begin         if (tuc57be == 7'd49) begin     thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else begin              thcda6d <=  1'b1;       end     end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp401dc <= 0;   ukee6 <= 0;     kf803b <= 8'd0; end     else begin  if (aycb494) begin  if (gb65b8a) begin      kf803b <= 8'd0; ukee6 <= 1'b0;  jp401dc <= 1'b0;        end     else begin      kf803b <= rgc01b1;      ukee6 <= db6c51;        jp401dc <= gqd8a;       end  end        end
+end
+
+
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        sj8fd84 <= 0;   vv7ec24 <= 0;   of51fb0 <= 8'd0;        end     else begin      if (aycb494) begin      vv7ec24 <= cz448d2;     sj8fd84 <= ria891a;     of51fb0 <= zm35123;     end     end
+end
+
+
+
+
+
+always@* begin rv22600<=jea8e7a[0];aycb494<=jea8e7a[1];aa98036<=jea8e7a[2];rgc01b1<={zz38f51>>1,jea8e7a[3]};gqd8a<=jea8e7a[4];db6c51<=jea8e7a[5];epa1d8f<={zz38960>>1,jea8e7a[6]};an3628e<={ic59746>>1,jea8e7a[7]};epb1475<={cmcba31>>1,jea8e7a[8]};gd8a3ac<={vi5d188>>1,jea8e7a[9]};gb51d65<={ene8c43>>1,jea8e7a[10]};hd8eb2c<={ay4621c>>1,jea8e7a[11]};kd75966<={ec310e1>>1,jea8e7a[12]};ecacb35<=jea8e7a[13];cz659a8<=jea8e7a[14];ir2cd44<=jea8e7a[15];ho66a24<=jea8e7a[16];tuc57be<={ea6d36f>>1,jea8e7a[17]};wy2bdf2<=jea8e7a[18];ls1b459<=jea8e7a[19];th40935<=jea8e7a[20];zm35123<={kf803b>>1,jea8e7a[21]};cz448d2<=jea8e7a[22];ria891a<=jea8e7a[23];uv5ef91<=jea8e7a[24];wjf7c8d<=jea8e7a[25];uxbe46c<=jea8e7a[26];mrf2365<=jea8e7a[27];xl91b2d<=jea8e7a[28];ks8d96e<=jea8e7a[29];lq6cb71<=jea8e7a[30];gb65b8a<=jea8e7a[31];end
+always@* begin ls351cf[2047]<=db3242c;ls351cf[2046]<=force_isolate;ls351cf[2044]<=zz38f51[0];ls351cf[2040]<=blc7a8f;ls351cf[2032]<=lf3d47e;ls351cf[2017]<=zz38960[0];ls351cf[1987]<=ic59746[0];ls351cf[1926]<=cmcba31[0];ls351cf[1804]<=vi5d188[0];ls351cf[1803]<=jp401dc;ls351cf[1560]<=ene8c43[0];ls351cf[1558]<=jc4dbca;ls351cf[1550]<=fae1007;ls351cf[1464]<=ec98898;ls351cf[1072]<=ay4621c[0];ls351cf[1069]<=cm6de53;ls351cf[1052]<=ea6d36f[0];ls351cf[1023]<=gbe_mode;ls351cf[901]<=ukee6;ls351cf[880]<=suc44c0;ls351cf[775]<=fp1c200;ls351cf[732]<=go53113;ls351cf[450]<=kf803b[0];ls351cf[387]<=kq43840;ls351cf[366]<=dmca622;ls351cf[225]<=jp40b00;ls351cf[193]<=ec88708;ls351cf[183]<=ne794c4;ls351cf[112]<=kqfa2f5;ls351cf[96]<=ec310e1[0];ls351cf[91]<=mr6f298;ls351cf[56]<=fa69b79;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module vv55b56 (rst_n,
+                  gbe_mode,
+                  force_isolate,
+                  yz26485,
+                  db3242c,
+                  thcda6d,
+
+                  zz38f51,
+                  blc7a8f,
+                  lf3d47e,
+                  do20bc9,
+                  ou5e4a,
+
+                  zz38960,
+                  of51fb0,
+                  sj8fd84,
+                  vv7ec24,
+                  yz8a53d,
+                  tu529ed
+                 );
+input                rst_n;
+input                gbe_mode;
+input                force_isolate;
+input                yz26485;
+input                db3242c;
+output               thcda6d;
+input  [7:0]         zz38f51;
+input                blc7a8f;
+input                lf3d47e;
+input                do20bc9;
+input                ou5e4a;
+input  [1:0]         zz38960;
+output  [7:0]        of51fb0;
+output               sj8fd84;
+output               vv7ec24;
+output               yz8a53d;
+output               tu529ed;
+reg [7:0] of51fb0;
+reg sj8fd84;
+reg vv7ec24;
+reg yz8a53d;
+reg tu529ed;
+reg [1:0] ic59746;
+reg [1:0] cmcba31;
+reg [1:0] vi5d188;
+reg [1:0] ene8c43;
+reg [1:0] ay4621c;
+reg [1:0] ec310e1;
+reg ec88708;
+reg kq43840;
+reg fp1c200;
+reg fae1007;
+reg thcda6d;
+reg [6:0] ea6d36f;
+reg fa69b79;
+reg kqfa2f5;
+reg jp40b00;
+reg [7:0] kf803b;
+reg ukee6;
+reg jp401dc;
+reg kq49d41;
+reg mr4ea09;
+reg jc4dbca;
+reg cm6de53;
+reg mr6f298;
+reg ne794c4;
+reg dmca622;
+reg go53113;
+reg ec98898;
+reg suc44c0;
+reg rv22600;
+reg aa98036;
+reg aycb494;
+reg [7 : 0] rgc01b1;
+reg gqd8a;
+reg db6c51;
+reg zk42a46;
+reg sw15232;
+reg [1 : 0] epa1d8f;
+reg [1 : 0] an3628e;
+reg [1 : 0] epb1475;
+reg [1 : 0] gd8a3ac;
+reg [1 : 0] gb51d65;
+reg [1 : 0] hd8eb2c;
+reg [1 : 0] kd75966;
+reg ecacb35;
+reg cz659a8;
+reg ir2cd44;
+reg ho66a24;
+reg [6 : 0] tuc57be;
+reg wy2bdf2;
+reg ls1b459;
+reg th40935;
+reg [7 : 0] zm35123;
+reg cz448d2;
+reg ria891a;
+reg fa523cb;
+reg zz91e5a;
+reg uv5ef91;
+reg wjf7c8d;
+reg uxbe46c;
+reg mrf2365;
+reg xl91b2d;
+reg ks8d96e;
+reg lq6cb71;
+reg gb65b8a;
+reg [2047:0] ls351cf;
+wire [35:0] jea8e7a;
+
+localparam ps473d2 = 36,wl39e93 = 32'hfdffd42b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jc4dbca <= 1'b0;        cm6de53 <= 1'b0;        mr6f298 <= 1'b0;        ne794c4 <= 1'b0;        dmca622 <= 1'b0;        go53113 <= 1'b0;        end     else begin              jc4dbca <= rv22600;     cm6de53 <= uv5ef91;
+               mr6f298 <= wjf7c8d;             ne794c4 <= wjf7c8d & (~uxbe46c);                dmca622 <= ~wjf7c8d & (uxbe46c);                go53113 <= mrf2365 || xl91b2d;  end
+end
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ic59746 <= 2'd0;        cmcba31 <= 2'd0;        vi5d188 <= 2'd0;        ene8c43 <= 2'd0;        ay4621c <= 2'd0;        ec310e1 <= 2'd0;        ec88708 <= 1'b1;        kq43840 <= 1'b0;        fp1c200 <= 1'b0;        fae1007 <= 1'b0;        end     else begin              ic59746 <= epa1d8f;             cmcba31 <= an3628e;     vi5d188 <= epb1475;     ene8c43 <= gd8a3ac;     if ((epb1475==gd8a3ac) && (epb1475==gb51d65))   ay4621c <= gb51d65;     ec310e1 <= hd8eb2c;             if (ks8d96e)    ec88708 <= 1'b1;        else if (hd8eb2c != kd75966)    ec88708 <= 1'b1;        else    ec88708 <= 1'b0;                if (uxbe46c) begin      kq43840    <=  1'b1;    fp1c200  <=  1'b0;      fae1007   <=  1'b0;     end     else begin      kq43840    <=   hd8eb2c[1];     fp1c200  <=  !hd8eb2c[1]  & ( hd8eb2c[0]);      fae1007   <=  !hd8eb2c[1]  & (!hd8eb2c[0]);     end     end
+end
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ea6d36f <= 7'd0;        fa69b79 <= 1'b0;        end     else begin              if (ecacb35 || wy2bdf2) begin   ea6d36f <= 7'd0;        end     else begin      ea6d36f <= tuc57be + 1; end
+               if (ecacb35) begin      fa69b79 <= 1'b0;        end     else if (ir2cd44) begin         if (tuc57be == 7'd8) begin      fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else if (ho66a24) begin         if (tuc57be == 7'd98) begin     fa69b79 <= 1'b1;        end     else begin      fa69b79 <= 1'b0;        end     end     else begin              fa69b79 <= 1'b1;        end     end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        thcda6d <= 1'b0;        end     else begin      if (ecacb35) begin      thcda6d <=  1'b0;       end     else if (ir2cd44) begin         if (tuc57be == 7'd3) begin      thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else if (ho66a24) begin         if (tuc57be == 7'd49) begin     thcda6d <=  1'b1;       end     else begin      thcda6d <=  1'b0;       end     end     else begin              thcda6d <=  1'b1;       end     end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp401dc <= 0;   ukee6 <= 0;     kq49d41 <= 0;   mr4ea09 <= 0;   kf803b <= 8'd0; end     else begin  if (aycb494) begin  kf803b <= rgc01b1;      ukee6 <= db6c51;        jp401dc <= gqd8a;       kq49d41 <= zk42a46;     mr4ea09 <= sw15232;  end        end
+end
+
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ec98898 <= 1'b0;        suc44c0 <= 1'b0;        end     else begin      ec98898 <= aa98036;     suc44c0 <= lq6cb71;     end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        sj8fd84 <= 0;   vv7ec24 <= 0;   yz8a53d <= 0;   tu529ed <= 0;   of51fb0 <= 8'd0;        end     else begin      if (aycb494) begin  if (gb65b8a) begin  sj8fd84 <= 0;   vv7ec24 <= 0;   yz8a53d <= 0;   tu529ed <= 0;   of51fb0 <= 8'd0;  end  else begin       vv7ec24 <= cz448d2;     yz8a53d <= fa523cb;     tu529ed <= zz91e5a;     if ((!cz659a8)  && ria891a  &&  (zm35123 == 8'h0F) && (!cz448d2) &&  vv7ec24) begin     sj8fd84 <= 1'b0;        of51fb0 <= 8'h00;       end     else begin      sj8fd84 <= ria891a;     of51fb0 <= zm35123;     end  end        end
+       end
+end
+
+
+
+
+
+always@* begin rv22600<=jea8e7a[0];aa98036<=jea8e7a[1];aycb494<=jea8e7a[2];rgc01b1<={zz38f51>>1,jea8e7a[3]};gqd8a<=jea8e7a[4];db6c51<=jea8e7a[5];zk42a46<=jea8e7a[6];sw15232<=jea8e7a[7];epa1d8f<={zz38960>>1,jea8e7a[8]};an3628e<={ic59746>>1,jea8e7a[9]};epb1475<={cmcba31>>1,jea8e7a[10]};gd8a3ac<={vi5d188>>1,jea8e7a[11]};gb51d65<={ene8c43>>1,jea8e7a[12]};hd8eb2c<={ay4621c>>1,jea8e7a[13]};kd75966<={ec310e1>>1,jea8e7a[14]};ecacb35<=jea8e7a[15];cz659a8<=jea8e7a[16];ir2cd44<=jea8e7a[17];ho66a24<=jea8e7a[18];tuc57be<={ea6d36f>>1,jea8e7a[19]};wy2bdf2<=jea8e7a[20];ls1b459<=jea8e7a[21];th40935<=jea8e7a[22];zm35123<={kf803b>>1,jea8e7a[23]};cz448d2<=jea8e7a[24];ria891a<=jea8e7a[25];fa523cb<=jea8e7a[26];zz91e5a<=jea8e7a[27];uv5ef91<=jea8e7a[28];wjf7c8d<=jea8e7a[29];uxbe46c<=jea8e7a[30];mrf2365<=jea8e7a[31];xl91b2d<=jea8e7a[32];ks8d96e<=jea8e7a[33];lq6cb71<=jea8e7a[34];gb65b8a<=jea8e7a[35];end
+always@* begin ls351cf[2047]<=force_isolate;ls351cf[2046]<=db3242c;ls351cf[2044]<=zz38f51[0];ls351cf[2041]<=blc7a8f;ls351cf[2035]<=lf3d47e;ls351cf[2022]<=do20bc9;ls351cf[1996]<=ou5e4a;ls351cf[1945]<=zz38960[0];ls351cf[1922]<=jp401dc;ls351cf[1842]<=ic59746[0];ls351cf[1797]<=kq49d41;ls351cf[1776]<=jp40b00;ls351cf[1637]<=cmcba31[0];ls351cf[1622]<=ec310e1[0];ls351cf[1546]<=mr4ea09;ls351cf[1504]<=kf803b[0];ls351cf[1468]<=fa69b79;ls351cf[1391]<=fae1007;ls351cf[1312]<=ec98898;ls351cf[1226]<=vi5d188[0];ls351cf[1197]<=ec88708;ls351cf[1044]<=jc4dbca;ls351cf[1023]<=gbe_mode;ls351cf[961]<=ukee6;ls351cf[888]<=kqfa2f5;ls351cf[811]<=ay4621c[0];ls351cf[734]<=ea6d36f[0];ls351cf[695]<=fp1c200;ls351cf[656]<=go53113;ls351cf[576]<=suc44c0;ls351cf[405]<=ene8c43[0];ls351cf[347]<=kq43840;ls351cf[328]<=dmca622;ls351cf[164]<=ne794c4;ls351cf[82]<=mr6f298;ls351cf[41]<=cm6de53;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module ldf627d (   yz26485,
+                   rst_n,
+
+                   gbe_mode,
+                   sgmii_mode,
+                   force_unidir,
+
+                   mr_main_reset,
+                   mr_restart_an,
+                   mr_an_enable,
+                   mr_adv_ability,
+                   mr_lp_adv_ability,
+                   nr4ae92,                   
+                   mr_page_rx,
+                   mr_an_complete,
+                   
+                   bld2597,
+                   
+                   qi92cbe,
+                   ls965f1,
+                   twb2f8a,
+                   
+                   uk97c52,
+                   sjbe291,
+                   vif148d,
+                   an_link_ok
+                   );
+input             yz26485;
+input             rst_n;
+input             gbe_mode;
+input             sgmii_mode;
+input             force_unidir;
+input             mr_main_reset;
+input             mr_restart_an;
+input             mr_an_enable;
+input    [16:1]   mr_adv_ability;
+output   [16:1]   mr_lp_adv_ability;
+input             nr4ae92;
+output            mr_page_rx;
+output            mr_an_complete;
+input             bld2597;
+input             qi92cbe;
+input             ls965f1;
+input             twb2f8a;
+input   [15:0]    uk97c52;
+output  [15:0]    sjbe291;
+output  [1:0]     vif148d;
+output            an_link_ok;
+reg   [16:1]      mr_lp_adv_ability;
+reg               mr_page_rx;
+reg               mr_an_complete;
+reg   [15:0]      sjbe291;
+reg   [1:0]       vif148d;
+reg [15:0] kq68466;
+reg [15:0] by42331;
+reg [15:0] ng1198b;
+reg [15:0] je8cc5a;
+reg [15:0] dm662d3;
+reg ou3169a;
+localparam jr8b4d0                 = 2'b00,          fn5a687        = 2'b01,          czd343e                 = 2'b10;
+localparam an9a1f4            = 4'b0000,          ned0fa4           = 4'b0001,          ie87d22   = 4'b0010,          mg3e910       = 4'b0011,          vif4880   = 4'b0100,          qva4403 = 4'b0101,          vx2201d          = 4'b0111,          co100ee              = 4'b1000;
+parameter ep80771    = 21'h1cf2bf,           jr3b8c      = 21'h0ced2f,           LINK_TIMER_SH       = 21'h1fff01;
+reg               hoee334;
+reg               bl719a2;
+reg               ls8cd17;
+reg               tu668bb;
+reg               qi345db;
+reg   [20:0]      xya2ed8;
+reg               yz176c3;
+reg   [20:0]      uxbb61c;
+reg               kqdb0e5;
+reg   [3:0]       rtd8728, yxc3947, nt1ca3c;
+wire  [15:0]      uk97c52;
+reg   [15:0]      ph28f31;
+reg   [15:0]      lq4798e;
+reg   [15:0]      mt3cc72;
+reg   [ 1:0]      ble6395;
+reg   [ 1:0]      ym31cae;
+reg   [ 1:0]      ph8e571;
+wire              twb2f8a;
+reg               kf95c78;
+wire              ls965f1;
+reg               pf71e24;
+reg               ux8f127;
+reg               me7893d;
+reg               ykc49e9;
+reg               ou24f49;
+reg               bn27a48;
+reg               fp3d247;
+reg               the923e;
+reg    ui491f7;
+reg    jc48fb8;
+reg    dm47dc3;
+reg    ym3ee1a;
+reg [16:1]     off70d0;
+reg    lsb8685;
+reg    ofc342a;
+reg    mg1a155;
+reg    fad0aa8;
+reg    yz85542;
+reg[15:0]      ls2aa14;
+reg    ld550a3;
+reg    xya851f;
+reg    by428fb;
+reg    je147da;
+reg [16:1]     gqa3ed3;
+reg    qi1f699;
+reg    lqfb4c8;
+reg    rgda643;
+reg    uvd321a;
+reg    ng990d4;
+reg[15:0]      thc86a3;
+reg ay4351e ;
+reg ec1a8f1 ;
+wire wwd4789 ;
+reg  [(22*8):1] gqa3c49;
+reg rv22600;
+reg tuf124a;
+reg vk89252;
+reg qg49292;
+reg cm49490;
+reg xj4a480;
+reg [16 : 1] lq52407;
+reg sw9203a;
+reg yz901d0;
+reg yz80e85;
+reg qv742d;
+reg do3a16d;
+reg [15 : 0] fad0b6b;
+reg [15 : 0] yz85b5a;
+reg [15 : 0] rv2dad5;
+reg [15 : 0] ay6d6ae;
+reg [15 : 0] bl6b571;
+reg fn5ab8e;
+reg fad5c77;
+reg yzae3ba;
+reg hb71dd4;
+reg jr8eea7;
+reg yk7753e;
+reg [20 : 0] phba9f1;
+reg sud4f8e;
+reg [20 : 0] xya7c70;
+reg wy3e383;
+reg [3 : 0] byf1c19;
+reg [3 : 0] nt8e0cb;
+reg [3 : 0] kd7065f;
+reg [15 : 0] ba832fc;
+reg [15 : 0] ph197e3;
+reg [15 : 0] gocbf1f;
+reg [1 : 0] dz5f8f8;
+reg [1 : 0] rtfc7c7;
+reg [1 : 0] lde3e3e;
+reg ec1f1f7;
+reg uvf8fb8;
+reg nrc7dc5;
+reg aa3ee2e;
+reg enf7170;
+reg jeb8b85;
+reg byc5c2b;
+reg mg2e15b;
+reg of70ad8;
+reg mt856c5;
+reg sw2b62c;
+reg kd5b160;
+reg rtd8b04;
+reg [16 : 1] tuc5826;
+reg cb2c133;
+reg qt6099d;
+reg pu4cec;
+reg wl26763;
+reg mt33b1c;
+reg [15 : 0] qi9d8e4;
+reg nrec720;
+reg zk63907;
+reg ph1c83b;
+reg dme41de;
+reg [16 : 1] tw20ef3;
+reg oh779a;
+reg mg3bcd5;
+reg uide6a8;
+reg thf3540;
+reg an9aa07;
+reg [15 : 0] rgd503d;
+reg twa81eb;
+reg xj40f5b;
+reg ir7adf;
+reg [(22 * 8) : 1] ph3d6fc;
+reg [2047:0] ls351cf;
+wire [70:0] jea8e7a;
+
+localparam ps473d2 = 71,wl39e93 = 32'hfdffd84b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+
+
+
+
+       
+                                       
+                               
+
+                                       
+                                       
+
+
+
+
+
+
+
+
+
+                                       
+
+
+
+
+
+
+
+
+
+
+                                                          
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if(!rst_n) begin        ay4351e <= 1'b1;        ec1a8f1 <= 1'b1;        end     else begin      ay4351e <= qg49292;     ec1a8f1 <= twa81eb;     end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if(!rst_n) begin        ui491f7 <= 1'b0;        jc48fb8 <= 1'b0;        dm47dc3 <= 1'b0;        ym3ee1a <= 1'b0;        off70d0 <= 16'd0;       lsb8685 <= 1'b0;        ofc342a <= 1'b0;        mg1a155 <= 1'b0;        fad0aa8 <= 1'b0;        yz85542 <= 1'b0;        ls2aa14 <= 16'b0;       ld550a3 <= 1'b0;        xya851f <= 1'b0;        by428fb <= 1'b0;        je147da <= 1'b0;        gqa3ed3 <= 16'b0;       qi1f699 <= 1'b0;        lqfb4c8 <= 1'b0;        rgda643 <= 1'b0;        uvd321a <= 1'b0;        ng990d4 <= 1'b0;        thc86a3 <= 16'b0;       end     else begin      ui491f7 <= rv22600;     jc48fb8 <= tuf124a;     dm47dc3 <= cm49490;     ym3ee1a <= xj4a480 & (!vk89252);        off70d0 <= lq52407;     lsb8685 <= sw9203a;     ofc342a <= yz901d0;     mg1a155 <= yz80e85;     fad0aa8 <= qv742d;      yz85542 <= do3a16d;     ls2aa14 <= uk97c52;     ld550a3 <= mt856c5;     xya851f <= sw2b62c;     by428fb <= kd5b160;     je147da <= rtd8b04;     gqa3ed3 <= tuc5826;     qi1f699 <= cb2c133;     lqfb4c8 <= qt6099d;     rgda643 <= pu4cec;      uvd321a <= wl26763;     ng990d4 <= mt33b1c;     thc86a3 <= qi9d8e4;     end
+end
+
+
+
+assign wwd4789 = (rgd503d[15] == ph197e3[15]) &                               (rgd503d[13:0] == ph197e3[13:0]);
+
+always @(posedge yz26485 or negedge rst_n) begin : mg3b5b9   if(!rst_n) begin      pf71e24     <= 1'b0;      ux8f127 <= 1'b0;      me7893d      <= 1'b0;      ykc49e9        <= 1'b0;      ou24f49    <= 1'b0;      bn27a48           <= 1'b0;      fp3d247    <= 1'b0;      the923e         <= 1'b0;   end   else begin      pf71e24     <= (fad5c77 != dme41de);      ux8f127 <= ir7adf ;      me7893d      <= ir7adf & rgd503d[14] &  ph197e3[14];      ykc49e9        <= (dz5f8f8 == 2'b10) & ec1f1f7;      ou24f49    <= (rtfc7c7 == 2'b10) & ec1f1f7;      bn27a48           <= (lde3e3e == 2'b11);      fp3d247    <= (dz5f8f8 == 2'b10) &                      ec1f1f7 & ir7adf ;      the923e         <= (kd7065f != nt8e0cb);   end
+end
+
+always @(posedge yz26485 or negedge rst_n) begin : fa73b89   if(!rst_n) begin      yxc3947 <= an9a1f4;   end   else begin       if(ph1c83b || !wy3e383 ||            uvf8fb8 || uide6a8 || fn5ab8e || xj40f5b) begin                 yxc3947 <= an9a1f4;       end       else begin                 yxc3947 <= byf1c19;       end   end
+end
+always @(posedge yz26485 or negedge rst_n) begin   if(!rst_n) begin      nt1ca3c <= an9a1f4;      hoee334 <= 1'b0;      kf95c78  <= 1'b0;      ph28f31 <= 16'h0000;   end   else  begin    if(xj40f5b) begin      nt1ca3c <= an9a1f4;    end    else begin      nt1ca3c <= nt8e0cb;      hoee334 <= dme41de;      kf95c78  <= an9aa07;      ph28f31 <= rgd503d;    end   end
+end
+
+always @( nt8e0cb or dme41de or sud4f8e or enf7170 or ba832fc or  jeb8b85 or mg2e15b or byc5c2b) begin : zkdfcb3   case (nt8e0cb)      an9a1f4 : begin         if(dme41de)            rtd8728 = ned0fa4;         else            rtd8728 = ie87d22;      end
+      ned0fa4 : begin         if(sud4f8e)            rtd8728 = mg3e910;         else            rtd8728 = ned0fa4;      end
+      mg3e910 : begin         if(enf7170 && ba832fc != 16'd0)            rtd8728 = vif4880;         else            rtd8728 = mg3e910;      end
+      vif4880 : begin         if((jeb8b85 && !mg2e15b) ||            (enf7170     && ba832fc == 16'h0000))            rtd8728 = an9a1f4;         else if(jeb8b85 && mg2e15b)            rtd8728 = qva4403;         else            rtd8728 = vif4880;      end
+      qva4403 : begin         if(enf7170 && ba832fc == 16'h0000)            rtd8728 = an9a1f4;         else if((sud4f8e) &&                 (!enf7170 || ba832fc != 16'h0000))            rtd8728 = vx2201d;         else            rtd8728 = qva4403;      end
+      vx2201d : begin         if (enf7170   && ba832fc == 16'h0000)            rtd8728 = an9a1f4;         else if (byc5c2b && sud4f8e)            rtd8728 = co100ee;         else            rtd8728 = vx2201d;      end
+      co100ee : begin         if (enf7170)            rtd8728 = an9a1f4;         else            rtd8728 = co100ee;      end
+      ie87d22 :         rtd8728 = ie87d22;
+      default : begin         rtd8728 = an9a1f4;      end
+   endcase
+end 
+
+always @(posedge yz26485 or negedge rst_n) begin   if(!rst_n) begin      mr_page_rx <= 1'b0;   end   else begin     if (nt8e0cb == qva4403) begin      mr_page_rx <= 1'b1;     end     else begin      mr_page_rx <= 1'b0;     end   end
+end
+
+always @(posedge yz26485 or negedge rst_n) begin   if(!rst_n ) begin      mr_lp_adv_ability <= 16'h0000;   end   else begin     if ((nt8e0cb == an9a1f4) || (nt8e0cb == mg3e910))  begin          mr_lp_adv_ability <= 16'h0000;     end     else if (nt8e0cb == qva4403) begin          mr_lp_adv_ability <= rgd503d;     end     else begin         mr_lp_adv_ability <= mr_lp_adv_ability;     end   end
+end
+
+
+always @(posedge yz26485 or negedge rst_n) begin : xjd751c   if(!rst_n ) begin      mr_an_complete <= 1'b0;   end   else begin     if (nt8e0cb == an9a1f4) begin        mr_an_complete <= 1'b0;     end     else if (nt8e0cb == co100ee) begin        mr_an_complete <= 1'b1;     end     else begin        mr_an_complete <= mr_an_complete;     end   end
+end
+
+always @(posedge yz26485 or negedge rst_n) begin : ho6381f   if(!rst_n ) begin      sjbe291 <= 16'd0;   end   else begin     if ((nt8e0cb == an9a1f4 && dme41de) || (nt8e0cb == ned0fa4)) begin        sjbe291 <= 16'd0;     end     else if (nt8e0cb == mg3e910) begin        sjbe291 <= {tw20ef3[16],1'b0,tw20ef3[14:1]};     end     else if (nt8e0cb == vif4880) begin        sjbe291 <= (sjbe291 | 16'h4000);     end     else begin        sjbe291 <= sjbe291;     end   end
+end
+
+always @(posedge yz26485 or negedge rst_n) begin : qva1bd8   if(!rst_n ) begin      vif148d <= jr8b4d0;   end   else begin     if (((nt8e0cb == an9a1f4) && dme41de) || (nt8e0cb == ned0fa4)) begin        vif148d <= fn5a687;     end     else if (((nt8e0cb == an9a1f4) && !dme41de) || (nt8e0cb == vx2201d)) begin        vif148d <= jr8b4d0;     end     else if ((nt8e0cb == ie87d22) || (nt8e0cb == co100ee)) begin        vif148d <= czd343e;     end     else begin        vif148d <= vif148d;     end   end
+end
+
+
+
+
+always @(posedge yz26485 or negedge rst_n) begin   if(!rst_n ) begin      ls8cd17             <= 1'b0;      tu668bb         <= 1'b0;      qi345db          <= 1'b0;      bl719a2 <= 1'b0;   end   else begin      if ((nt8e0cb == ned0fa4) && (kd7065f == an9a1f4)) begin         ls8cd17 <= 1'b1;      end      else begin         ls8cd17 <= 1'b0;      end      if ((nt8e0cb == qva4403) && (kd7065f == vif4880))  begin         tu668bb <= 1'b1;      end      else  begin         tu668bb <= 1'b0;      end      if ((nt8e0cb == vx2201d) && (kd7065f == qva4403))  begin         qi345db <= 1'b1;      end      else  begin         qi345db <= 1'b0;      end      if (hb71dd4 || jr8eea7 || yk7753e)  begin         bl719a2 <= 1'b1;      end      else  begin         bl719a2 <= 1'b0;      end   end
+end
+always @(posedge yz26485 or negedge rst_n) begin : th74e8a   if(!rst_n ) begin      xya2ed8 <= ep80771;   end   else if (yzae3ba) begin      if (oh779a) begin            xya2ed8 <= LINK_TIMER_SH;      end      else if (nrec720) begin            xya2ed8 <= jr3b8c;      end      else begin            xya2ed8 <= ep80771;      end   end   else if (!sud4f8e) begin      xya2ed8 <= phba9f1 + 'd1;   end   else begin      xya2ed8 <= phba9f1;   end
+end
+always @(posedge yz26485 or negedge rst_n) begin : yk5c831   if(!rst_n ) begin      yz176c3 <= 1'b0;   end   else begin     if (phba9f1 == 'd0 && !yzae3ba) begin        yz176c3 <=  1'b1;     end     else begin        yz176c3 <=  1'b0;     end   end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n) begin : rib804e   if(!rst_n ) begin      uxbb61c <= 21'd0;   end   else if (mg3bcd5) begin      if (oh779a) begin            uxbb61c <= LINK_TIMER_SH;      end      else if (nrec720) begin            uxbb61c <= jr3b8c;      end      else begin            uxbb61c <= ep80771;      end   end   else begin      uxbb61c <= xya7c70 + 'd1;   end
+end
+
+always @(posedge yz26485 or negedge rst_n) begin : xlba9b2   if(!rst_n ) begin kqdb0e5 <= 1'b0;    end   else begin    case(wy3e383)   1'b0: begin             if (mg3bcd5) begin      kqdb0e5 <= 1'b1;        end     end     1'b1: begin             if(xya7c70 == 21'd0) begin      kqdb0e5 <= 1'b0;        end     end     default: begin  kqdb0e5 <= 1'b0;        end        endcase   end
+end
+
+
+
+always @(posedge yz26485 or negedge rst_n) begin : pu96f23   if(!rst_n )      lq4798e <= 16'h0000;   else if(of70ad8)      lq4798e <= 16'h0000;   else if(an9aa07)      lq4798e <= rgd503d;   else      lq4798e <= ph197e3;
+end
+
+
+always @(posedge yz26485 or negedge rst_n) begin : tj91c64   if(!rst_n ) begin      mt3cc72 <= 16'h0000;   end   else begin     if(enf7170) begin        mt3cc72 <= rgd503d;     end     else begin        mt3cc72 <= gocbf1f;     end   end
+end
+
+always @(posedge yz26485 or negedge rst_n) begin : icf5dd1   if(!rst_n )      ble6395 <= 2'b00;   else if(of70ad8 || thf3540)      ble6395 <= 2'b00;   else if(an9aa07 && nrc7dc5 && (dz5f8f8 != 2'b10))      ble6395 <= dz5f8f8 + 2'b01;   else      ble6395 <= dz5f8f8;
+end
+always @(posedge yz26485 or negedge rst_n) begin : god1dc7   if(!rst_n )      ym31cae <= 2'b00;   else if(of70ad8 || thf3540)      ym31cae <= 2'b00;   else if(an9aa07 && aa3ee2e && (rtfc7c7 != 2'b10))      ym31cae <= rtfc7c7 + 2'b01;   else      ym31cae <= rtfc7c7;
+end
+
+always @(posedge yz26485 or negedge rst_n) begin : fcb8907   if(!rst_n )      ph8e571 <= 2'b00;   else if(of70ad8)      ph8e571 <= 2'b00;   else if(thf3540 && !byc5c2b)      ph8e571 <= lde3e3e + 2'b01;   else      ph8e571 <= lde3e3e;
+end
+
+
+
+
+always @(posedge yz26485 or negedge rst_n) begin   if(!rst_n) begin      kq68466             <= 16'd0;      by42331             <= 16'd0;      ng1198b             <= 16'd0;      je8cc5a       <= 16'd0;      dm662d3   <= 16'd0;      ou3169a <= 1'b0;   end   else begin                        kq68466[15:0] <= tw20ef3[16:1];      by42331 <= fad0b6b;      ng1198b <= yz85b5a;      if ((fad0b6b == yz85b5a) && (fad0b6b == rv2dad5))        dm662d3 <= rv2dad5;      else        dm662d3 <= bl6b571;                        je8cc5a <= bl6b571;                        if ((zk63907==1'b1) && (nrec720==1'b0)) begin                if (bl6b571 != ay6d6ae) begin          ou3169a <= 1'b1;     end        else begin          ou3169a <= 1'b0;         end      end      else begin          ou3169a <= 1'b0;      end         end
+end
+assign an_link_ok = ((nt8e0cb==co100ee) || (nt8e0cb==ie87d22)) ? 1'b1 : 1'b0;
+
+
+
+
+
+
+
+always @(nt8e0cb) begin   case (nt8e0cb)      an9a1f4            : gqa3c49 = "AN_ENABLE";      ned0fa4           : gqa3c49 = "AN_RESTART";      ie87d22   : gqa3c49 = "AN_DISABLE_LINK_OK";      mg3e910       : gqa3c49 = "ABILITY_DETECT";      vif4880   : gqa3c49 = "ACKNOWLEDGE_DETECT";      qva4403 : gqa3c49 = "COMPLETE_ACKNOWLEDGE";      vx2201d          : gqa3c49 = "IDLE_DETECT";      co100ee              : gqa3c49 = "LINK_OK";
+      default              : gqa3c49 = "***ERROR***";   endcase
+end
+
+always@* begin rv22600<=jea8e7a[0];tuf124a<=jea8e7a[1];vk89252<=jea8e7a[2];qg49292<=jea8e7a[3];cm49490<=jea8e7a[4];xj4a480<=jea8e7a[5];lq52407<={mr_adv_ability>>1,jea8e7a[6]};sw9203a<=jea8e7a[7];yz901d0<=jea8e7a[8];yz80e85<=jea8e7a[9];qv742d<=jea8e7a[10];do3a16d<=jea8e7a[11];fad0b6b<={kq68466>>1,jea8e7a[12]};yz85b5a<={by42331>>1,jea8e7a[13]};rv2dad5<={ng1198b>>1,jea8e7a[14]};ay6d6ae<={je8cc5a>>1,jea8e7a[15]};bl6b571<={dm662d3>>1,jea8e7a[16]};fn5ab8e<=jea8e7a[17];fad5c77<=jea8e7a[18];yzae3ba<=jea8e7a[19];hb71dd4<=jea8e7a[20];jr8eea7<=jea8e7a[21];yk7753e<=jea8e7a[22];phba9f1<={xya2ed8>>1,jea8e7a[23]};sud4f8e<=jea8e7a[24];xya7c70<={uxbb61c>>1,jea8e7a[25]};wy3e383<=jea8e7a[26];byf1c19<={rtd8728>>1,jea8e7a[27]};nt8e0cb<={yxc3947>>1,jea8e7a[28]};kd7065f<={nt1ca3c>>1,jea8e7a[29]};ba832fc<={ph28f31>>1,jea8e7a[30]};ph197e3<={lq4798e>>1,jea8e7a[31]};gocbf1f<={mt3cc72>>1,jea8e7a[32]};dz5f8f8<={ble6395>>1,jea8e7a[33]};rtfc7c7<={ym31cae>>1,jea8e7a[34]};lde3e3e<={ph8e571>>1,jea8e7a[35]};ec1f1f7<=jea8e7a[36];uvf8fb8<=jea8e7a[37];nrc7dc5<=jea8e7a[38];aa3ee2e<=jea8e7a[39];enf7170<=jea8e7a[40];jeb8b85<=jea8e7a[41];byc5c2b<=jea8e7a[42];mg2e15b<=jea8e7a[43];of70ad8<=jea8e7a[44];mt856c5<=jea8e7a[45];sw2b62c<=jea8e7a[46];kd5b160<=jea8e7a[47];rtd8b04<=jea8e7a[48];tuc5826<={off70d0>>1,jea8e7a[49]};cb2c133<=jea8e7a[50];qt6099d<=jea8e7a[51];pu4cec<=jea8e7a[52];wl26763<=jea8e7a[53];mt33b1c<=jea8e7a[54];qi9d8e4<={ls2aa14>>1,jea8e7a[55]};nrec720<=jea8e7a[56];zk63907<=jea8e7a[57];ph1c83b<=jea8e7a[58];dme41de<=jea8e7a[59];tw20ef3<={gqa3ed3>>1,jea8e7a[60]};oh779a<=jea8e7a[61];mg3bcd5<=jea8e7a[62];uide6a8<=jea8e7a[63];thf3540<=jea8e7a[64];an9aa07<=jea8e7a[65];rgd503d<={thc86a3>>1,jea8e7a[66]};twa81eb<=jea8e7a[67];xj40f5b<=jea8e7a[68];ir7adf<=jea8e7a[69];ph3d6fc<={gqa3c49>>1,jea8e7a[70]};end
+always@* begin ls351cf[2047]<=sgmii_mode;ls351cf[2046]<=force_unidir;ls351cf[2044]<=mr_main_reset;ls351cf[2040]<=mr_restart_an;ls351cf[2033]<=mr_an_enable;ls351cf[2019]<=mr_adv_ability[1];ls351cf[1999]<=ph8e571[0];ls351cf[1991]<=nr4ae92;ls351cf[1981]<=ofc342a;ls351cf[1958]<=ld550a3;ls351cf[1950]<=kf95c78;ls351cf[1947]<=bn27a48;ls351cf[1934]<=bld2597;ls351cf[1914]<=mg1a155;ls351cf[1892]<=ls8cd17;ls351cf[1868]<=xya851f;ls351cf[1852]<=pf71e24;ls351cf[1851]<=je8cc5a[0];ls351cf[1847]<=fp3d247;ls351cf[1820]<=qi92cbe;ls351cf[1783]<=ym3ee1a;ls351cf[1780]<=fad0aa8;ls351cf[1737]<=tu668bb;ls351cf[1689]<=by428fb;ls351cf[1657]<=ux8f127;ls351cf[1654]<=dm662d3[0];ls351cf[1647]<=the923e;ls351cf[1610]<=yz176c3;ls351cf[1608]<=uvd321a;ls351cf[1593]<=ls965f1;ls351cf[1523]<=ble6395[0];ls351cf[1519]<=off70d0[1];ls351cf[1513]<=yz85542;ls351cf[1426]<=qi345db;ls351cf[1404]<=lq4798e[0];ls351cf[1330]<=je147da;ls351cf[1267]<=me7893d;ls351cf[1260]<=ou3169a;ls351cf[1246]<=ui491f7;ls351cf[1225]<=qi1f699;ls351cf[1199]<=yxc3947[0];ls351cf[1173]<=uxbb61c[0];ls351cf[1169]<=ng990d4;ls351cf[1163]<=ec1a8f1;ls351cf[1139]<=twb2f8a;ls351cf[1023]<=gbe_mode;ls351cf[999]<=ym31cae[0];ls351cf[990]<=lsb8685;ls351cf[979]<=ls2aa14[0];ls351cf[973]<=ou24f49;ls351cf[946]<=bl719a2;ls351cf[925]<=ng1198b[0];ls351cf[891]<=dm47dc3;ls351cf[805]<=xya2ed8[0];ls351cf[804]<=rgda643;ls351cf[761]<=mt3cc72[0];ls351cf[702]<=ph28f31[0];ls351cf[612]<=gqa3ed3[1];ls351cf[599]<=rtd8728[0];ls351cf[581]<=ay4351e;ls351cf[556]<=gqa3c49[1];ls351cf[486]<=ykc49e9;ls351cf[473]<=hoee334;ls351cf[462]<=by42331[0];ls351cf[445]<=jc48fb8;ls351cf[402]<=lqfb4c8;ls351cf[351]<=nt1ca3c[0];ls351cf[299]<=kqdb0e5;ls351cf[290]<=thc86a3[0];ls351cf[278]<=wwd4789;ls351cf[231]<=kq68466[0];end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module gb65565 (
+   
+   ri2ab2d ,
+   rst_n ,
+   mr_main_reset ,
+   sgmii_mode ,
+   gbe_mode ,
+   jp6bc27 ,
+                  
+   
+   rx_data ,
+   rx_kcntl ,
+   db84fe1 ,
+                  
+   bld2597 ,
+   rx_even ,
+   vif148d ,
+                  
+   
+   uk97c52 ,
+   cofeb2 ,
+   wj7f590 ,
+   ayfac85 ,
+
+   end6428 ,
+   pub2144 ,
+   ux90a23 
+   );
+input         ri2ab2d;
+input         rst_n;
+input         mr_main_reset;
+input         sgmii_mode;
+input         gbe_mode;
+input         jp6bc27;
+input [7:0]   rx_data;
+input         rx_kcntl;
+input         db84fe1;
+input         bld2597;
+input         rx_even;
+input [1:0]   vif148d;
+output [15:0] uk97c52;
+output        cofeb2;
+output        wj7f590;
+output        ayfac85;
+output [7:0]  end6428;
+output        pub2144;
+output        ux90a23;
+localparam  ep2ca37 = 8'hBC ,             cz651bc = 8'hFE ,               nt28de5 = 8'hFB ,               ui46f2e = 8'hFD ,               hd37970 = 8'hF7 ,               cobcb86 = 8'hB5 ,               wwe5c31  = 8'h42 ,              ks2e188  = 8'hC5 ,              yx70c40 = 8'h50 ,               ng86205  = 8'h00 ,              ec3102b = 8'hFC ,               ec88158 = 8'h3C ;
+localparam  nr40ac0     = 5'd0 ,           ec5607       = 5'd1 ,           gq2b038         = 5'd2 ,           rg581c0        = 5'd3 ,           xwc0e03        = 5'd4 ,           ir701b        = 5'd5 ,           tj380dd       = 5'd6 ,           zkc06ee        = 5'd7 ,           vx3772     = 5'd8 ,           ri1bb97          = 5'd9 ,           zxddcbb     = 5'd10 ,           faee5de      = 5'd11 ,           ic72ef2      = 5'd12 ,           ks97790      = 5'd13 ,           mtbbc80         = 5'd14 ,           uide404     = 5'd15 ,           shf2020     = 5'd16 ,           sj90105      = 5'd17 ;
+reg               cb8082d;
+wire              vk416d;
+wire              ux90a23;
+reg               pub2144;
+reg [7:0]         end6428;
+reg               ic6d48e;
+reg [15:0]        uk97c52;
+reg               cofeb2;
+reg               wj7f590;
+reg               ayfac85;
+reg [7:0]         xj718b7 ;
+reg [7:0]         fc8c5bf ;
+reg [7:0]         su62dfa ;
+reg [7:0]         lf16fd1 ;
+reg [7:0]         xyb7e8f ;
+reg               dbbf47a ;
+reg               eafa3d7 ;
+reg               med1eb9 ;
+reg               cb8f5c8 ;
+reg               al7ae45 ;
+reg               fnd722c ;
+reg               wyb9166 ;
+reg               zxc8b30 ;
+reg               dz45987 ;
+reg               ep2cc3f ;
+reg               by661fc ;
+reg               zm30fe2 ;
+reg               uk87f13 ;
+reg               fc3f898 ;
+reg               thfc4c2 ;
+reg               ale2616 ;
+reg               tj130b4 ;
+reg               ec985a6 ;
+reg               zxc2d31 ;
+reg               vx1698e ;
+reg               anb4c77 ;
+reg               coa63bd ;
+reg               gq31de8 ;
+reg               bn8ef41 ;
+reg [4:0]         me77a0e ;
+reg [4:0]         ribd070 ;
+wire              kde8382 ;
+wire              cz41c15 ;
+wire              vke0ad ;
+wire              wj7056b ;
+wire              sw82b5c ;
+wire              ou15ae0 ;
+wire              riad700 ;
+wire              hb6b803 ;
+wire              cm5c01f ;
+wire              goe00f9 ;
+wire              qi7c9 ;
+wire              bn3e48 ;
+wire              ie1f246 ;
+wire              blf9230 ;
+reg [1:0] mrc9186;
+reg [1:0] xw48c34;
+reg ay4351e ;
+reg ec1a8f1 ;
+reg hq868cb;
+reg ec3465a;
+reg eca32d0;
+reg zz19680;
+reg jc4dbca;
+reg cm6de53;
+reg  [(20*8):1] psd01ce;
+reg qg49292;
+reg tuf124a;
+reg rv22600;
+reg qgce76d;
+reg [7 : 0] ho73b69;
+reg co9db4a;
+reg eneda50;
+reg yz901d0;
+reg zk69421;
+reg [1 : 0] th4a10b;
+reg hb5085f;
+reg ph842fe;
+reg qi217f6;
+reg [7 : 0] twbfb1;
+reg [7 : 0] dz5fd8a;
+reg [7 : 0] psfec57;
+reg [7 : 0] vvf62ba;
+reg [7 : 0] qvb15d7;
+reg yz8aebc;
+reg ps575e4;
+reg bnbaf22;
+reg nrd7916;
+reg mgbc8b7;
+reg zke45b9;
+reg ph22dcb;
+reg nt16e5f;
+reg ngb72ff;
+reg cob97fb;
+reg sucbfd8;
+reg vv5fec0;
+reg cmff607;
+reg xwfb039;
+reg ldd81cb;
+reg byc0e5f;
+reg oh72fb;
+reg oh397dd;
+reg kqcbeec;
+reg jp5f760;
+reg hofbb06;
+reg jpdd832;
+reg jpec196;
+reg uv60cb7;
+reg [4 : 0] xl65bc;
+reg [4 : 0] jr32de7;
+reg tw96f3e;
+reg jeb79f3;
+reg swbcf9a;
+reg wje7cd1;
+reg ou3e68d;
+reg rtf3469;
+reg kf9a34f;
+reg osd1a7c;
+reg qi8d3e0;
+reg dm69f00;
+reg vv4f802;
+reg rg7c016;
+reg ice00b2;
+reg gq591;
+reg [1 : 0] yz2c88;
+reg [1 : 0] nt16444;
+reg twa81eb;
+reg xj40f5b;
+reg ec8899f;
+reg qt44cf9;
+reg ep267cd;
+reg yz33e6c;
+reg uv5ef91;
+reg wjf7c8d;
+reg [(20 * 8) : 1] pfcd927;
+reg [2047:0] ls351cf;
+wire [68:0] jea8e7a;
+
+localparam ps473d2 = 69,wl39e93 = 32'hfdffc70b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n) begin      xj718b7 <= 8'h00 ;      fc8c5bf <= 8'h00 ;      su62dfa <= 8'h00 ;      lf16fd1 <= 8'h00 ;      xyb7e8f <= 8'h00 ;      dbbf47a <= 1'b0 ;      eafa3d7 <= 1'b0 ;      med1eb9 <= 1'b0 ;      cb8f5c8 <= 1'b0 ;      al7ae45 <= 1'b0 ;      fnd722c <= 1'b0 ;      wyb9166 <= 1'b0 ;      zxc8b30 <= 1'b0 ;      dz45987 <= 1'b0 ;      ep2cc3f <= 1'b0 ;      by661fc <= 1'b0 ;      zm30fe2 <= 1'b0 ;   end   else begin      xj718b7 <= ho73b69 ;      fc8c5bf <= twbfb1 ;      su62dfa <= dz5fd8a ;      lf16fd1 <= psfec57 ;      xyb7e8f <= vvf62ba ;      dbbf47a <= co9db4a ;      eafa3d7 <= yz8aebc ;      med1eb9 <= ps575e4 ;      cb8f5c8 <= bnbaf22 ;      al7ae45 <= eneda50 ;      fnd722c <= mgbc8b7 ;      wyb9166 <= zke45b9 ;      zxc8b30 <= ph22dcb ;      ep2cc3f <= zk69421 ;                  by661fc <= cob97fb ;      zm30fe2 <= sucbfd8 ;   end
+end
+always @(posedge ri2ab2d or negedge rst_n)
+begin  if(!rst_n) begin        ay4351e <= 1'b1;        ec1a8f1 <= 1'b1;        end     else begin      ay4351e <= qg49292;     ec1a8f1 <= twa81eb;     end
+end
+
+always @(posedge ri2ab2d or negedge rst_n) begin       if (!rst_n) begin       mrc9186 <= 2'd0 ;       xw48c34 <= 2'd0 ;       hq868cb <= 1'b0 ;       ec3465a <= 1'b0 ;       eca32d0 <= 1'b0 ;       zz19680 <= 1'b0 ;       jc4dbca <= 1'b0 ;       cm6de53 <= 1'b0 ;       end     else begin      mrc9186 <= th4a10b ;    xw48c34 <= yz2c88 ;     hq868cb <= qgce76d ;    ec3465a <= ec8899f ;    eca32d0 <= tuf124a ;    zz19680 <= ep267cd ;    jc4dbca <= rv22600 ;    cm6de53 <= uv5ef91 ;    end
+end
+
+assign kde8382 =  ((psfec57 == ep2ca37) & bnbaf22) ;
+assign cz41c15 =  ((psfec57 == nt28de5) & bnbaf22) ;
+assign vke0ad =  ((psfec57 == cobcb86) & ~bnbaf22) ;
+assign wj7056b  =  ((psfec57 == wwe5c31)  & ~bnbaf22) ;
+assign sw82b5c  = ~((psfec57 ==  ep2ca37) & bnbaf22) ;
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n) begin      uk87f13 <= 1'b0 ;      fc3f898 <= 1'b0 ;      thfc4c2 <= 1'b0 ;      ale2616  <= 1'b0 ;      tj130b4  <= 1'b0 ;   end   else begin      uk87f13 <= tw96f3e ;      fc3f898 <= jeb79f3 ;      thfc4c2 <= swbcf9a ;      ale2616  <= wje7cd1 ;      tj130b4  <= ou3e68d ;   end
+end
+
+
+assign ou15ae0 = (((psfec57 == ep2ca37) &  bnbaf22 ) &                        (                      ~ps575e4 ) &                        ((twbfb1 == ep2ca37) &  yz8aebc )                       ) ;
+
+
+assign riad700 = (((psfec57 == ep2ca37) &  bnbaf22 ) &                        (((dz5fd8a == cobcb86) |                          (dz5fd8a == wwe5c31)) & ~ps575e4 ) &                        ((twbfb1 == ng86205) &  ~yz8aebc )                       ) ;
+
+
+assign hb6b803 = (((psfec57 == ui46f2e) & bnbaf22 ) &                        ((dz5fd8a == hd37970) & ps575e4 ) &                        ((twbfb1 == ep2ca37) & yz8aebc )                       ) ;
+
+
+assign cm5c01f = (((psfec57 == ui46f2e) & bnbaf22 ) &                        ((dz5fd8a == hd37970) & ps575e4 ) &                        ((twbfb1 == hd37970) & yz8aebc )                       ) ;
+
+
+assign goe00f9 = (((psfec57 == hd37970) & bnbaf22 ) &                        ((dz5fd8a == hd37970) & ps575e4 ) &                        ((twbfb1 == hd37970) & yz8aebc )                       ) ;
+
+
+assign qi7c9 = (((psfec57 == hd37970) & bnbaf22 ) &                        ((dz5fd8a == hd37970) & ps575e4 ) &                        ((twbfb1 == ep2ca37) & yz8aebc )                       ) ;
+
+
+assign bn3e48 = (((psfec57 == hd37970) & bnbaf22 ) &                        ((dz5fd8a == hd37970) & ps575e4 ) &                        ((twbfb1 == nt28de5) & yz8aebc )                       ) ;
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n) begin      ec985a6 <= 1'b0 ;      zxc2d31 <= 1'b0 ;      vx1698e <= 1'b0 ;      anb4c77 <= 1'b0 ;      coa63bd <= 1'b0 ;      gq31de8 <= 1'b0 ;      bn8ef41 <= 1'b0 ;   end   else begin      ec985a6 <= rtf3469 ;      zxc2d31 <= kf9a34f ;      vx1698e <= osd1a7c ;      anb4c77 <= qi8d3e0 ;      coa63bd <= dm69f00 ;      gq31de8 <= vv4f802 ;      bn8ef41 <= rg7c016 ;   end
+end
+
+   assign ie1f246   = (nt16444 == 2'b01) ;   assign blf9230  = (nt16444 == 2'b10) ;
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n)      me77a0e <= ec5607 ;   else if (xj40f5b)      me77a0e <= ec5607 ;   else if (!yz901d0)      me77a0e <= nr40ac0 ;   else      me77a0e <= jr32de7 ;
+end
+
+
+always @(xl65bc or sucbfd8 or gq591 or ice00b2 or nrd7916 or nt16e5f or         oh397dd or kqcbeec or jp5f760 or hofbb06 or         jpdd832 or jpec196 or uv60cb7 or         cmff607 or xwfb039 or ldd81cb or byc0e5f or oh72fb ) begin   case (xl65bc)      nr40ac0 :         ribd070 = ec5607 ;      ec5607 :         if (cmff607 && sucbfd8)            ribd070 = gq2b038 ;         else            ribd070 = ec5607 ;      gq2b038 :         if (ldd81cb || byc0e5f)            ribd070 = rg581c0 ;         else if (!gq591 && (nrd7916 || nt16e5f))            ribd070 = tj380dd ;         else if ((!gq591 && (!nrd7916 && !nt16e5f) && !ldd81cb && !byc0e5f) ||                  (gq591 && !ldd81cb && !byc0e5f))            ribd070 = zkc06ee ;         else            ribd070 = gq2b038 ;      rg581c0 :         if (!nrd7916 && !nt16e5f)            ribd070 = xwc0e03 ;         else            ribd070 = tj380dd ;      xwc0e03 :         if (!nrd7916 && !nt16e5f)            ribd070 = ir701b ;         else            ribd070 = tj380dd ;      ir701b :         if (cmff607 && sucbfd8)            ribd070 = gq2b038 ;         else if (!cmff607 || !sucbfd8)            ribd070 = tj380dd ;         else            ribd070 = ir701b ;      tj380dd :         if (cmff607 && sucbfd8)            ribd070 = gq2b038;         else if (!cmff607 && sucbfd8)            ribd070 = ec5607;         else            ribd070 = tj380dd;      zkc06ee :         if (!cmff607 && !gq591)            ribd070 = tj380dd;         else if (gq591 && oh72fb)            if (!xwfb039)               ribd070 = vx3772;            else               ribd070 = ri1bb97;         else if ((gq591 && !oh72fb) || cmff607)            ribd070 = gq2b038;         else            ribd070 = zkc06ee;      vx3772 :         if (cmff607 && sucbfd8)            ribd070 = gq2b038;         else            ribd070 = vx3772;      ri1bb97 :         if ((oh397dd || kqcbeec) && sucbfd8)            ribd070 = zxddcbb;         else if (jp5f760 && sucbfd8)            ribd070 = faee5de;         else if (hofbb06)            ribd070 = ic72ef2;         else if (jpdd832)            ribd070 = uide404;         else if (!nrd7916 && !nt16e5f)            ribd070 = mtbbc80;         else            ribd070 = ks97790;      zxddcbb :         if (!ldd81cb && !byc0e5f)            ribd070 = zkc06ee;         else            ribd070 = rg581c0;      faee5de :         if (cmff607)            ribd070 = gq2b038;         else            ribd070 = faee5de;      ic72ef2 :         if (jpdd832)            ribd070 = ic72ef2;         else if (jpec196 && sucbfd8)            ribd070 = faee5de;         else if (uv60cb7)            ribd070 = shf2020;         else            ribd070 = sj90105;      ks97790 :         if ((oh397dd || kqcbeec) && sucbfd8)            ribd070 = zxddcbb;         else if (jp5f760 && sucbfd8)            ribd070 = faee5de;         else if (hofbb06)            ribd070 = ic72ef2;         else if (jpdd832)            ribd070 = uide404;         else if (!nrd7916 && !nt16e5f)            ribd070 = mtbbc80;         else            ribd070 = ks97790;      mtbbc80 :         if ((oh397dd || kqcbeec) && sucbfd8)            ribd070 = zxddcbb;         else if (jp5f760 && sucbfd8)            ribd070 = faee5de;         else if (hofbb06)            ribd070 = ic72ef2;         else if (jpdd832)            ribd070 = uide404;         else if (!nrd7916 && !nt16e5f)            ribd070 = mtbbc80;         else            ribd070 = ks97790;      uide404 :         if (jpdd832)            ribd070 = ic72ef2;         else if (jpec196 && sucbfd8)            ribd070 = faee5de;         else if (uv60cb7)            ribd070 = shf2020;         else            ribd070 = sj90105;      shf2020 :         if (xwfb039)            ribd070 = ri1bb97;         else            ribd070 = shf2020;      sj90105 :         if (xwfb039)            ribd070 = ri1bb97;         else if (cmff607 && sucbfd8)            ribd070 = gq2b038;         else if (!xwfb039 && !cmff607 && sucbfd8)            if (jpdd832)               ribd070 = ic72ef2;            else if (jpec196 && sucbfd8)               ribd070 = faee5de;            else if (uv60cb7)               ribd070 = shf2020;            else               ribd070 = sj90105;         else            ribd070 = sj90105;      default :         ribd070 = ec5607;   endcase
+end
+
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if(!rst_n)      cb8082d <= 1'b0;   else if (xl65bc == vx3772 || xl65bc == zxddcbb ||            xl65bc == ks97790 || xl65bc == uide404 ||            xl65bc == ic72ef2 || (xl65bc == nr40ac0 && qi217f6))      cb8082d <= 1'b1;   else if (xl65bc == xwc0e03 || xl65bc == ir701b || xl65bc == tj380dd ||            xl65bc == sj90105 || xl65bc == shf2020)      cb8082d <= hb5085f;   else      cb8082d <= 1'b0;
+end
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if(!rst_n)      pub2144 <= 1'b0;   else if (xl65bc == ri1bb97)      pub2144 <= 1'b1;   else if (xl65bc == xwc0e03 || xl65bc == ir701b || xl65bc == tj380dd ||            xl65bc == vx3772 || (xl65bc == nr40ac0 && qi217f6 == 1'b1) ||            xl65bc == zxddcbb || xl65bc == ks97790 ||            xl65bc == mtbbc80 || xl65bc == uide404)      pub2144 <= pub2144;   else      pub2144 <= 1'b0;
+end
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if(!rst_n)      end6428 <= 8'b00000000;   else if (xl65bc == ri1bb97)      end6428 <= 8'b01010101;   else if (xl65bc == shf2020 || xl65bc == ic72ef2)      end6428 <= 8'b00001111;   else if (xl65bc == sj90105)      end6428 <= 8'b00011111;   else if (xl65bc == vx3772)      end6428 <= 8'b00001110;   else if (xl65bc == mtbbc80)      end6428 <= qvb15d7;   else      end6428 <= 8'b00000000;
+end
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if(!rst_n)      ic6d48e <= 1'b0;   else if (xl65bc == zkc06ee && gq591 && oh72fb)      ic6d48e <= 1'b1;   else if (xl65bc == faee5de || (xl65bc == nr40ac0 && qi217f6) ||            xl65bc == ec5607 || xl65bc == gq2b038 ||            xl65bc == rg581c0 || xl65bc == zkc06ee)      ic6d48e <= 1'b0;   else      ic6d48e <= qi217f6;
+end         always @(posedge ri2ab2d or negedge rst_n) begin      if(!rst_n) begin         uk97c52 <= 16'h0000;      end      else if(xl65bc == xwc0e03) begin         uk97c52[7:0]  <= qvb15d7;         uk97c52[15:8] <= uk97c52[15:8];      end      else if(xl65bc == ir701b) begin         uk97c52[ 7:0] <= uk97c52[7:0];         uk97c52[15:8] <= qvb15d7;      end      else         uk97c52 <= uk97c52;   end
+         always @(posedge ri2ab2d or negedge rst_n) begin      if(!rst_n)         cofeb2 <= 1'b0;      else if(xl65bc == ir701b)         cofeb2 <= 1'b1;      else         cofeb2 <= 1'b0;   end   always @(posedge ri2ab2d or negedge rst_n) begin      if(!rst_n)         wj7f590 <= 1'b0;      else if(xl65bc == zkc06ee)         wj7f590 <= 1'b1;      else         wj7f590 <= 1'b0;   end   always @(posedge ri2ab2d or negedge rst_n) begin      if(!rst_n)         ayfac85 <= 1'b0;      else if((xl65bc == tj380dd  && ice00b2) ||            (xl65bc == nr40ac0 && !gq591))         ayfac85 <= 1'b1;      else         ayfac85 <= 1'b0;   end
+
+
+
+
+
+assign vk416d = qt44cf9                  ? (hb5085f & pub2144) : hb5085f;
+assign ux90a23     = (yz33e6c & !wjf7c8d)  ?  ph842fe                : hb5085f;
+
+
+
+
+always @(xl65bc)
+begin  case (xl65bc)   nr40ac0 : psd01ce = "LNK_FAIL"; ec5607  : psd01ce = "WAIT_K";   gq2b038 : psd01ce = "RX_K";     rg581c0 : psd01ce = "RX_CB";    xwc0e03 : psd01ce = "RX_CC";    ir701b  : psd01ce = "RX_CD";    tj380dd : psd01ce = "RX_INV";   zkc06ee : psd01ce = "IDL_D";    vx3772  : psd01ce = "FLS_CARR"; ri1bb97 : psd01ce = "SOP";      zxddcbb : psd01ce = "ERLY_END"; faee5de : psd01ce = "TRI_RRI";  ic72ef2 : psd01ce = "TRR_EXT";  ks97790 : psd01ce = "RXD_ERR";  mtbbc80 : psd01ce = "RX_D";     uide404 : psd01ce = "EEND_EXT"; shf2020 : psd01ce = "PKT_BRRS"; sj90105 : psd01ce = "EXT_ERR";  default : psd01ce = " ERROR ";  endcase
+end
+
+
+always@* begin qg49292<=jea8e7a[0];tuf124a<=jea8e7a[1];rv22600<=jea8e7a[2];qgce76d<=jea8e7a[3];ho73b69<={rx_data>>1,jea8e7a[4]};co9db4a<=jea8e7a[5];eneda50<=jea8e7a[6];yz901d0<=jea8e7a[7];zk69421<=jea8e7a[8];th4a10b<={vif148d>>1,jea8e7a[9]};hb5085f<=jea8e7a[10];ph842fe<=jea8e7a[11];qi217f6<=jea8e7a[12];twbfb1<={xj718b7>>1,jea8e7a[13]};dz5fd8a<={fc8c5bf>>1,jea8e7a[14]};psfec57<={su62dfa>>1,jea8e7a[15]};vvf62ba<={lf16fd1>>1,jea8e7a[16]};qvb15d7<={xyb7e8f>>1,jea8e7a[17]};yz8aebc<=jea8e7a[18];ps575e4<=jea8e7a[19];bnbaf22<=jea8e7a[20];nrd7916<=jea8e7a[21];mgbc8b7<=jea8e7a[22];zke45b9<=jea8e7a[23];ph22dcb<=jea8e7a[24];nt16e5f<=jea8e7a[25];ngb72ff<=jea8e7a[26];cob97fb<=jea8e7a[27];sucbfd8<=jea8e7a[28];vv5fec0<=jea8e7a[29];cmff607<=jea8e7a[30];xwfb039<=jea8e7a[31];ldd81cb<=jea8e7a[32];byc0e5f<=jea8e7a[33];oh72fb<=jea8e7a[34];oh397dd<=jea8e7a[35];kqcbeec<=jea8e7a[36];jp5f760<=jea8e7a[37];hofbb06<=jea8e7a[38];jpdd832<=jea8e7a[39];jpec196<=jea8e7a[40];uv60cb7<=jea8e7a[41];xl65bc<={me77a0e>>1,jea8e7a[42]};jr32de7<={ribd070>>1,jea8e7a[43]};tw96f3e<=jea8e7a[44];jeb79f3<=jea8e7a[45];swbcf9a<=jea8e7a[46];wje7cd1<=jea8e7a[47];ou3e68d<=jea8e7a[48];rtf3469<=jea8e7a[49];kf9a34f<=jea8e7a[50];osd1a7c<=jea8e7a[51];qi8d3e0<=jea8e7a[52];dm69f00<=jea8e7a[53];vv4f802<=jea8e7a[54];rg7c016<=jea8e7a[55];ice00b2<=jea8e7a[56];gq591<=jea8e7a[57];yz2c88<={mrc9186>>1,jea8e7a[58]};nt16444<={xw48c34>>1,jea8e7a[59]};twa81eb<=jea8e7a[60];xj40f5b<=jea8e7a[61];ec8899f<=jea8e7a[62];qt44cf9<=jea8e7a[63];ep267cd<=jea8e7a[64];yz33e6c<=jea8e7a[65];uv5ef91<=jea8e7a[66];wjf7c8d<=jea8e7a[67];pfcd927<={psd01ce>>1,jea8e7a[68]};end
+always@* begin ls351cf[2047]<=sgmii_mode;ls351cf[2046]<=gbe_mode;ls351cf[2044]<=jp6bc27;ls351cf[2040]<=rx_data[0];ls351cf[2032]<=rx_kcntl;ls351cf[2016]<=db84fe1;ls351cf[1985]<=bld2597;ls351cf[1927]<=hq868cb;ls351cf[1922]<=rx_even;ls351cf[1867]<=eafa3d7;ls351cf[1807]<=ec3465a;ls351cf[1804]<=wj7056b;ls351cf[1797]<=vif148d[0];ls351cf[1761]<=kde8382;ls351cf[1686]<=med1eb9;ls351cf[1623]<=qi7c9;ls351cf[1567]<=eca32d0;ls351cf[1561]<=sw82b5c;ls351cf[1558]<=by661fc;ls351cf[1547]<=cb8082d;ls351cf[1542]<=zxc2d31;ls351cf[1505]<=ay4351e;ls351cf[1490]<=xyb7e8f[0];ls351cf[1475]<=cz41c15;ls351cf[1413]<=dz45987;ls351cf[1409]<=tj130b4;ls351cf[1400]<=mrc9186[0];ls351cf[1324]<=cb8f5c8;ls351cf[1200]<=fnd722c;ls351cf[1199]<=bn3e48;ls351cf[1086]<=zz19680;ls351cf[1074]<=ou15ae0;ls351cf[1068]<=zm30fe2;ls351cf[1047]<=vk416d;ls351cf[1037]<=vx1698e;ls351cf[1023]<=mr_main_reset;ls351cf[963]<=ec1a8f1;ls351cf[933]<=dbbf47a;ls351cf[902]<=vke0ad;ls351cf[880]<=ribd070[0];ls351cf[811]<=goe00f9;ls351cf[779]<=ep2cc3f;ls351cf[771]<=ec985a6;ls351cf[752]<=xw48c34[0];ls351cf[745]<=lf16fd1[0];ls351cf[706]<=zxc8b30;ls351cf[704]<=ale2616;ls351cf[700]<=blf9230;ls351cf[600]<=al7ae45;ls351cf[503]<=psd01ce[1];ls351cf[440]<=me77a0e[0];ls351cf[405]<=cm5c01f;ls351cf[372]<=su62dfa[0];ls351cf[353]<=wyb9166;ls351cf[352]<=thfc4c2;ls351cf[350]<=ie1f246;ls351cf[251]<=cm6de53;ls351cf[220]<=bn8ef41;ls351cf[202]<=hb6b803;ls351cf[186]<=fc8c5bf[0];ls351cf[176]<=fc3f898;ls351cf[125]<=jc4dbca;ls351cf[110]<=gq31de8;ls351cf[101]<=riad700;ls351cf[93]<=xj718b7[0];ls351cf[88]<=uk87f13;ls351cf[55]<=coa63bd;ls351cf[46]<=ic6d48e;ls351cf[27]<=anb4c77;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module gb51bec (
+   ri2ab2d ,
+   rst_n ,
+   mr_main_reset ,
+                  
+   signal_detect ,
+   rx_data ,
+   rx_kcntl ,
+   db84fe1 ,
+                
+   bld2597 ,
+   rx_even  
+   );
+input                ri2ab2d ;
+input                rst_n ;
+input                mr_main_reset ;
+input                signal_detect ;
+input [7:0]          rx_data ;
+input                rx_kcntl ;
+input                db84fe1 ;
+output               bld2597 ;
+output               rx_even ;
+localparam aa3bad7          = 4'b0000 ,          fndd6b8     = 4'b0001 ,          faeb5c7    = 4'b0010 ,          ld5ae3a     = 4'b0011 ,          dzd71d7    = 4'b0100 ,          hqb8ebe     = 4'b0101 ,          nrc75f3   = 4'b0110 ,          fc3af9f   = 4'b0111 ,          thd7cfa  = 4'b1000 ,          kfbe7d7   = 4'b1001 ,          ldf3ebd  = 4'b1010 ,          kf9f5ee   = 4'b1011 ,          osfaf74  = 4'b1100 ;
+reg         bld2597 ;
+reg         rx_even ;
+reg [3:0]   hoee83b ;
+reg [3:0]   fn741db ;
+reg [1:0]   pha0ede ;
+reg         ir76f6 ;
+reg         qi3b7b5 ;
+reg ay4351e ;
+reg ec1a8f1 ;
+reg         nrf6a49 ;
+reg         bnb524e ;
+reg         gqa9276 ;
+wire        ui493b1 ;
+reg         ic49d88 ;
+reg         wj4ec41 ;
+reg         zk7620c ;
+reg  [(20*8):1] wlb1063;
+reg qg49292;
+reg uv418e0;
+reg [7 : 0] ho73b69;
+reg co9db4a;
+reg eneda50;
+reg [3 : 0] qge0b9f;
+reg [3 : 0] ou5cf8;
+reg [1 : 0] mg2e7c1;
+reg ne73e08;
+reg an9f042;
+reg twa81eb;
+reg xj40f5b;
+reg ep8520;
+reg lq42904;
+reg ls14822;
+reg uxa4112;
+reg zm20895;
+reg je44aa;
+reg xl22552;
+reg [(20 * 8) : 1] ux12a96;
+reg [2047:0] ls351cf;
+wire [19:0] jea8e7a;
+
+localparam ps473d2 = 20,wl39e93 = 32'hfdffe0cb;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+assign ui493b1 = ( co9db4a & (ho73b69[5:0] == 6'b111100) &                                ((ho73b69[7:6] == 2'b00) |                                 (ho73b69[7:6] == 2'b10) |                                 (ho73b69[7:6] == 2'b11)));
+
+
+
+
+
+
+
+
+always @(posedge ri2ab2d or negedge rst_n)
+begin  if(!rst_n) begin        ay4351e <= 1'b1;        ec1a8f1 <= 1'b1;        end     else begin      ay4351e <= qg49292;     ec1a8f1 <= twa81eb;     end
+end
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n) begin      zk7620c <= 1'b0 ;      ic49d88     <= 1'b0 ;      wj4ec41     <= 1'b0 ;   end   else  begin      zk7620c <= uxa4112 & ~eneda50;      ic49d88     <= (eneda50 | (uxa4112 & ls14822));      wj4ec41     <= ~co9db4a & ~zm20895 ;   end
+end
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n) begin      ir76f6 <= 1'b0 ;      qi3b7b5 <= 1'b0 ;      nrf6a49 <= 1'b0 ;      bnb524e   <= 1'b0 ;      gqa9276  <= 1'b0 ;   end   else  begin      ir76f6 <= uv418e0 ;            qi3b7b5 <= ne73e08 ;       nrf6a49 <= an9f042 ;      bnb524e   <= (an9f042 ^ ep8520) ;      gqa9276  <= rx_even ;   end
+end
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if(!rst_n)      hoee83b <= aa3bad7 ;   else if (xj40f5b || lq42904)      hoee83b <= aa3bad7 ;   else      hoee83b <= ou5cf8 ;
+end
+
+
+always @(qge0b9f or xl22552 or je44aa or mg2e7c1 or rx_even or zm20895) begin   case (qge0b9f)      aa3bad7 :         if (xl22552 && ep8520)            fn741db = fndd6b8 ;         else            fn741db = aa3bad7 ;      fndd6b8 :         if (je44aa)            fn741db = faeb5c7 ;         else            fn741db = aa3bad7 ;      faeb5c7 :         if (!rx_even && xl22552)            fn741db = ld5ae3a ;         else if (zm20895)            fn741db = aa3bad7 ;         else            fn741db = faeb5c7 ;      ld5ae3a :         if (je44aa)            fn741db = dzd71d7 ;         else            fn741db = aa3bad7 ;      dzd71d7 :          if (!rx_even && xl22552)            fn741db = hqb8ebe ;          else if (zm20895)            fn741db = aa3bad7 ;          else            fn741db = dzd71d7 ;      hqb8ebe:   if (je44aa)             fn741db = nrc75f3;           else             fn741db = aa3bad7;      nrc75f3:         if (zm20895)            fn741db = fc3af9f;         else            fn741db = nrc75f3;      fc3af9f:         if (zm20895)            fn741db = kfbe7d7;         else            fn741db = thd7cfa;      thd7cfa:         if (zm20895)            fn741db = kfbe7d7;         else if (mg2e7c1 == 2'b11)            fn741db = nrc75f3;         else            fn741db = thd7cfa;      kfbe7d7:         if (zm20895)            fn741db = kf9f5ee;         else            fn741db = ldf3ebd;      ldf3ebd:         if (zm20895)            fn741db = kf9f5ee;         else if (mg2e7c1 == 2'b11)            fn741db = fc3af9f;         else            fn741db = ldf3ebd;      kf9f5ee:         if (zm20895)            fn741db = aa3bad7;         else            fn741db = osfaf74;      osfaf74:         if (zm20895)            fn741db = aa3bad7;         else if (mg2e7c1 == 2'b11)            fn741db = kfbe7d7;         else            fn741db = osfaf74;      default:         fn741db = aa3bad7;   endcase
+end
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n)      pha0ede <= 2'b00;   else if ((qge0b9f == thd7cfa) ||            (qge0b9f == ldf3ebd) ||            (qge0b9f == osfaf74))      pha0ede <= mg2e7c1 + 1'b1;   else      pha0ede <= 2'b00;
+end
+
+
+always @(posedge ri2ab2d or negedge rst_n) begin   if (!rst_n)      bld2597 <= 1'b0;   else if (qge0b9f == aa3bad7)      bld2597 <= 1'b0;   else if (qge0b9f == nrc75f3)      bld2597 <= 1'b1;   else      bld2597 <= bld2597 ;
+end
+always @(qge0b9f or ls14822) begin   case (qge0b9f)      fndd6b8, ld5ae3a, hqb8ebe :         rx_even = 1'b1 ;      aa3bad7, faeb5c7, dzd71d7,      nrc75f3, fc3af9f, kfbe7d7, kf9f5ee,      thd7cfa, ldf3ebd, osfaf74 :         rx_even = ~ls14822 ;      default :         rx_even = 1'b0;   endcase
+end
+
+
+
+
+
+always @(qge0b9f)
+begin  case (qge0b9f)  aa3bad7 : wlb1063 = "LOS";      fndd6b8 : wlb1063 = "COM_DET1"; faeb5c7 : wlb1063 = "AQR_SYNC1";        ld5ae3a : wlb1063 = "COM_DET2"; dzd71d7 : wlb1063 = "AQR_SYNC2";        hqb8ebe : wlb1063 = "COM_DET3"; nrc75f3 : wlb1063 = "SYNC_AQRD1";       fc3af9f : wlb1063 = "SYNC_AQRD2";       thd7cfa : wlb1063 = "SYNC_AQRD2A";      kfbe7d7 : wlb1063 = "SYNC_AQRD3";       ldf3ebd : wlb1063 = "SYNC_AQRD3A";      kf9f5ee : wlb1063 = "SYNC_AQRD4";       osfaf74 : wlb1063 = "SYNC_AQRD4A";      default : wlb1063 = " ERROR ";  endcase
+end
+
+
+always@* begin qg49292<=jea8e7a[0];uv418e0<=jea8e7a[1];ho73b69<={rx_data>>1,jea8e7a[2]};co9db4a<=jea8e7a[3];eneda50<=jea8e7a[4];qge0b9f<={hoee83b>>1,jea8e7a[5]};ou5cf8<={fn741db>>1,jea8e7a[6]};mg2e7c1<={pha0ede>>1,jea8e7a[7]};ne73e08<=jea8e7a[8];an9f042<=jea8e7a[9];twa81eb<=jea8e7a[10];xj40f5b<=jea8e7a[11];ep8520<=jea8e7a[12];lq42904<=jea8e7a[13];ls14822<=jea8e7a[14];uxa4112<=jea8e7a[15];zm20895<=jea8e7a[16];je44aa<=jea8e7a[17];xl22552<=jea8e7a[18];ux12a96<={wlb1063>>1,jea8e7a[19]};end
+always@* begin ls351cf[2047]<=signal_detect;ls351cf[2046]<=rx_data[0];ls351cf[2044]<=rx_kcntl;ls351cf[2040]<=db84fe1;ls351cf[2033]<=hoee83b[0];ls351cf[2018]<=fn741db[0];ls351cf[1988]<=pha0ede[0];ls351cf[1929]<=ir76f6;ls351cf[1811]<=qi3b7b5;ls351cf[1789]<=zk7620c;ls351cf[1574]<=ay4351e;ls351cf[1530]<=wlb1063[1];ls351cf[1247]<=ui493b1;ls351cf[1101]<=ec1a8f1;ls351cf[1023]<=mr_main_reset;ls351cf[894]<=wj4ec41;ls351cf[623]<=gqa9276;ls351cf[447]<=ic49d88;ls351cf[311]<=bnb524e;ls351cf[155]<=nrf6a49;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module ba1bf62 (
+       rst_n,
+       mr_main_reset,
+       an_link_ok,
+       ic626ef,
+
+       gbe_mode,
+       operational_rate,
+
+       lddde37,
+       wwef1be,
+       os78df0,
+       qtc6f81,
+
+       bn37c0c,
+       irbe066,
+       suf0335,
+       ph819a8,
+       xlcd47,
+       ctc_drop_flag,
+       ctc_add_flag
+);
+input  rst_n;
+input  mr_main_reset;
+input  an_link_ok;
+input  ic626ef;
+input  gbe_mode;
+input [1:0]    operational_rate;
+input  lddde37;
+input [7:0]    wwef1be;
+input  os78df0;
+input  qtc6f81;
+input  bn37c0c;
+output [7:0]   irbe066;
+output suf0335;
+output ph819a8;
+output xlcd47;
+output ctc_drop_flag;
+output ctc_add_flag;
+parameter DYNAMIC_LT_10MBPS = 340;
+parameter DYNAMIC_HT_10MBPS = 680;
+parameter DYNAMIC_LT_100MBPS = 34;
+parameter DYNAMIC_HT_100MBPS = 68;
+parameter DYNAMIC_LT_1000MBPS = 16;
+parameter DYNAMIC_HT_1000MBPS = 32;
+localparam     jr9b431 = 2'd0, hoda18e = 2'd1, rgd0c72 = 2'd2, pu86392 = 2'd3;
+reg[1:0] uk31c93;
+localparam     jr8e499 = 3'd0, cm724cf = 3'd1, sw92679 = 3'd2, xy933c8 = 3'd3, ls99e42 = 3'd4, xwcf212 = 3'd5;
+reg [2:0] lq79093;
+reg [7:0] pfc849a;
+reg vi424d6;
+reg sj126b3 ;
+reg [7:0] xy9359c;
+reg mt9ace2;
+reg kdd6715 ;
+reg [7:0] ksb38ac;
+reg ba9c560;
+reg ale2b03 ;
+reg uk1581d;
+reg mgac0e8;
+reg sh60741;
+reg lf3a0d;
+reg mt1d06e;
+reg kde8375;
+reg cz41bad;
+reg jp40b00;
+reg [7:0] irbe066;
+reg suf0335;
+reg ph819a8;
+wire [7:0] ie3102c;
+wire ay6df50;
+wire en44c40;
+wire su7d405;
+wire qgea028;
+wire vv50140;
+reg an80a07;
+wire ks88160;
+reg jr281e8;
+reg vv40f47;
+reg sj7a3f;
+reg rv3d1fc;
+reg kde8fe6;
+reg xw47f34;
+reg do3f9a2;
+wire xlcd47;
+wire [7:0] hbe6897;
+reg [1:0] cmcba31;
+reg [1:0] vi5d188;
+reg [1:0] mt12fc2;
+reg [1:0] ec97e15;
+reg vxbf0a9;
+reg uvf854f;
+reg jpc2a7c;
+reg uk153e6;
+reg ec88708;
+reg vv4f988;
+reg rg7cc46;
+reg pfe6233;
+reg gq3119e;
+reg uk88cf0;
+reg me46781;
+reg [9:0] yz33c09;
+reg [9:0] lf9e04c;
+reg jc4dbca;
+reg cm6de53;
+reg [1:0] ph9986;
+reg [1:0] yk4cc37;
+reg tu661b9;
+reg ng30dca;
+reg do86e53;
+reg bn3729a;
+reg ksb94d7 ;
+reg faca6b8 ;
+reg ww535c3 ;
+reg ep9ae18 ;
+reg zxd70c5;
+reg bab862e;
+reg ctc_drop_flag;
+reg yz18b95;
+reg xwc5cac;
+reg ctc_add_flag;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+`endif\r
+reg  [(22*8):1] qg72b18;
+reg  [(22*8):1] yz958c0;
+reg qg49292;
+reg ne63021;
+reg sj1810a;
+reg rv22600;
+reg [1 : 0] tw42ab;
+reg [7 : 0] wy2155a;
+reg ouaad1;
+reg pf55688;
+reg [1 : 0] epab447;
+reg [2 : 0] dz5a23c;
+reg [7 : 0] kqd11e6;
+reg ou88f31;
+reg rg47989;
+reg [7 : 0] ir3cc4a;
+reg vve6255;
+reg co312ae;
+reg [7 : 0] pu89570;
+reg xj4ab82;
+reg jp55c16;
+reg epae0b6;
+reg cz705b4;
+reg ou82da4;
+reg lf16d20;
+reg wyb6907;
+reg uxb4838;
+reg ira41c5;
+reg th40935;
+reg [7 : 0] bn3d024;
+reg bn38b55;
+reg qt60f40;
+reg xl2d57b;
+reg cz6abdf;
+reg rt55efd;
+reg rvaf7ee;
+reg mre8126;
+reg bydfbb6;
+reg alfddb3;
+reg hoeed9d;
+reg dm76ceb;
+reg wyb675a;
+reg wyb3ad1;
+reg sw9d688;
+reg [7 : 0] faeb441;
+reg [1 : 0] epb1475;
+reg [1 : 0] gd8a3ac;
+reg [1 : 0] gq882b1;
+reg [1 : 0] cz41588;
+reg qiac44;
+reg cm56220;
+reg anb1100;
+reg ym88804;
+reg ecacb35;
+reg fc2010c;
+reg ie863;
+reg ri4319;
+reg gq218ca;
+reg phc650;
+reg vi63286;
+reg [9 : 0] fp19432;
+reg [9 : 0] faca197;
+reg uv5ef91;
+reg wjf7c8d;
+reg [1 : 0] ph32ef3;
+reg [1 : 0] ou9779e;
+reg sjbbcf0;
+reg qgde784;
+reg nrf3c20;
+reg bn9e106;
+reg uif0836;
+reg jr841b1;
+reg vk20d8e;
+reg fp6c72;
+reg qv36396;
+reg cbb1cb5;
+reg vx8e5ad;
+reg ui72d6f;
+reg [(22 * 8) : 1] do96b78;
+reg [(22 * 8) : 1] ntb5bc3;
+reg [2047:0] ls351cf;
+wire [77:0] jea8e7a;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+localparam ps473d2 = 78,wl39e93 = 32'hfdffd48b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ww535c3 <= 1'b1;        ep9ae18 <= 1'b1;        end     else begin      ww535c3 <= qg49292;     ep9ae18 <= vk20d8e;     end
+end
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jc4dbca <= 1'b0;        cm6de53 <= 1'b0;        end     else begin      jc4dbca <= rv22600;     cm6de53 <= uv5ef91;     end
+end
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ph9986 <= 2'b10;        yk4cc37 <= 2'b10;       end     else begin      ph9986 <= tw42ab;       yk4cc37 <= ph32ef3;     end
+end
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        tu661b9 <= 1'b0;        ng30dca <= 1'b0;        do86e53 <= 1'b1;        bn3729a <= 1'b1;        end     else begin      tu661b9 <= ne63021;     ng30dca <= sjbbcf0;     do86e53 <= sj1810a;     bn3729a <= nrf3c20;     end
+end
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        cmcba31 <= 2'b10;       vi5d188 <= 2'b10;       vxbf0a9 <= 1'b1;        uvf854f <= 1'b1;        jpc2a7c <= 1'b1;        uk153e6 <= 1'b1;        ec88708 <= 1'b1;        end     else begin      if (wjf7c8d) begin      cmcba31 <= 2'b10;       end     else if (qgde784 || (!bn9e106)) begin           cmcba31 <= ou9779e;     end     else begin      cmcba31 <= 2'b10;       end             vi5d188 <= epb1475;             vxbf0a9 <= epb1475 != gd8a3ac;  uvf854f <= qiac44;      jpc2a7c <= cm56220;     uk153e6 <= anb1100;             ec88708 <= qiac44 | cm56220 | anb1100 | ym88804;        end
+end
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ksb94d7 <= 1'b1;        faca6b8 <= 1'b1;        end     else begin      ksb94d7 <= qg49292;     faca6b8 <= uif0836;     end
+end
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        mt12fc2 <= 2'b10;       ec97e15 <= 2'b10;       end     else begin      mt12fc2 <= epb1475;     ec97e15 <= gq882b1;     end
+end
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        vv4f988 <= 1'b1;        rg7cc46 <= 1'b1;        pfe6233 <= 1'b1;        end     else begin  if (jr841b1) begin  vv4f988 <= 1'b1;        rg7cc46 <= 1'b1;        pfe6233 <= 1'b1;  end  else begin               vv4f988 <= ecacb35;     rg7cc46 <= fc2010c;             pfe6233 <= ie863;       end
+end
+end
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        gq3119e <= 1'b1;        uk88cf0 <= 1'b1;        me46781 <= 1'b1;        end     else begin  if (fp6c72) begin   gq3119e <= 1'b1;        uk88cf0 <= 1'b1;        me46781 <= 1'b1;  end  else begin               gq3119e <= ecacb35;     uk88cf0 <= gq218ca;             me46781 <= phc650;      end
+end
+end
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        yz33c09 <= 10'd16;      end     else begin      case (gd8a3ac)  2'd0: begin     yz33c09 <= DYNAMIC_LT_10MBPS;   end     2'd1: begin     yz33c09 <= DYNAMIC_LT_100MBPS;  end     2'd2: begin     yz33c09 <= DYNAMIC_LT_1000MBPS; end     2'd3: begin     yz33c09 <= DYNAMIC_LT_1000MBPS; end     default: begin  yz33c09 <= fp19432;     end     endcase end
+end
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        lf9e04c <= 10'd32;      end     else begin      case (cz41588)  2'd0: begin     lf9e04c <= DYNAMIC_HT_10MBPS;   end     2'd1: begin     lf9e04c <= DYNAMIC_HT_100MBPS;  end     2'd2: begin     lf9e04c <= DYNAMIC_HT_1000MBPS; end     2'd3: begin     lf9e04c <= DYNAMIC_HT_1000MBPS; end     default: begin  lf9e04c <= faca197;     end     endcase end
+end
+
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        pfc849a <= 8'd0;        vi424d6 <= 1'b0;        sj126b3 <= 1'b0;        xy9359c <= 8'd0;        mt9ace2 <= 1'b0;        kdd6715 <= 1'b0;        ksb38ac <= 8'd0;        ba9c560 <= 1'b0;        ale2b03 <= 1'b0;        end     else begin      pfc849a <= wy2155a;     vi424d6 <= ouaad1;      sj126b3 <= pf55688;     xy9359c <= kqd11e6;     mt9ace2 <= ou88f31;     kdd6715 <= rg47989;     ksb38ac <= ir3cc4a;     ba9c560 <= vve6255;     ale2b03 <= co312ae;     end
+end
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        uk1581d <= 1'b0;        mgac0e8 <= 1'b0;        sh60741 <= 1'b0;        lf3a0d <= 1'b0; mt1d06e <= 1'b0;        kde8375 <= 1'b0;        end     else begin              if ((ou88f31 == 1'b1) && (kqd11e6 == 8'hBC)) begin      uk1581d <= 1'b1;        end     else begin      uk1581d <= 1'b0;        end
+               if ((ouaad1 == 1'b0) && (wy2155a == 8'hC5)) begin       mgac0e8 <= 1'b1;        end     else begin      mgac0e8 <= 1'b0;        end             if ((ouaad1 == 1'b0) && (wy2155a == 8'h50)) begin       sh60741 <= 1'b1;        end     else begin      sh60741 <= 1'b0;        end             if ((ouaad1 == 1'b0) && (wy2155a == 8'h42)) begin       lf3a0d <= 1'b1; end     else begin      lf3a0d <= 1'b0; end             if ((ouaad1 == 1'b0) && (wy2155a == 8'hB5)) begin       mt1d06e <= 1'b1;        end     else begin      mt1d06e <= 1'b0;        end             if (epae0b6 && (lf16d20 || wyb6907)) begin      kde8375 <= 1'b1;        end     else begin      kde8375 <= 1'b0;        end     end
+end
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        uk31c93 <= jr9b431;     cz41bad <= 1'b0;        zxd70c5 <= 1'b0;        end     else begin  if (ri4319) begin   uk31c93 <= jr9b431;     cz41bad <= 1'b0;        zxd70c5 <= 1'b0;  end  else begin               zxd70c5 <= 1'b0;        case (epab447)  jr9b431:        begin   if (cz6abdf) begin                      if (epae0b6 && (ou82da4 || lf16d20 || wyb6907)) begin   cz41bad <= 1'b0;        uk31c93 <= hoda18e;     zxd70c5 <= 1'b1;        end     end     else begin              cz41bad <= 1'b1;        uk31c93 <= jr9b431;     end     end     hoda18e:        begin           cz41bad <= 1'b0;        zxd70c5 <= 1'b1;        if (uxb4838) begin              uk31c93 <= rgd0c72;     end     else begin      uk31c93 <= jr9b431;     end     end     rgd0c72:        begin           cz41bad <= 1'b0;        zxd70c5 <= 1'b1;        uk31c93 <= pu86392;     end     pu86392:        begin           cz41bad <= 1'b0;        zxd70c5 <= 1'b1;        uk31c93 <= jr9b431;     end
+       default:        begin   uk31c93 <= jr9b431;     end     endcase  end    end
+end
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        bab862e <= 1'b0;        ctc_drop_flag <= 1'b0;  end     else begin      bab862e <= qv36396;     ctc_drop_flag <= qv36396 | cbb1cb5;     end
+end
+
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        lq79093 <= jr8e499;     irbe066 <= 8'hBC;       suf0335 <= 1'b1;        ph819a8 <= 1'b0;        jp40b00 <= 1'b0;        yz18b95 <= 1'b1;        end     else begin  if (vi63286) begin  lq79093 <= jr8e499;     irbe066 <= 8'hBC;       suf0335 <= 1'b1;        ph819a8 <= 1'b0;        jp40b00 <= 1'b0;        yz18b95 <= 1'b1;  end  else begin               irbe066 <= bn3d024;     suf0335 <= bn38b55;     ph819a8 <= qt60f40;     jp40b00 <= 1'b0;        yz18b95 <= 1'b0;        case (dz5a23c)  jr8e499:        begin           suf0335 <= 1'b1;        ph819a8 <= 1'b0;        irbe066 <= 8'hBC;       yz18b95 <= 1'b1;        if (xl2d57b) begin              lq79093 <= xwcf212;     end     else begin              jp40b00 <= 1'b1;        lq79093 <= cm724cf;     end     end     cm724cf:        begin           suf0335 <= 1'b0;        ph819a8 <= 1'b0;        irbe066 <= 8'h50;       yz18b95 <= 1'b1;        jp40b00 <= 1'b1;        lq79093 <= ls99e42;     end     sw92679:        begin           suf0335 <= 1'b1;        ph819a8 <= 1'b0;        irbe066 <= 8'hBC;       yz18b95 <= 1'b1;        if (xl2d57b) begin              lq79093 <= xwcf212;     end     else begin              jp40b00 <= 1'b1;        lq79093 <= xy933c8;     end     end
+       xy933c8:        begin           jp40b00 <= 1'b1;        lq79093 <= ls99e42;     end
+
+       ls99e42:        begin                   if (xl2d57b && bn38b55 && (bn3d024 == 8'hBC)) begin             suf0335 <= 1'b1;        ph819a8 <= 1'b0;        irbe066 <= 8'hBC;       yz18b95 <= 1'b1;        lq79093 <= xwcf212;     end     else begin              jp40b00 <= 1'b1;        lq79093 <= ls99e42;     end     end     xwcf212:        begin           suf0335 <= 1'b0;        ph819a8 <= 1'b0;        irbe066 <= 8'h50;       yz18b95 <= 1'b1;        lq79093 <= sw92679;     end     default:        begin   lq79093 <= jr8e499;     end     endcase  end    end
+end
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        xwc5cac <= 1'b1;        ctc_add_flag <= 1'b1;   end     else begin      xwc5cac <= vx8e5ad;     ctc_add_flag <= vx8e5ad | ui72d6f;      end
+end
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        vv40f47 <= 1'd0;        sj7a3f <= 1'd0; rv3d1fc <= 1'd0;        an80a07 <= 1'd0;        end     else begin  if (ri4319) begin   vv40f47 <= 1'd0;        sj7a3f <= 1'd0; rv3d1fc <= 1'd0;        an80a07 <= 1'd0;  end  else begin               an80a07 <= rt55efd;             if (rvaf7ee && ira41c5) begin   vv40f47 <= 1'd1;        end     else begin      vv40f47 <= 1'd0;        end             sj7a3f <= alfddb3;              rv3d1fc <= alfddb3 | hoeed9d;  end      end
+end
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        kde8fe6 <= 1'd0;        xw47f34 <= 1'd0;        do3f9a2 <= 1'd0;        jr281e8 <= 1'd1;        end     else begin  if (vi63286) begin  kde8fe6 <= 1'd0;        xw47f34 <= 1'd0;        do3f9a2 <= 1'd0;        jr281e8 <= 1'd1;  end  else begin               jr281e8 <= mre8126;             if (bydfbb6 && th40935) begin   kde8fe6 <= 1'd1;        end     else begin      kde8fe6 <= 1'd0;        end             xw47f34 <= wyb675a;             do3f9a2 <= wyb675a | wyb3ad1;  end      end
+end
+
+
+assign xlcd47 = dm76ceb | sw9d688;
+
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+               tjb57bb   ohab5fd (  .icf5b61(ri4319),  .uv5eef5(lddde37),  .yzbbd6d(ira41c5),  .xw6c250(faca197),  .wlabdde({8'd0, jp55c16, xj4ab82, pu89570}),
+  .puadb09(vi63286),  .wjf77ad(bn37c0c),  .medeb6c(th40935),  .kq6d84a(fp19432),  .dz61283({hbe6897, en44c40, ay6df50, ie3102c}),
+  .vx941f(ks88160),  .th4a0fe(vv50140),  .hb507f7(su7d405),  .ri83fbf(qgea028)  );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+               zm209c0   ohab5fd (  .icf5b61(ri4319),  .uv5eef5(lddde37),  .yzbbd6d(ira41c5),  .xw6c250(faca197),  .wlabdde({8'd0, jp55c16, xj4ab82, pu89570}),
+  .puadb09(vi63286),  .wjf77ad(bn37c0c),  .medeb6c(th40935),  .kq6d84a(fp19432),  .dz61283({hbe6897, en44c40, ay6df50, ie3102c}),
+  .vx941f(ks88160),  .th4a0fe(vv50140),  .hb507f7(su7d405),  .ri83fbf(qgea028)  );
+
+`endif\r
+
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+               jr8178b   ohab5fd (  .icf5b61(ri4319),  .uv5eef5(lddde37),  .yzbbd6d(ira41c5),  .xw6c250(faca197),  .wlabdde({8'd0, jp55c16, xj4ab82, pu89570}),
+  .puadb09(vi63286),  .wjf77ad(bn37c0c),  .medeb6c(th40935),  .kq6d84a(fp19432),  .dz61283({hbe6897, en44c40, ay6df50, ie3102c}),
+  .vx941f(ks88160),  .th4a0fe(vv50140),  .hb507f7(su7d405),  .ri83fbf(qgea028)  );
+
+`endif\r
+
+
+
+
+
+
+always @(epab447) begin        case (epab447)  jr9b431 :       qg72b18 = "SEEK_IDLE_START";    hoda18e :       qg72b18 = "DISABLE_WRITES";     rgd0c72 :       qg72b18 = "DISABLE_W3"; pu86392 :       qg72b18 = "DISABLE_W4"; default :       qg72b18 = "***ERROR***";        endcase
+end
+
+always @(dz5a23c) begin        case (dz5a23c)  jr8e499 :       yz958c0 = "SEEK_BEGIN_THRESH";  cm724cf  :      yz958c0 = "DELAY_BEGIN";        sw92679 :       yz958c0 = "SEEK_START_THRESH";  xy933c8  :      yz958c0 = "DELAY";      ls99e42 :       yz958c0 = "SEEK_STOP_THRESH";   xwcf212 :       yz958c0 = "INSERT_IDLE";        default :       yz958c0 = "***ERROR***";        endcase
+end
+
+
+
+
+always@* begin qg49292<=jea8e7a[0];ne63021<=jea8e7a[1];sj1810a<=jea8e7a[2];rv22600<=jea8e7a[3];tw42ab<={operational_rate>>1,jea8e7a[4]};wy2155a<={wwef1be>>1,jea8e7a[5]};ouaad1<=jea8e7a[6];pf55688<=jea8e7a[7];epab447<={uk31c93>>1,jea8e7a[8]};dz5a23c<={lq79093>>1,jea8e7a[9]};kqd11e6<={pfc849a>>1,jea8e7a[10]};ou88f31<=jea8e7a[11];rg47989<=jea8e7a[12];ir3cc4a<={xy9359c>>1,jea8e7a[13]};vve6255<=jea8e7a[14];co312ae<=jea8e7a[15];pu89570<={ksb38ac>>1,jea8e7a[16]};xj4ab82<=jea8e7a[17];jp55c16<=jea8e7a[18];epae0b6<=jea8e7a[19];cz705b4<=jea8e7a[20];ou82da4<=jea8e7a[21];lf16d20<=jea8e7a[22];wyb6907<=jea8e7a[23];uxb4838<=jea8e7a[24];ira41c5<=jea8e7a[25];th40935<=jea8e7a[26];bn3d024<={ie3102c>>1,jea8e7a[27]};bn38b55<=jea8e7a[28];qt60f40<=jea8e7a[29];xl2d57b<=jea8e7a[30];cz6abdf<=jea8e7a[31];rt55efd<=jea8e7a[32];rvaf7ee<=jea8e7a[33];mre8126<=jea8e7a[34];bydfbb6<=jea8e7a[35];alfddb3<=jea8e7a[36];hoeed9d<=jea8e7a[37];dm76ceb<=jea8e7a[38];wyb675a<=jea8e7a[39];wyb3ad1<=jea8e7a[40];sw9d688<=jea8e7a[41];faeb441<={hbe6897>>1,jea8e7a[42]};epb1475<={cmcba31>>1,jea8e7a[43]};gd8a3ac<={vi5d188>>1,jea8e7a[44]};gq882b1<={mt12fc2>>1,jea8e7a[45]};cz41588<={ec97e15>>1,jea8e7a[46]};qiac44<=jea8e7a[47];cm56220<=jea8e7a[48];anb1100<=jea8e7a[49];ym88804<=jea8e7a[50];ecacb35<=jea8e7a[51];fc2010c<=jea8e7a[52];ie863<=jea8e7a[53];ri4319<=jea8e7a[54];gq218ca<=jea8e7a[55];phc650<=jea8e7a[56];vi63286<=jea8e7a[57];fp19432<={yz33c09>>1,jea8e7a[58]};faca197<={lf9e04c>>1,jea8e7a[59]};uv5ef91<=jea8e7a[60];wjf7c8d<=jea8e7a[61];ph32ef3<={ph9986>>1,jea8e7a[62]};ou9779e<={yk4cc37>>1,jea8e7a[63]};sjbbcf0<=jea8e7a[64];qgde784<=jea8e7a[65];nrf3c20<=jea8e7a[66];bn9e106<=jea8e7a[67];uif0836<=jea8e7a[68];jr841b1<=jea8e7a[69];vk20d8e<=jea8e7a[70];fp6c72<=jea8e7a[71];qv36396<=jea8e7a[72];cbb1cb5<=jea8e7a[73];vx8e5ad<=jea8e7a[74];ui72d6f<=jea8e7a[75];do96b78<={qg72b18>>1,jea8e7a[76]};ntb5bc3<={yz958c0>>1,jea8e7a[77]};end
+always@* begin ls351cf[2047]<=an_link_ok;ls351cf[2046]<=ic626ef;ls351cf[2044]<=gbe_mode;ls351cf[2040]<=operational_rate[0];ls351cf[2032]<=wwef1be[0];ls351cf[2018]<=hbe6897[0];ls351cf[2017]<=os78df0;ls351cf[1989]<=cmcba31[0];ls351cf[1987]<=qtc6f81;ls351cf[1931]<=vi5d188[0];ls351cf[1927]<=uk31c93[0];ls351cf[1865]<=ksb38ac[0];ls351cf[1859]<=an80a07;ls351cf[1844]<=rg7cc46;ls351cf[1815]<=mt12fc2[0];ls351cf[1806]<=lq79093[0];ls351cf[1682]<=ba9c560;ls351cf[1674]<=yz33c09[0];ls351cf[1671]<=ks88160;ls351cf[1640]<=pfe6233;ls351cf[1582]<=ec97e15[0];ls351cf[1565]<=pfc849a[0];ls351cf[1488]<=qgea028;ls351cf[1485]<=ec88708;ls351cf[1326]<=jp40b00;ls351cf[1317]<=ale2b03;ls351cf[1300]<=lf9e04c[0];ls351cf[1297]<=ng30dca;ls351cf[1295]<=jr281e8;ls351cf[1233]<=gq3119e;ls351cf[1210]<=ay6df50;ls351cf[1189]<=mt1d06e;ls351cf[1172]<=mgac0e8;ls351cf[1124]<=xwc5cac;ls351cf[1116]<=vxbf0a9;ls351cf[1105]<=cm6de53;ls351cf[1094]<=ep9ae18;ls351cf[1092]<=bn3729a;ls351cf[1087]<=sj7a3f;ls351cf[1082]<=vi424d6;ls351cf[1023]<=mr_main_reset;ls351cf[1009]<=do3f9a2;ls351cf[932]<=kdd6715;ls351cf[929]<=vv50140;ls351cf[922]<=vv4f988;ls351cf[837]<=me46781;ls351cf[744]<=su7d405;ls351cf[742]<=uk153e6;ls351cf[663]<=cz41bad;ls351cf[648]<=tu661b9;ls351cf[605]<=ie3102c[0];ls351cf[594]<=lf3a0d;ls351cf[586]<=uk1581d;ls351cf[562]<=yz18b95;ls351cf[552]<=jc4dbca;ls351cf[547]<=ww535c3;ls351cf[546]<=do86e53;ls351cf[543]<=vv40f47;ls351cf[504]<=xw47f34;ls351cf[466]<=mt9ace2;ls351cf[418]<=uk88cf0;ls351cf[400]<=yz958c0[1];ls351cf[372]<=en44c40;ls351cf[371]<=jpc2a7c;ls351cf[331]<=kde8375;ls351cf[324]<=yk4cc37[0];ls351cf[297]<=sh60741;ls351cf[281]<=bab862e;ls351cf[273]<=faca6b8;ls351cf[252]<=kde8fe6;ls351cf[233]<=xy9359c[0];ls351cf[200]<=qg72b18[1];ls351cf[185]<=uvf854f;ls351cf[162]<=ph9986[0];ls351cf[140]<=zxd70c5;ls351cf[136]<=ksb94d7;ls351cf[126]<=rv3d1fc;ls351cf[116]<=sj126b3;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module mtb4bc4 (
+       rst_n,
+       mr_main_reset,
+
+       lddde37,
+       wwef1be,
+       os78df0,
+       qtc6f81,
+
+       bn37c0c,
+       irbe066,
+       suf0335,
+       ph819a8,
+       xlcd47,
+       ctc_drop_flag,
+       ctc_add_flag
+);
+input  rst_n;
+input  mr_main_reset;
+input  lddde37;
+input [7:0]    wwef1be;
+input  os78df0;
+input  qtc6f81;
+input  bn37c0c;
+output [7:0]   irbe066;
+output suf0335;
+output ph819a8;
+output xlcd47;
+output ctc_drop_flag;
+output ctc_add_flag;
+parameter STATIC_HI_THRESH = 32;
+parameter STATIC_LO_THRESH = 16;
+localparam     jr9b431 = 2'd0, hoda18e = 2'd1, rgd0c72 = 2'd2, pu86392 = 2'd3;
+reg[1:0] uk31c93;
+localparam     jr8e499 = 3'd0, cm724cf = 3'd1, sw92679 = 3'd2, xy933c8 = 3'd3, ls99e42 = 3'd4, xwcf212 = 3'd5;
+reg [2:0] lq79093;
+reg [7:0] pfc849a;
+reg vi424d6;
+reg sj126b3 ;
+reg [7:0] xy9359c;
+reg mt9ace2;
+reg kdd6715 ;
+reg [7:0] ksb38ac;
+reg ba9c560;
+reg ale2b03 ;
+reg uk1581d;
+reg mgac0e8;
+reg sh60741;
+reg lf3a0d;
+reg mt1d06e;
+reg kde8375;
+reg cz41bad;
+reg jp40b00;
+reg [7:0] irbe066;
+reg suf0335;
+reg ph819a8;
+wire [7:0] ie3102c;
+wire ay6df50;
+wire en44c40;
+wire su7d405;
+wire qgea028;
+wire vv50140;
+reg an80a07;
+wire ks88160;
+reg jr281e8;
+reg vv40f47;
+reg sj7a3f;
+reg rv3d1fc;
+reg kde8fe6;
+reg xw47f34;
+reg do3f9a2;
+wire xlcd47;
+wire [7:0] hbe6897;
+reg ksb94d7 ;
+reg faca6b8 ;
+reg ww535c3 ;
+reg ep9ae18 ;
+reg zxd70c5;
+reg bab862e;
+reg ctc_drop_flag;
+reg yz18b95;
+reg xwc5cac;
+reg ctc_add_flag;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+`endif\r
+reg  [(22*8):1] qg72b18;
+reg  [(22*8):1] yz958c0;
+reg qg49292;
+reg [7 : 0] wy2155a;
+reg ouaad1;
+reg pf55688;
+reg [1 : 0] epab447;
+reg [2 : 0] dz5a23c;
+reg [7 : 0] kqd11e6;
+reg ou88f31;
+reg rg47989;
+reg [7 : 0] ir3cc4a;
+reg vve6255;
+reg co312ae;
+reg [7 : 0] pu89570;
+reg xj4ab82;
+reg jp55c16;
+reg epae0b6;
+reg cz705b4;
+reg ou82da4;
+reg lf16d20;
+reg wyb6907;
+reg uxb4838;
+reg ira41c5;
+reg th40935;
+reg [7 : 0] bn3d024;
+reg bn38b55;
+reg qt60f40;
+reg xl2d57b;
+reg cz6abdf;
+reg rt55efd;
+reg rvaf7ee;
+reg mre8126;
+reg bydfbb6;
+reg alfddb3;
+reg hoeed9d;
+reg dm76ceb;
+reg wyb675a;
+reg wyb3ad1;
+reg sw9d688;
+reg [7 : 0] faeb441;
+reg uif0836;
+reg jr841b1;
+reg vk20d8e;
+reg fp6c72;
+reg qv36396;
+reg cbb1cb5;
+reg vx8e5ad;
+reg ui72d6f;
+reg [(22 * 8) : 1] do96b78;
+reg [(22 * 8) : 1] ntb5bc3;
+reg [2047:0] ls351cf;
+wire [48:0] jea8e7a;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+localparam ps473d2 = 49,wl39e93 = 32'hfdffe44b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ksb94d7 <= 1'b1;        faca6b8 <= 1'b1;        end     else begin      ksb94d7 <= qg49292;     faca6b8 <= uif0836;     end
+end
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ww535c3 <= 1'b1;        ep9ae18 <= 1'b1;        end     else begin      ww535c3 <= qg49292;     ep9ae18 <= vk20d8e;     end
+end
+
+
+
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        pfc849a <= 8'd0;        vi424d6 <= 1'b0;        sj126b3 <= 1'b0;        xy9359c <= 8'd0;        mt9ace2 <= 1'b0;        kdd6715 <= 1'b0;        ksb38ac <= 8'd0;        ba9c560 <= 1'b0;        ale2b03 <= 1'b0;        end     else begin      pfc849a <= wy2155a;     vi424d6 <= ouaad1;      sj126b3 <= pf55688;     xy9359c <= kqd11e6;     mt9ace2 <= ou88f31;     kdd6715 <= rg47989;     ksb38ac <= ir3cc4a;     ba9c560 <= vve6255;     ale2b03 <= co312ae;     end
+end
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        uk1581d <= 1'b0;        mgac0e8 <= 1'b0;        sh60741 <= 1'b0;        lf3a0d <= 1'b0; mt1d06e <= 1'b0;        kde8375 <= 1'b0;        end     else begin              if ((ou88f31 == 1'b1) && (kqd11e6 == 8'hBC)) begin      uk1581d <= 1'b1;        end     else begin      uk1581d <= 1'b0;        end
+               if ((ouaad1 == 1'b0) && (wy2155a == 8'hC5)) begin       mgac0e8 <= 1'b1;        end     else begin      mgac0e8 <= 1'b0;        end             if ((ouaad1 == 1'b0) && (wy2155a == 8'h50)) begin       sh60741 <= 1'b1;        end     else begin      sh60741 <= 1'b0;        end             if ((ouaad1 == 1'b0) && (wy2155a == 8'h42)) begin       lf3a0d <= 1'b1; end     else begin      lf3a0d <= 1'b0; end             if ((ouaad1 == 1'b0) && (wy2155a == 8'hB5)) begin       mt1d06e <= 1'b1;        end     else begin      mt1d06e <= 1'b0;        end             if (epae0b6 && (lf16d20 || wyb6907)) begin      kde8375 <= 1'b1;        end     else begin      kde8375 <= 1'b0;        end     end
+end
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        uk31c93 <= jr9b431;     cz41bad <= 1'b0;        zxd70c5 <= 1'b0;        end     else begin  if (jr841b1) begin  uk31c93 <= jr9b431;     cz41bad <= 1'b0;  end  else begin               zxd70c5 <= 1'b0;        case (epab447)  jr9b431:        begin   if (cz6abdf) begin                      if (epae0b6 && (ou82da4 || lf16d20 || wyb6907)) begin   cz41bad <= 1'b0;        uk31c93 <= hoda18e;     zxd70c5 <= 1'b1;        end     end     else begin              cz41bad <= 1'b1;        uk31c93 <= jr9b431;     end     end     hoda18e:        begin           cz41bad <= 1'b0;        zxd70c5 <= 1'b1;        if (uxb4838) begin              uk31c93 <= rgd0c72;     end     else begin      uk31c93 <= jr9b431;     end     end     rgd0c72:        begin           cz41bad <= 1'b0;        zxd70c5 <= 1'b1;        uk31c93 <= pu86392;     end     pu86392:        begin           cz41bad <= 1'b0;        zxd70c5 <= 1'b1;        uk31c93 <= jr9b431;     end
+       default:        begin   uk31c93 <= jr9b431;     end     endcase  end    end
+end
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        bab862e <= 1'b0;        ctc_drop_flag <= 1'b0;  end     else begin      bab862e <= qv36396;     ctc_drop_flag <= qv36396 | cbb1cb5;     end
+end
+
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        lq79093 <= jr8e499;     irbe066 <= 8'hBC;       suf0335 <= 1'b1;        ph819a8 <= 1'b0;        jp40b00 <= 1'b0;        yz18b95 <= 1'b1;        end     else begin  if (fp6c72) begin   lq79093 <= jr8e499;     jp40b00 <= 1'b0;  end  else begin               irbe066 <= bn3d024;     suf0335 <= bn38b55;     ph819a8 <= qt60f40;     jp40b00 <= 1'b0;        yz18b95 <= 1'b0;        case (dz5a23c)  jr8e499:        begin           suf0335 <= 1'b1;        ph819a8 <= 1'b0;        irbe066 <= 8'hBC;       yz18b95 <= 1'b1;        if (xl2d57b) begin              lq79093 <= xwcf212;     end     else begin              jp40b00 <= 1'b1;        lq79093 <= cm724cf;     end     end     cm724cf:        begin           suf0335 <= 1'b0;        ph819a8 <= 1'b0;        irbe066 <= 8'h50;       yz18b95 <= 1'b1;        jp40b00 <= 1'b1;        lq79093 <= ls99e42;     end     sw92679:        begin           suf0335 <= 1'b1;        ph819a8 <= 1'b0;        irbe066 <= 8'hBC;       yz18b95 <= 1'b1;        if (xl2d57b) begin              lq79093 <= xwcf212;     end     else begin              jp40b00 <= 1'b1;        lq79093 <= xy933c8;     end     end
+       xy933c8:        begin           jp40b00 <= 1'b1;        lq79093 <= ls99e42;     end
+
+       ls99e42:        begin                   if (xl2d57b && bn38b55 && (bn3d024 == 8'hBC)) begin             suf0335 <= 1'b1;        ph819a8 <= 1'b0;        irbe066 <= 8'hBC;       yz18b95 <= 1'b1;        lq79093 <= xwcf212;     end     else begin              jp40b00 <= 1'b1;        lq79093 <= ls99e42;     end     end     xwcf212:        begin           suf0335 <= 1'b0;        ph819a8 <= 1'b0;        irbe066 <= 8'h50;       yz18b95 <= 1'b1;        lq79093 <= sw92679;     end     default:        begin   lq79093 <= jr8e499;     end     endcase  end    end
+end
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        xwc5cac <= 1'b1;        ctc_add_flag <= 1'b1;   end     else begin      xwc5cac <= vx8e5ad;     ctc_add_flag <= vx8e5ad | ui72d6f;      end
+end
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        vv40f47 <= 1'd0;        sj7a3f <= 1'd0; rv3d1fc <= 1'd0;        an80a07 <= 1'd0;        end     else begin              an80a07 <= rt55efd;             if (rvaf7ee && ira41c5) begin   vv40f47 <= 1'd1;        end     else begin      vv40f47 <= 1'd0;        end             sj7a3f <= alfddb3;              rv3d1fc <= alfddb3 | hoeed9d;   end
+end
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        kde8fe6 <= 1'd0;        xw47f34 <= 1'd0;        do3f9a2 <= 1'd0;        jr281e8 <= 1'd1;        end     else begin              jr281e8 <= mre8126;             if (bydfbb6 && th40935) begin   kde8fe6 <= 1'd1;        end     else begin      kde8fe6 <= 1'd0;        end             xw47f34 <= wyb675a;             do3f9a2 <= wyb675a | wyb3ad1;   end
+end
+
+
+assign xlcd47 = dm76ceb | sw9d688;
+
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+               pmi_fifo_dc #(  .pmi_data_width_w(18),  .pmi_data_width_r(18),  .pmi_data_depth_w(1024),        .pmi_data_depth_r(1024),        .pmi_full_flag(1024),   .pmi_empty_flag(0),     .pmi_almost_full_flag(STATIC_HI_THRESH),        .pmi_almost_empty_flag(STATIC_LO_THRESH),       .pmi_regmode("no_reg"), .pmi_resetmode("async"),        .pmi_family("SC"),      .module_type("pmi_fifo_dc"),    .pmi_implementation("EBR")) ohab5fd (   .Reset(faca6b8),        .RPReset(ep9ae18),      .WrClock(lddde37),      .WrEn(cz41bad), .Data({8'd0, ale2b03, ba9c560, ksb38ac}),
+       .RdClock(bn37c0c),      .RdEn(jp40b00), .Q({hbe6897, en44c40, ay6df50, ie3102c}),
+       .Empty(ks88160),        .Full(vv50140), .AlmostEmpty(su7d405),  .AlmostFull(qgea028)    );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+               pmi_fifo_dc #(  .pmi_data_width_w(18),  .pmi_data_width_r(18),  .pmi_data_depth_w(1024),        .pmi_data_depth_r(1024),        .pmi_full_flag(1024),   .pmi_empty_flag(0),     .pmi_almost_full_flag(STATIC_HI_THRESH),        .pmi_almost_empty_flag(STATIC_LO_THRESH),       .pmi_regmode("no_reg"), .pmi_resetmode("async"),        .pmi_family("ECP2M"),   .module_type("pmi_fifo_dc"),    .pmi_implementation("EBR")) ohab5fd (   .Reset(faca6b8),        .RPReset(ep9ae18),      .WrClock(lddde37),      .WrEn(cz41bad), .Data({8'd0, ale2b03, ba9c560, ksb38ac}),
+       .RdClock(bn37c0c),      .RdEn(jp40b00), .Q({hbe6897, en44c40, ay6df50, ie3102c}),
+       .Empty(ks88160),        .Full(vv50140), .AlmostEmpty(su7d405),  .AlmostFull(qgea028)    );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+               pmi_fifo_dc #(  .pmi_data_width_w(18),  .pmi_data_width_r(18),  .pmi_data_depth_w(1024),        .pmi_data_depth_r(1024),        .pmi_full_flag(1024),   .pmi_empty_flag(0),     .pmi_almost_full_flag(STATIC_HI_THRESH),        .pmi_almost_empty_flag(STATIC_LO_THRESH),       .pmi_regmode("no_reg"), .pmi_resetmode("async"),        .pmi_family("ECP3"),    .module_type("pmi_fifo_dc"),    .pmi_implementation("EBR")) ohab5fd (   .Reset(faca6b8),        .RPReset(ep9ae18),      .WrClock(lddde37),      .WrEn(cz41bad), .Data({8'd0, ale2b03, ba9c560, ksb38ac}),
+       .RdClock(bn37c0c),      .RdEn(jp40b00), .Q({hbe6897, en44c40, ay6df50, ie3102c}),
+       .Empty(ks88160),        .Full(vv50140), .AlmostEmpty(su7d405),  .AlmostFull(qgea028)    );
+
+`endif\r
+
+
+
+
+
+
+always @(epab447) begin        case (epab447)  jr9b431 :       qg72b18 = "SEEK_IDLE_START";    hoda18e :       qg72b18 = "DISABLE_WRITES";     rgd0c72 :       qg72b18 = "DISABLE_W3"; pu86392 :       qg72b18 = "DISABLE_W4"; default :       qg72b18 = "***ERROR***";        endcase
+end
+
+always @(dz5a23c) begin        case (dz5a23c)  jr8e499 :       yz958c0 = "SEEK_BEGIN_THRESH";  cm724cf  :      yz958c0 = "DELAY_BEGIN";        sw92679 :       yz958c0 = "SEEK_START_THRESH";  xy933c8  :      yz958c0 = "DELAY";      ls99e42 :       yz958c0 = "SEEK_STOP_THRESH";   xwcf212 :       yz958c0 = "INSERT_IDLE";        default :       yz958c0 = "***ERROR***";        endcase
+end
+
+
+
+
+always@* begin qg49292<=jea8e7a[0];wy2155a<={wwef1be>>1,jea8e7a[1]};ouaad1<=jea8e7a[2];pf55688<=jea8e7a[3];epab447<={uk31c93>>1,jea8e7a[4]};dz5a23c<={lq79093>>1,jea8e7a[5]};kqd11e6<={pfc849a>>1,jea8e7a[6]};ou88f31<=jea8e7a[7];rg47989<=jea8e7a[8];ir3cc4a<={xy9359c>>1,jea8e7a[9]};vve6255<=jea8e7a[10];co312ae<=jea8e7a[11];pu89570<={ksb38ac>>1,jea8e7a[12]};xj4ab82<=jea8e7a[13];jp55c16<=jea8e7a[14];epae0b6<=jea8e7a[15];cz705b4<=jea8e7a[16];ou82da4<=jea8e7a[17];lf16d20<=jea8e7a[18];wyb6907<=jea8e7a[19];uxb4838<=jea8e7a[20];ira41c5<=jea8e7a[21];th40935<=jea8e7a[22];bn3d024<={ie3102c>>1,jea8e7a[23]};bn38b55<=jea8e7a[24];qt60f40<=jea8e7a[25];xl2d57b<=jea8e7a[26];cz6abdf<=jea8e7a[27];rt55efd<=jea8e7a[28];rvaf7ee<=jea8e7a[29];mre8126<=jea8e7a[30];bydfbb6<=jea8e7a[31];alfddb3<=jea8e7a[32];hoeed9d<=jea8e7a[33];dm76ceb<=jea8e7a[34];wyb675a<=jea8e7a[35];wyb3ad1<=jea8e7a[36];sw9d688<=jea8e7a[37];faeb441<={hbe6897>>1,jea8e7a[38]};uif0836<=jea8e7a[39];jr841b1<=jea8e7a[40];vk20d8e<=jea8e7a[41];fp6c72<=jea8e7a[42];qv36396<=jea8e7a[43];cbb1cb5<=jea8e7a[44];vx8e5ad<=jea8e7a[45];ui72d6f<=jea8e7a[46];do96b78<={qg72b18>>1,jea8e7a[47]};ntb5bc3<={yz958c0>>1,jea8e7a[48]};end
+always@* begin ls351cf[2047]<=wwef1be[0];ls351cf[2046]<=os78df0;ls351cf[2044]<=qtc6f81;ls351cf[2040]<=uk31c93[0];ls351cf[2033]<=lq79093[0];ls351cf[2019]<=pfc849a[0];ls351cf[1991]<=vi424d6;ls351cf[1934]<=sj126b3;ls351cf[1929]<=qg72b18[1];ls351cf[1898]<=uk1581d;ls351cf[1821]<=xy9359c[0];ls351cf[1810]<=yz958c0[1];ls351cf[1749]<=mgac0e8;ls351cf[1707]<=mt1d06e;ls351cf[1666]<=vv50140;ls351cf[1630]<=ww535c3;ls351cf[1595]<=mt9ace2;ls351cf[1506]<=yz18b95;ls351cf[1450]<=sh60741;ls351cf[1440]<=su7d405;ls351cf[1384]<=ay6df50;ls351cf[1370]<=jp40b00;ls351cf[1366]<=kde8375;ls351cf[1284]<=an80a07;ls351cf[1212]<=ep9ae18;ls351cf[1142]<=kdd6715;ls351cf[1125]<=do3f9a2;ls351cf[1041]<=jr281e8;ls351cf[1023]<=mr_main_reset;ls351cf[964]<=xwc5cac;ls351cf[949]<=ale2b03;ls351cf[853]<=lf3a0d;ls351cf[833]<=qgea028;ls351cf[815]<=faca6b8;ls351cf[753]<=bab862e;ls351cf[720]<=en44c40;ls351cf[692]<=ie3102c[0];ls351cf[685]<=cz41bad;ls351cf[562]<=xw47f34;ls351cf[520]<=ks88160;ls351cf[474]<=ba9c560;ls351cf[407]<=ksb94d7;ls351cf[376]<=zxd70c5;ls351cf[281]<=kde8fe6;ls351cf[237]<=ksb38ac[0];ls351cf[203]<=hbe6897[0];ls351cf[140]<=rv3d1fc;ls351cf[70]<=sj7a3f;ls351cf[35]<=vv40f47;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module mtbbc32 (
+       rst_n,
+       mr_main_reset,
+
+       lddde37,
+       wwef1be,
+       os78df0,
+       qtc6f81,
+
+       bn37c0c,
+       irbe066,
+       suf0335,
+       ph819a8,
+       xlcd47
+);
+input  rst_n;
+input  mr_main_reset;
+input  lddde37;
+input [7:0]    wwef1be;
+input  os78df0;
+input  qtc6f81;
+input  bn37c0c;
+output [7:0]   irbe066;
+output suf0335;
+output ph819a8;
+output xlcd47;
+reg [7:0] pfc849a;
+reg vi424d6;
+reg sj126b3;
+reg cz41bad;
+reg jp40b00;
+reg [7:0] irbe066;
+reg suf0335;
+reg ph819a8;
+wire [7:0] ie3102c;
+wire ay6df50;
+wire en44c40;
+wire su7d405;
+wire qgea028;
+wire vv50140;
+wire ks88160;
+reg vv40f47;
+reg sj7a3f;
+reg rv3d1fc;
+reg kde8fe6;
+reg xw47f34;
+reg do3f9a2;
+wire xlcd47;
+reg ksb94d7 ;
+reg faca6b8 ;
+reg ww535c3 ;
+reg ep9ae18 ;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+`endif\r
+reg qg49292;
+reg [7 : 0] wy2155a;
+reg ouaad1;
+reg pf55688;
+reg [7 : 0] kqd11e6;
+reg ou88f31;
+reg rg47989;
+reg ira41c5;
+reg th40935;
+reg [7 : 0] bn3d024;
+reg bn38b55;
+reg qt60f40;
+reg xl2d57b;
+reg cz6abdf;
+reg rt55efd;
+reg mre8126;
+reg alfddb3;
+reg hoeed9d;
+reg dm76ceb;
+reg wyb675a;
+reg wyb3ad1;
+reg sw9d688;
+reg uif0836;
+reg jr841b1;
+reg vk20d8e;
+reg fp6c72;
+reg [2047:0] ls351cf;
+wire [25:0] jea8e7a;
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+localparam ps473d2 = 26,wl39e93 = 32'hfdffd14b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+
+
+`endif\r
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+
+
+`endif\r
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ksb94d7 <= 1'b1;        faca6b8 <= 1'b1;        end     else begin      ksb94d7 <= qg49292;     faca6b8 <= uif0836;     end
+end
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        ww535c3 <= 1'b1;        ep9ae18 <= 1'b1;        end     else begin      ww535c3 <= qg49292;     ep9ae18 <= vk20d8e;     end
+end
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        pfc849a <= 8'd0;        vi424d6 <= 1'b0;        sj126b3 <= 1'b0;        end     else begin      pfc849a <= wy2155a;     vi424d6 <= ouaad1;      sj126b3 <= pf55688;     end
+end
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        irbe066 <= 8'd0;        suf0335 <= 1'b0;        ph819a8 <= 1'b0;        end     else begin      irbe066 <= bn3d024;     suf0335 <= bn38b55;     ph819a8 <= qt60f40;     end
+end
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        cz41bad <= 1'b0;        end     else begin  if (jr841b1) begin  cz41bad <= 1'b0;  end  else begin       if (rt55efd) begin      cz41bad <= 1'b0;        end     else begin      cz41bad <= 1'b1;        end  end        end
+end
+
+
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jp40b00 <= 1'b0;        end     else begin  if (fp6c72) begin   jp40b00 <= 1'b0;  end  else begin       if (mre8126) begin      jp40b00 <= 1'b0;        end     else if (xl2d57b) begin jp40b00 <= 1'b0;        end     else begin      jp40b00 <= 1'b1;        end  end        end
+end
+
+
+
+
+always @(posedge lddde37 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        vv40f47 <= 1'd0;        sj7a3f <= 1'd0; rv3d1fc <= 1'd0;        end     else begin              if (rt55efd && ira41c5) begin   vv40f47 <= 1'd1;        end     else begin      vv40f47 <= 1'd0;        end             sj7a3f <= alfddb3;              rv3d1fc <= alfddb3 | hoeed9d;   end
+end
+
+
+
+always @(posedge bn37c0c or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        kde8fe6 <= 1'd0;        xw47f34 <= 1'd0;        do3f9a2 <= 1'd0;        end     else begin              if (mre8126 && th40935) begin   kde8fe6 <= 1'd1;        end     else begin      kde8fe6 <= 1'd0;        end             xw47f34 <= wyb675a;             do3f9a2 <= wyb675a | wyb3ad1;   end
+end
+
+
+assign xlcd47 = dm76ceb | sw9d688;
+
+
+
+`ifdef SGMII_FIFO_FAMILY_SC\r
+               pmi_fifo_dc #(  .pmi_data_width_w(10),  .pmi_data_width_r(10),  .pmi_data_depth_w(16),  .pmi_data_depth_r(16),  .pmi_full_flag(16),     .pmi_empty_flag(0),     .pmi_almost_full_flag(13),      .pmi_almost_empty_flag(7),      .pmi_regmode("no_reg"), .pmi_resetmode("async"),        .pmi_family("SC"),      .module_type("pmi_fifo_dc"),    .pmi_implementation("LUT")) ohab5fd (   .Reset(faca6b8),        .RPReset(ep9ae18),      .WrClock(lddde37),      .WrEn(cz41bad), .Data({sj126b3, vi424d6, pfc849a}),
+       .RdClock(bn37c0c),      .RdEn(jp40b00), .Q({en44c40, ay6df50, ie3102c}),
+       .Empty(ks88160),        .Full(vv50140), .AlmostEmpty(su7d405),  .AlmostFull(qgea028)    );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP2M\r
+               pmi_fifo_dc #(  .pmi_data_width_w(10),  .pmi_data_width_r(10),  .pmi_data_depth_w(16),  .pmi_data_depth_r(16),  .pmi_full_flag(16),     .pmi_empty_flag(0),     .pmi_almost_full_flag(13),      .pmi_almost_empty_flag(7),      .pmi_regmode("no_reg"), .pmi_resetmode("async"),        .pmi_family("ECP2M"),   .module_type("pmi_fifo_dc"),    .pmi_implementation("LUT")) ohab5fd (   .Reset(faca6b8),        .RPReset(ep9ae18),      .WrClock(lddde37),      .WrEn(cz41bad), .Data({sj126b3, vi424d6, pfc849a}),
+       .RdClock(bn37c0c),      .RdEn(jp40b00), .Q({en44c40, ay6df50, ie3102c}),
+       .Empty(ks88160),        .Full(vv50140), .AlmostEmpty(su7d405),  .AlmostFull(qgea028)    );
+
+`endif\r
+
+
+
+
+`ifdef SGMII_FIFO_FAMILY_ECP3\r
+               pmi_fifo_dc #(  .pmi_data_width_w(10),  .pmi_data_width_r(10),  .pmi_data_depth_w(16),  .pmi_data_depth_r(16),  .pmi_full_flag(16),     .pmi_empty_flag(0),     .pmi_almost_full_flag(13),      .pmi_almost_empty_flag(7),      .pmi_regmode("no_reg"), .pmi_resetmode("async"),        .pmi_family("ECP3"),    .module_type("pmi_fifo_dc"),    .pmi_implementation("LUT")) ohab5fd (   .Reset(faca6b8),        .RPReset(ep9ae18),      .WrClock(lddde37),      .WrEn(cz41bad), .Data({sj126b3, vi424d6, pfc849a}),
+       .RdClock(bn37c0c),      .RdEn(jp40b00), .Q({en44c40, ay6df50, ie3102c}),
+       .Empty(ks88160),        .Full(vv50140), .AlmostEmpty(su7d405),  .AlmostFull(qgea028)    );
+
+`endif\r
+
+
+
+
+always@* begin qg49292<=jea8e7a[0];wy2155a<={wwef1be>>1,jea8e7a[1]};ouaad1<=jea8e7a[2];pf55688<=jea8e7a[3];kqd11e6<={pfc849a>>1,jea8e7a[4]};ou88f31<=jea8e7a[5];rg47989<=jea8e7a[6];ira41c5<=jea8e7a[7];th40935<=jea8e7a[8];bn3d024<={ie3102c>>1,jea8e7a[9]};bn38b55<=jea8e7a[10];qt60f40<=jea8e7a[11];xl2d57b<=jea8e7a[12];cz6abdf<=jea8e7a[13];rt55efd<=jea8e7a[14];mre8126<=jea8e7a[15];alfddb3<=jea8e7a[16];hoeed9d<=jea8e7a[17];dm76ceb<=jea8e7a[18];wyb675a<=jea8e7a[19];wyb3ad1<=jea8e7a[20];sw9d688<=jea8e7a[21];uif0836<=jea8e7a[22];jr841b1<=jea8e7a[23];vk20d8e<=jea8e7a[24];fp6c72<=jea8e7a[25];end
+always@* begin ls351cf[2047]<=wwef1be[0];ls351cf[2046]<=os78df0;ls351cf[2044]<=qtc6f81;ls351cf[2040]<=pfc849a[0];ls351cf[2033]<=vi424d6;ls351cf[2019]<=sj126b3;ls351cf[1990]<=cz41bad;ls351cf[1939]<=rv3d1fc;ls351cf[1933]<=jp40b00;ls351cf[1831]<=kde8fe6;ls351cf[1819]<=ie3102c[0];ls351cf[1778]<=ks88160;ls351cf[1615]<=xw47f34;ls351cf[1591]<=ay6df50;ls351cf[1508]<=vv40f47;ls351cf[1271]<=ww535c3;ls351cf[1182]<=do3f9a2;ls351cf[1135]<=en44c40;ls351cf[1023]<=mr_main_reset;ls351cf[969]<=sj7a3f;ls351cf[889]<=vv50140;ls351cf[635]<=faca6b8;ls351cf[495]<=ep9ae18;ls351cf[444]<=qgea028;ls351cf[317]<=ksb94d7;ls351cf[222]<=su7d405;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module th4f530 (    yz26485,
+                  rst_n,
+                  mr_main_reset,
+                  force_unidir,
+                  vif148d,
+                  an3c018,
+                  tx_en,
+                  tx_er,
+                  sjbe291,
+                  ux18847,
+                  qgc423c,
+                  ym211e0
+                 );
+parameter ep2ca37               = 8'hbc,              cz651bc               = 8'hfe,              nt28de5               = 8'hfb,              ui46f2e               = 8'hfd,              hd37970               = 8'hf7,              cobcb86               = 8'hb5,              wwe5c31                = 8'h42,              ks2e188                = 8'hc5,              yx70c40               = 8'h50;
+parameter jr8b4d0                = 2'b00,              fn5a687       = 2'b01,          czd343e                = 2'b10;
+parameter ph2da80              = 5'd0,               kq6d406              = 5'd1,          cz6a031               = 5'd2,          nr5018c               = 5'd3,          oh80c67               = 5'd4,          ph633d               = 5'd5,          uk319ef     = 5'd6,          hq8cf79             = 5'd7,          me67bcb = 5'd8,          ph3de59          = 5'd9,          wwef2cf                = 5'd10,          ps79678   = 5'd11,          sucb3c2         = 5'd12,          ww59e11      = 5'd13,          hocf088         = 5'd14,          me78443       = 5'd15,          xjc2218       = 5'd16,          hq110c7       = 5'd17,          qi8863b       = 5'd18;
+input                yz26485;
+input                rst_n;
+input                mr_main_reset;
+input                force_unidir;
+input  [1:0]         vif148d;
+input  [7:0]         an3c018;
+input                tx_en;
+input                tx_er;
+input  [15:0]        sjbe291;
+output [7:0]         ux18847;
+output               qgc423c;
+output                  ym211e0;
+wire                 yz26485;
+wire                 rst_n;
+wire   [1:0]         vif148d;
+wire   [7:0]         an3c018;
+wire                 tx_en;
+wire                 tx_er;
+wire   [15:0]        sjbe291;
+reg    [7:0]         ux18847;
+reg    [7:0]         ph8415e;
+reg    [7:0]         ri20af6;
+reg                  wy57b2;
+reg                  qgc423c;
+reg                  en5ec81;
+reg                  ym211e0;
+reg   [7:0]          vkb206f;
+reg                  qv9037b;
+reg                  nt81bdd;
+reg   [4:0]          yxc3947;
+reg   [4:0]          nt1ca3c;
+reg   [4:0]          rtd8728;
+reg                  blddf66;
+reg                  uiefb36;
+reg                  cm7d9b1;
+reg    [1:0]         zxecd89;
+wire   [1:0]         ne66c4e;
+reg                  wl36273;
+wire                 yzb139d;
+reg je89cec;
+reg ay4351e ;
+reg ec1a8f1 ;
+reg ou9d8ea;
+reg xjec750;
+reg  [(20*8):1] gqa3c49;
+reg qg49292;
+reg vk89252;
+reg rt50ee8;
+reg ou87746;
+reg [7 : 0] mg3ba31;
+reg [7 : 0] xjdd188;
+reg uve8c47;
+reg yk4623c;
+reg [7 : 0] ou311e1;
+reg gq88f08;
+reg fa47841;
+reg [4 : 0] nt8e0cb;
+reg [4 : 0] kd7065f;
+reg [4 : 0] byf1c19;
+reg mr4151c;
+reg swa8e4;
+reg uv54720;
+reg [1 : 0] qia3905;
+reg [1 : 0] vx1c829;
+reg zke414e;
+reg ng20a73;
+reg qi539a;
+reg twa81eb;
+reg xj40f5b;
+reg dm73425;
+reg cb9a12b;
+reg [(20 * 8) : 1] ph3d6fc;
+reg [2047:0] ls351cf;
+wire [26:0] jea8e7a;
+
+localparam ps473d2 = 27,wl39e93 = 32'hfdffd48b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+assign ne66c4e[1:0] = cb9a12b ? czd343e : vif148d;
+assign yzb139d = ((vx1c829 != qia3905) && !swa8e4) ||                                     zke414e;
+
+
+
+function [7:0] xl9bd52;
+input [7:0] sudea97;
+input       eaf54b9;
+input       hdaa5c8;
+input [7:0] zk52e46;
+
+begin   if(eaf54b9 == 1'b0 &&      hdaa5c8 == 1'b1 &&      zk52e46 != 8'b00001111)      xl9bd52 = cz651bc;   else if(eaf54b9 == 1'b1 && hdaa5c8 == 1'b1)      xl9bd52 = cz651bc;   else      xl9bd52 = sudea97;
+end
+endfunction
+function sh4bb73;
+input [7:0] sudea97;
+input       eaf54b9;
+input       hdaa5c8;
+input [7:0] zk52e46;
+
+begin   if(eaf54b9 == 1'b0 &&      hdaa5c8 == 1'b1 &&      zk52e46   != 8'b00001111)      sh4bb73 = 1'b1;   else if(eaf54b9 == 1'b1 && hdaa5c8 == 1'b1)      sh4bb73 = 1'b1;   else      sh4bb73 = sudea97;
+end
+endfunction
+
+
+
+
+always @(posedge yz26485 or negedge rst_n)
+begin  if(!rst_n) begin        ay4351e <= 1'b1;        ec1a8f1 <= 1'b1;        ou9d8ea <= 1'b0;        xjec750 <= 1'b0;        end     else begin      ay4351e <= qg49292;     ec1a8f1 <= twa81eb;     ou9d8ea <= vk89252;     xjec750 <= dm73425;     end
+end
+
+always @(posedge yz26485 or negedge rst_n)
+begin : xl8bb74
+if(!rst_n) begin   vkb206f <= 8'd0;   nt81bdd <= 1'd0;   qv9037b <= 1'd0; end
+else begin   vkb206f  <= an3c018;   nt81bdd  <= rt50ee8;   qv9037b  <= ou87746; end
+end
+always @(posedge yz26485 or negedge rst_n)
+begin : cob3765
+if(!rst_n) begin   zxecd89 <= 1'd0;   wl36273 <= 1'd0; end
+else begin   zxecd89 <= vx1c829;   wl36273 <= (vx1c829 != qia3905) && swa8e4; end
+end
+always @(posedge yz26485 or negedge rst_n)
+begin : kf36dc3   if(!rst_n)      blddf66 <= 1'b0;   else   begin      if(nt8e0cb == ph633d)         blddf66 <= ~mr4151c;      else         blddf66 <= mr4151c;   end
+end
+always @(posedge yz26485 or negedge rst_n)
+begin : kf39e42
+if(!rst_n)   cm7d9b1 <= 1'd0;
+else   cm7d9b1 <= swa8e4;
+end
+always @(posedge yz26485 or negedge rst_n)
+begin : hb5ac8c   if (!rst_n) begin      yxc3947 <= ph2da80;      nt1ca3c <= ph2da80;      je89cec <= 1'b0;   end   else if (xj40f5b) begin      yxc3947 <= ph2da80;      nt1ca3c <= ph2da80;      je89cec <= 1'b0;   end   else begin      nt1ca3c <= nt8e0cb;      if (ng20a73) begin        je89cec <= 1'b0;        case (nt8e0cb)  cz6a031: begin  yxc3947 <= xjc2218;     end     nr5018c: begin  yxc3947 <= hq110c7;     end     oh80c67: begin  yxc3947 <= qi8863b;     end     default: begin  yxc3947 <= ph2da80;     end
+       endcase      end      else begin         yxc3947 <= byf1c19;    case(qi539a)    0: begin        if  (nt8e0cb == kq6d406) begin  je89cec <= 1'b1;        end   end       1: begin        if  ( (nt8e0cb != ph2da80) && (nt8e0cb != kq6d406) ) begin      je89cec <= 1'b0;        end   end       default: begin  je89cec <= qi539a;   end        endcase
+      end   end
+end
+
+
+
+
+always @(nt8e0cb or vif148d or vx1c829 or rt50ee8 or ou87746 or swa8e4)
+begin : zkdfcb3   case (nt8e0cb)      ph2da80 :         rtd8728 = kq6d406;      kq6d406 :      begin         if (vx1c829 == fn5a687)            rtd8728 = cz6a031;
+
+         else if (vx1c829 == jr8b4d0)            rtd8728 = ph2da80;         else if (vx1c829 == czd343e)         begin            if (rt50ee8)            begin               if(ou87746 == 1'b0)                  rtd8728 = uk319ef;               else                  rtd8728 = hocf088;            end            else               rtd8728 = ph2da80;         end         else            rtd8728 = ph2da80;      end      cz6a031 :         rtd8728 = nr5018c;      nr5018c :         rtd8728 = oh80c67;      oh80c67 :         rtd8728 = ph633d;      ph633d :         rtd8728 = cz6a031;      xjc2218 :         rtd8728 = hq110c7;      hq110c7 :         rtd8728 = qi8863b;      qi8863b :         rtd8728 = ph2da80;
+
+
+
+      uk319ef :      begin         if (rt50ee8 == 1'b1)            rtd8728 = hq8cf79;         else         begin            if (ou87746 == 1'b0)               rtd8728 = me67bcb;            else               rtd8728 = ps79678;         end      end      hq8cf79 :      begin         if (rt50ee8 == 1'b1)            rtd8728 = hq8cf79;         else         begin            if (ou87746 == 1'b0)               rtd8728 = me67bcb;            else               rtd8728 = ps79678;         end      end      me67bcb :         rtd8728 = ph3de59;      ph3de59 :      begin         if (swa8e4 == 1'b0)            rtd8728 = ph2da80;         else            rtd8728 = wwef2cf;       end      wwef2cf :        rtd8728 = ph2da80;      ps79678 :      begin         if (ou87746 == 1'b0)            rtd8728 = sucb3c2;         else            rtd8728 = ww59e11;      end      sucb3c2 :         rtd8728 = ph3de59;      ww59e11 :      begin         if (rt50ee8 == 1'b0 && ou87746 == 1'b0)            rtd8728 = sucb3c2;         else if (rt50ee8 == 1'b1 && ou87746 == 1'b1)            rtd8728 = hocf088;         else if (rt50ee8 == 1'b1 && ou87746 == 1'b0)            rtd8728 = uk319ef;         else            rtd8728 = ww59e11;      end      hocf088 :         rtd8728 = me78443;      me78443 :      begin         if (rt50ee8 == 1'b1)            rtd8728 = hq8cf79;         else         begin            if (ou87746 == 1'b0)               rtd8728 = me67bcb;            else               rtd8728 = ps79678;         end       end       default :            rtd8728 = ph2da80;    endcase
+end 
+
+always @(posedge yz26485 or negedge rst_n)
+begin : ls35a57
+if(!rst_n) begin    ux18847 <= ep2ca37;    ph8415e <= ep2ca37;    ri20af6 <= ep2ca37;    qgc423c <= 1'b1;    wy57b2 <= 1'b1;    ym211e0 <= 1'b0;    en5ec81 <= 1'b0; end
+else begin   if(kd7065f < 7)      ux18847 <= mg3ba31;   else      ux18847 <= xjdd188;
+   qgc423c <= uve8c47;   ym211e0 <= yk4623c;      en5ec81   <= 1'b0;
+   case(nt8e0cb)      ph2da80 :      begin         ph8415e         <= ep2ca37;             wy57b2        <= 1'b1;               end      kq6d406 :      begin         ph8415e         <= yx70c40;         wy57b2        <= 1'b0;         en5ec81   <= ~qi539a;      end      cz6a031 :      begin         ph8415e         <= ep2ca37;             wy57b2        <= 1'b1;      end      nr5018c, xjc2218 :      begin         ph8415e         <= mr4151c ? wwe5c31 : cobcb86;         wy57b2        <= 1'b0;      end      oh80c67 , hq110c7:      begin         ph8415e         <= sjbe291[7:0];         wy57b2        <= 1'b0;      end      ph633d , qi8863b:      begin         ph8415e         <= sjbe291[15:8];         wy57b2        <= 1'b0;      end      uk319ef :      begin         ph8415e         <= nt28de5;                   wy57b2        <= 1'b1;      end      hocf088 :      begin         ri20af6         <= nt28de5;                   wy57b2        <= 1'b1;      end      hq8cf79 :      begin         ri20af6         <= xl9bd52(ou311e1, fa47841, gq88f08, ou311e1);         wy57b2        <= sh4bb73(1'b0, fa47841, gq88f08, ou311e1);      end      me67bcb :      begin         ri20af6         <= ui46f2e;                   wy57b2        <= 1'b1;      end      ph3de59,      wwef2cf,      sucb3c2 :      begin         ri20af6         <= hd37970;                   wy57b2        <= 1'b1;      end      ps79678 :      begin         ri20af6         <= xl9bd52(ui46f2e, fa47841, gq88f08, ou311e1);             wy57b2        <= 1'b1;      end      ww59e11 :      begin         ri20af6         <= xl9bd52(hd37970, fa47841, gq88f08, ou311e1);             wy57b2        <= 1'b1;      end      me78443 :      begin         ri20af6         <= cz651bc;                   wy57b2        <= 1'b1;      end      default :      begin         ri20af6         <= ep2ca37;                   wy57b2        <= 1'b1;      end   endcase
+end
+end 
+always @(nt8e0cb or uv54720)
+begin : cbbea6c   case(nt8e0cb)      ph2da80              : uiefb36 = 1'b1;      kq6d406              : uiefb36 = 1'b0;      cz6a031               : uiefb36 = 1'b1;      nr5018c               : uiefb36 = 1'b0;      xjc2218       : uiefb36 = 1'b0;      oh80c67               : uiefb36 = 1'b1;      hq110c7       : uiefb36 = 1'b1;      ph633d               : uiefb36 = 1'b0;      qi8863b       : uiefb36 = 1'b0;      uk319ef     : uiefb36 = ~uv54720;      hocf088         : uiefb36 = ~uv54720;      hq8cf79             : uiefb36 = ~uv54720;      me67bcb : uiefb36 = ~uv54720;      ph3de59          : uiefb36 = ~uv54720;      wwef2cf                : uiefb36 = ~uv54720;      sucb3c2         : uiefb36 = ~uv54720;      ps79678   : uiefb36 = ~uv54720;      ww59e11      : uiefb36 = ~uv54720;      me78443       : uiefb36 = ~uv54720;      default             : uiefb36 = 1'b1;   endcase
+end
+
+
+
+
+
+always @(nt8e0cb)
+begin   case (nt8e0cb)      ph2da80              : gqa3c49 = "IDLE_A";      kq6d406              : gqa3c49 = "IDLE_B";      cz6a031               : gqa3c49 = "CFG_A";      nr5018c               : gqa3c49 = "CFG_B";      oh80c67               : gqa3c49 = "CFG_C";      ph633d               : gqa3c49 = "CFG_D";      uk319ef     : gqa3c49 = "START_OF_PACKET";      hq8cf79             : gqa3c49 = "TX_DATA";      me67bcb : gqa3c49 = "END_OF_PACKET_NOEXT";      ph3de59          : gqa3c49 = "EPD2_NOEXT";      wwef2cf                : gqa3c49 = "EPD3";      ps79678   : gqa3c49 = "END_OF_PACKET_EXT";      sucb3c2         : gqa3c49 = "EXTEND_BY_1";      ww59e11      : gqa3c49 = "CARRIER_EXTEND";      hocf088         : gqa3c49 = "START_ERROR";      me78443       : gqa3c49 = "TX_DATA_ERROR";      xjc2218       : gqa3c49 = "cleanup_CFG_B";      hq110c7       : gqa3c49 = "cleanup_CFG_C";      qi8863b       : gqa3c49 = "cleanup_CFG_D";      default             : gqa3c49 = " ERROR ";   endcase
+end
+
+always@* begin qg49292<=jea8e7a[0];vk89252<=jea8e7a[1];rt50ee8<=jea8e7a[2];ou87746<=jea8e7a[3];mg3ba31<={ph8415e>>1,jea8e7a[4]};xjdd188<={ri20af6>>1,jea8e7a[5]};uve8c47<=jea8e7a[6];yk4623c<=jea8e7a[7];ou311e1<={vkb206f>>1,jea8e7a[8]};gq88f08<=jea8e7a[9];fa47841<=jea8e7a[10];nt8e0cb<={yxc3947>>1,jea8e7a[11]};kd7065f<={nt1ca3c>>1,jea8e7a[12]};byf1c19<={rtd8728>>1,jea8e7a[13]};mr4151c<=jea8e7a[14];swa8e4<=jea8e7a[15];uv54720<=jea8e7a[16];qia3905<={zxecd89>>1,jea8e7a[17]};vx1c829<={ne66c4e>>1,jea8e7a[18]};zke414e<=jea8e7a[19];ng20a73<=jea8e7a[20];qi539a<=jea8e7a[21];twa81eb<=jea8e7a[22];xj40f5b<=jea8e7a[23];dm73425<=jea8e7a[24];cb9a12b<=jea8e7a[25];ph3d6fc<={gqa3c49>>1,jea8e7a[26]};end
+always@* begin ls351cf[2047]<=force_unidir;ls351cf[2046]<=tx_en;ls351cf[2044]<=tx_er;ls351cf[2040]<=ph8415e[0];ls351cf[2032]<=ri20af6[0];ls351cf[2017]<=wy57b2;ls351cf[1987]<=en5ec81;ls351cf[1927]<=vkb206f[0];ls351cf[1865]<=cm7d9b1;ls351cf[1806]<=qv9037b;ls351cf[1682]<=zxecd89[0];ls351cf[1565]<=nt81bdd;ls351cf[1326]<=gqa3c49[1];ls351cf[1317]<=ne66c4e[0];ls351cf[1189]<=ec1a8f1;ls351cf[1172]<=yzb139d;ls351cf[1082]<=yxc3947[0];ls351cf[1023]<=mr_main_reset;ls351cf[932]<=uiefb36;ls351cf[663]<=xjec750;ls351cf[594]<=ay4351e;ls351cf[586]<=wl36273;ls351cf[466]<=blddf66;ls351cf[331]<=ou9d8ea;ls351cf[297]<=je89cec;ls351cf[233]<=rtd8728[0];ls351cf[116]<=nt1ca3c[0];end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module mt8230 (        yz26485,
+                       rst_n,
+                       qgc423c,
+                       fc30929,
+                       tj8494b,
+                       aa24a5f,
+                       ri252f8,
+                       cb297c3,
+                       ym211e0,
+                       fn5f0ec );
+input        yz26485;
+input        rst_n;
+input        qgc423c;
+input [7:0]  fc30929;
+input        tj8494b;
+input        aa24a5f;
+input        ri252f8;
+input        cb297c3;
+input        ym211e0;
+output [9:0] fn5f0ec;
+reg  [9:0]   fn5f0ec;
+reg  [7:0]   uvcc12a;
+reg          kd60954;
+wire         tw4aa4;
+reg [9:6]    ba25523;
+reg [5:0]    do2a91b;
+reg [9:6]    yx548db;
+reg [5:0]    uxa46dc;
+reg          an236e5, ri1b72c, sudb962, gbdcb11, ice588c;
+reg          ep2c460, ea62305, xy11828, ls8c142, qt60a12;
+reg ou5094;
+reg [7 : 0] lf284a0;
+reg jc42507;
+reg an1283b;
+reg ph941dd;
+reg fpa0eef;
+reg gd777e;
+reg [7 : 0] aa3bbf5;
+reg fnddfa8;
+reg ayefd46;
+reg [9 : 6] bl7ea31;
+reg [5 : 0] kqf518e;
+reg [9 : 6] baa8c70;
+reg [5 : 0] cm46382;
+reg uk31c11;
+reg jr8e089;
+reg en7044f;
+reg ec8227c;
+reg ls113e1;
+reg xy89f0b;
+reg nr4f85b;
+reg fa7c2de;
+reg rge16f2;
+reg bab793;
+reg [2047:0] ls351cf;
+wire [23:0] jea8e7a;
+
+localparam ps473d2 = 24,wl39e93 = 32'hfdffd30b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+
+
+
+
+
+
+
+always @(posedge yz26485 or negedge rst_n)  begin    if (rst_n == 1'b0)      kd60954 <= 1'b0;    else      kd60954 <= bab793;  end
+
+
+assign tw4aa4 = jc42507 ? an1283b : fnddfa8;
+
+
+
+always @(posedge yz26485 or negedge rst_n)  begin    if (rst_n == 1'b0)      fn5f0ec    <= 10'b00_0000_0000;    else      fn5f0ec    <= {baa8c70[9:6], cm46382[5:0]};  end
+
+
+
+
+always @(lf284a0 or ph941dd or fpa0eef or ayefd46 or gd777e)
+begin  if(gd777e && !ayefd46)    begin      if (ph941dd)        uvcc12a = {lf284a0[7:6], 1'b1, lf284a0[4:0]};      if (fpa0eef)        uvcc12a = 8'b110_00101;      else        uvcc12a = lf284a0;    end  else    uvcc12a = lf284a0;
+end
+
+
+
+
+
+
+
+
+
+always@(aa3bbf5 or ou5094)
+begin: rtc2fe0
+case (aa3bbf5[3:0])  4'b0000 :  begin      do2a91b[0] = aa3bbf5[0];    do2a91b[1] = 1;    do2a91b[2] = 1;    do2a91b[3] = aa3bbf5[3];    do2a91b[4] = aa3bbf5[4];    do2a91b[5] = aa3bbf5[4];    an236e5 = aa3bbf5[4];    ri1b72c = !aa3bbf5[4];    sudb962 = !aa3bbf5[4];    gbdcb11 = aa3bbf5[4];  end  4'b1000 , 4'b0100 , 4'b0010 , 4'b0001 :  begin           if (aa3bbf5[4] == 0)  begin      do2a91b[0] = aa3bbf5[0];      do2a91b[1] = aa3bbf5[1];      do2a91b[2] = aa3bbf5[2];      do2a91b[3] = aa3bbf5[3];      do2a91b[4] = 1;      do2a91b[5] = 0;      an236e5 = aa3bbf5[4];      ri1b72c = !aa3bbf5[4];      sudb962 = !aa3bbf5[4];      gbdcb11 = aa3bbf5[4];    end    else if (aa3bbf5[3] == 0)  begin      do2a91b[0] = aa3bbf5[0];      do2a91b[1] = aa3bbf5[1];      do2a91b[2] = aa3bbf5[2];      do2a91b[3] = aa3bbf5[3];      do2a91b[4] = aa3bbf5[4];      do2a91b[5] = 1;      an236e5 = 0;      ri1b72c = 0;      sudb962 = 0;      gbdcb11 = 0;    end    else begin      do2a91b[0] = aa3bbf5[0];      do2a91b[1] = aa3bbf5[1];      do2a91b[2] = 1;      do2a91b[3] = aa3bbf5[3];      do2a91b[4] = 0;      do2a91b[5] = 0;      an236e5 = 0;      ri1b72c = 1;      sudb962 = 1;      gbdcb11 = 0;    end  end  4'b1100 : begin    do2a91b[0] = aa3bbf5[0];    do2a91b[1] = aa3bbf5[1];    do2a91b[2] = aa3bbf5[2];    do2a91b[3] = aa3bbf5[3];    do2a91b[4] = aa3bbf5[4];    if (ou5094 == 1 && aa3bbf5[4] == 1)  begin            an236e5 = ou5094;      ri1b72c = !ou5094;      sudb962 = 0;      gbdcb11 = 1;      do2a91b[5] = 1;    end    else begin      an236e5 = 0;      ri1b72c = 0;      sudb962 = 0;      gbdcb11 = 0;      if (aa3bbf5[4] == 0)        do2a91b[5] = 1;      else        do2a91b[5] = 0;    end  end  4'b0011 , 4'b1010 , 4'b0101 , 4'b1001 , 4'b0110 :  begin       do2a91b[0] = aa3bbf5[0];    do2a91b[1] = aa3bbf5[1];    do2a91b[2] = aa3bbf5[2];    do2a91b[3] = aa3bbf5[3];    do2a91b[4] = aa3bbf5[4];    an236e5 = 0;    ri1b72c = 0;    sudb962 = 0;    gbdcb11 = 0;    if (aa3bbf5[4] == 0)      do2a91b[5] = 1;    else      do2a91b[5] = 0;  end  4'b1111:  begin        do2a91b[0] = aa3bbf5[0];    do2a91b[1] = 0;    do2a91b[2] = aa3bbf5[2];    do2a91b[3] = 0;    do2a91b[4] = aa3bbf5[4];    do2a91b[5] = aa3bbf5[4];    an236e5 = aa3bbf5[4];    ri1b72c = !aa3bbf5[4];    sudb962 = !aa3bbf5[4];    gbdcb11 = aa3bbf5[4];  end  4'b0111 :  begin    do2a91b[0] = aa3bbf5[0];    do2a91b[1] = aa3bbf5[1];    do2a91b[2] = aa3bbf5[2];    do2a91b[3] = aa3bbf5[3];    do2a91b[4] = aa3bbf5[4];    do2a91b[5] = 0;    ri1b72c = 0;    an236e5 = aa3bbf5[4];    sudb962 = 0;    gbdcb11 = 1;  end  4'b1101 , 4'b1011 , 4'b1110 :  begin      do2a91b[0] = aa3bbf5[0];    do2a91b[1] = aa3bbf5[1];    do2a91b[2] = aa3bbf5[2];    do2a91b[3] = aa3bbf5[3];    do2a91b[4] = aa3bbf5[4];    do2a91b[5] = 0;    ri1b72c = 0;    an236e5 = aa3bbf5[4];    sudb962 = 0;    gbdcb11 = aa3bbf5[4];  end  default : begin    do2a91b[5:0] = 0;    an236e5 = 0;    ri1b72c = 0;    sudb962 = 0;    gbdcb11 = 0;  end
+endcase
+end
+
+
+
+
+always@(uk31c11 or jr8e089 or en7044f or ec8227c or ayefd46 or kqf518e)
+begin: zx55650
+if ((en7044f && ayefd46) || (ec8227c && !ayefd46) ||   (!en7044f && !ec8227c))  begin  uxa46dc[5:0] = kqf518e[5:0];  if (!uk31c11 && !jr8e089)    ice588c = ayefd46;  else    ice588c = uk31c11;
+end
+else  begin  uxa46dc[0] = !kqf518e[0];  uxa46dc[1] = !kqf518e[1];  uxa46dc[2] = !kqf518e[2];  uxa46dc[3] = !kqf518e[3];  uxa46dc[4] = !kqf518e[4];  uxa46dc[5] = !kqf518e[5];  if (!uk31c11 && !jr8e089)    ice588c = ayefd46;  else    ice588c = !uk31c11;
+end
+end
+
+
+
+always@(aa3bbf5 or ou5094 or cm46382 or ls113e1)
+begin: fc35924
+case (aa3bbf5[7:5])  3'b000 : begin    ba25523[6] = aa3bbf5[5];    ba25523[7] = !aa3bbf5[6];    ba25523[8] = aa3bbf5[7];    ba25523[9] = 0;    xy11828 = 1;    ls8c142 = 0;    ep2c460 = 0;    ea62305 = 1;  end  3'b001 , 3'b010 , 3'b101 , 3'b110 : begin    ba25523[6] = aa3bbf5[5];    ba25523[7] = aa3bbf5[6];    ba25523[8] = aa3bbf5[7];    ba25523[9] = !aa3bbf5[7];    if (ou5094 == 1)      xy11828 = 1;    else      xy11828 = 0;    ls8c142 = 0;    ep2c460 = 0;    ea62305 = 0;  end  3'b011 : begin    ba25523[6] = aa3bbf5[5];    ba25523[7] = aa3bbf5[6];    ba25523[8] = aa3bbf5[7];    ba25523[9] = 0;    xy11828 = 0;    ls8c142 = 1;    ep2c460 = 0;    ea62305 = 0;  end  3'b100 : begin    ba25523[6] = aa3bbf5[5];    ba25523[7] = aa3bbf5[6];    ba25523[8] = aa3bbf5[7];    ba25523[9] = 0;    xy11828 = 1;    ls8c142 = 0;    ep2c460 = 0;    ea62305 = 1;  end  3'b111 : begin    if ((cm46382[4] == 1 && cm46382[5] == 1 && ls113e1 == 0) ||       (cm46382[4] == 0 && cm46382[5] == 0 && ls113e1 == 1) || (ou5094 == 1))  begin      ba25523[6] = 0;      ba25523[7] = aa3bbf5[6];      ba25523[8] = aa3bbf5[7];      ba25523[9] = 1;      xy11828 = 0;      ls8c142 = 1;      ep2c460 = 1;      ea62305 = 0;    end    else begin      ba25523[6] = aa3bbf5[5];      ba25523[7] = aa3bbf5[6];      ba25523[8] = aa3bbf5[7];      ba25523[9] = 0;      xy11828 = 0;      ls8c142 = 1;      ep2c460 = 1;      ea62305 = 0;    end  end  default : begin    ba25523[6] = aa3bbf5[5];    ba25523[7] = aa3bbf5[6];    ba25523[8] = aa3bbf5[7];    ba25523[9] = 0;    xy11828 = 0;    ls8c142 = 0;    ep2c460 = 0;    ea62305 = 0;  end
+endcase
+end
+
+
+
+always@(xy89f0b or nr4f85b or fa7c2de or rge16f2 or ls113e1 or bl7ea31)
+begin: ykd1487
+if ((fa7c2de && ls113e1) || (rge16f2 && !ls113e1) ||   (!fa7c2de && !rge16f2))  begin  yx548db[9:6] = bl7ea31[9:6];  if (!xy89f0b && !nr4f85b)    qt60a12 = ls113e1;  else    qt60a12 = xy89f0b;
+end
+else  begin  yx548db[6] = !bl7ea31[6];  yx548db[7] = !bl7ea31[7];  yx548db[8] = !bl7ea31[8];  yx548db[9] = !bl7ea31[9];  if (!xy89f0b && !nr4f85b)    qt60a12 = ls113e1;  else    qt60a12 = !xy89f0b;
+end
+end
+
+always@* begin ou5094<=jea8e7a[0];lf284a0<={fc30929>>1,jea8e7a[1]};jc42507<=jea8e7a[2];an1283b<=jea8e7a[3];ph941dd<=jea8e7a[4];fpa0eef<=jea8e7a[5];gd777e<=jea8e7a[6];aa3bbf5<={uvcc12a>>1,jea8e7a[7]};fnddfa8<=jea8e7a[8];ayefd46<=jea8e7a[9];bl7ea31<={ba25523>>1,jea8e7a[10]};kqf518e<={do2a91b>>1,jea8e7a[11]};baa8c70<={yx548db>>1,jea8e7a[12]};cm46382<={uxa46dc>>1,jea8e7a[13]};uk31c11<=jea8e7a[14];jr8e089<=jea8e7a[15];en7044f<=jea8e7a[16];ec8227c<=jea8e7a[17];ls113e1<=jea8e7a[18];xy89f0b<=jea8e7a[19];nr4f85b<=jea8e7a[20];fa7c2de<=jea8e7a[21];rge16f2<=jea8e7a[22];bab793<=jea8e7a[23];end
+always@* begin ls351cf[2047]<=fc30929[0];ls351cf[2046]<=tj8494b;ls351cf[2044]<=aa24a5f;ls351cf[2040]<=ri252f8;ls351cf[2032]<=cb297c3;ls351cf[2016]<=ym211e0;ls351cf[1985]<=uvcc12a[0];ls351cf[1922]<=kd60954;ls351cf[1796]<=tw4aa4;ls351cf[1544]<=ba25523[6];ls351cf[1302]<=xy11828;ls351cf[1115]<=qt60a12;ls351cf[1105]<=gbdcb11;ls351cf[1041]<=do2a91b[0];ls351cf[1023]<=qgc423c;ls351cf[651]<=ea62305;ls351cf[557]<=ls8c142;ls351cf[552]<=sudb962;ls351cf[325]<=ep2c460;ls351cf[276]<=ri1b72c;ls351cf[162]<=ice588c;ls351cf[138]<=an236e5;ls351cf[69]<=uxa46dc[0];ls351cf[34]<=yx548db[6];end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+`timescale 1 ns / 100 ps
+module sgmii_gbe_pcs34 (
+   
+   tx_clk_125 ,
+   serdes_recovered_clk ,
+   rx_clk_125 ,
+   rst_n ,
+   gbe_mode ,
+   sgmii_mode ,
+   signal_detect ,
+   debug_link_timer_short,
+
+   force_isolate,
+   force_loopback,
+   force_unidir,
+
+   operational_rate,
+   rx_compensation_err,
+   ctc_drop_flag,
+   ctc_add_flag,
+   an_link_ok,
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+   tx_clock_enable_sink ,
+   tx_clock_enable_source ,
+
+   rx_clock_enable_sink ,
+   rx_clock_enable_source ,
+`else\r
+   tx_clk_mii ,
+   rx_clk_mii ,
+`endif\r
+
+   
+   
+   rx_data ,
+   rx_kcntl ,
+   rx_even ,
+   rx_disp_err ,
+   rx_cv_err ,
+   rx_err_decode_mode ,
+
+   
+   tx_d ,
+   tx_en ,
+   tx_er ,
+
+   
+   mr_adv_ability ,
+   mr_an_enable ,
+   mr_main_reset ,
+   mr_restart_an ,
+
+   mr_an_complete ,
+   mr_lp_adv_ability ,
+   mr_page_rx ,
+
+   
+   
+   rx_d ,
+   rx_dv ,
+   rx_er ,
+   col ,
+   crs ,
+
+   
+   tx_data,
+   tx_kcntl,
+   tx_disparity_cntl,
+   xmit_autoneg
+   ) ;
+input         tx_clk_125 ;
+input         serdes_recovered_clk ;
+input         rx_clk_125 ;
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+input  tx_clock_enable_sink;
+output tx_clock_enable_source;
+input  rx_clock_enable_sink;
+output rx_clock_enable_source;
+
+`else\r
+input tx_clk_mii;
+input rx_clk_mii;
+
+`endif\r
+input         rst_n ;
+input         signal_detect ;
+input         gbe_mode ;
+input         sgmii_mode ;
+input         debug_link_timer_short ;
+input         force_isolate ;
+input         force_loopback ;
+input         force_unidir ;
+input [1:0]   operational_rate;
+output        rx_compensation_err;
+output        ctc_drop_flag;
+output        ctc_add_flag;
+output        an_link_ok;
+input [7:0]   rx_data ;
+input         rx_kcntl ;
+input         rx_even ;
+input         rx_disp_err ;
+input         rx_cv_err ;
+input         rx_err_decode_mode ;
+input [7:0]   tx_d ;
+input         tx_en ;
+input         tx_er ;
+input [15:0]  mr_adv_ability;
+input         mr_an_enable;
+input         mr_main_reset;
+input         mr_restart_an;
+output        mr_an_complete;
+output [15:0] mr_lp_adv_ability;
+output        mr_page_rx;
+output [7:0]   rx_d ;
+output         rx_dv ;
+output         rx_er ;
+output         col ;
+output         crs ;
+output [7:0]   tx_data ;
+output         tx_kcntl ;
+output         tx_disparity_cntl ;
+output         xmit_autoneg ;
+
+`ifdef SGMII_YES_ENC\r
+wire [7:0]      yx754b7 ;
+wire            lfaa5bc ;
+wire            en5ec81 ;
+
+`endif\r
+wire [1:0]      vif148d ;
+wire [15:0]     uk97c52 ;
+wire [15:0]     sjbe291 ;
+wire [15:0]     mr_adv_ability ;
+wire [15:0]     mr_lp_adv_ability ;
+wire db84fe1;
+wire [7:0] zkda3ae;
+wire ead1d72;
+wire rv8eb90;
+wire rx_compensation_err;
+wire wlae435;
+wire os721ac;
+wire mt90d61;
+wire ba86b0c;
+wire je35862;
+wire an_link_ok;
+reg jc4dbca;
+reg cm6de53;
+reg mr6f298;
+reg ne794c4;
+reg dmca622;
+reg go53113;
+reg xw76190 ;
+reg hdb0c83 ;
+reg hq8641f ;
+reg lf320fb ;
+reg oh907df ;
+reg vk83efd ;
+reg mg1f7ea ;
+wire [7:0] fafbf50;
+wire rgdfa82;
+wire yxfd413;
+wire [7:0] meea09c;
+wire pf504e0;
+wire co82707;
+wire xl13839;
+wire pu9c1cf;
+wire ctc_drop_flag;
+wire ctc_add_flag;
+reg oh39ec5;
+reg pscf62d;
+wire [7:0] bl7b16b;
+wire rtd8b5f;
+wire tuc5afe;
+reg db2d7f3;
+reg zx6bf9f;
+reg th5fcff;
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+reg nefe7ff;
+reg jpf3ffb;
+
+`endif\r
+parameter DYNAMIC_LT_10MBPS = 340;
+parameter DYNAMIC_HT_10MBPS = 680;
+parameter DYNAMIC_LT_100MBPS = 34;
+parameter DYNAMIC_HT_100MBPS = 68;
+parameter DYNAMIC_LT_1000MBPS = 16;
+parameter DYNAMIC_HT_1000MBPS = 32;
+parameter STATIC_LO_THRESH = 16;\r
+parameter STATIC_HI_THRESH = 32;\r
+parameter LINK_TIMER_SH = 21'h1fff01;\r
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+
+`endif\r
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+
+`else\r
+
+`endif\r
+
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+
+`endif\r
+
+`ifdef SGMII_YES_CTC_STATIC\r
+
+`endif\r
+
+`ifdef SGMII_NO_CTC\r
+
+`endif\r
+
+`ifdef SGMII_YES_ENC\r
+
+`else\r
+
+`endif\r
+
+`ifdef SGMII_YES_ENC\r
+
+`else\r
+
+`endif\r
+reg rv22600;
+reg tuf124a;
+reg uv418e0;
+reg lf1c340;
+reg aa98036;
+reg and03a;
+reg vk89252;
+reg [1 : 0] tw42ab;
+reg [7 : 0] ho73b69;
+reg co9db4a;
+reg zk69421;
+reg kfa1f51;
+reg swfa8c;
+reg su7d460;
+reg [7 : 0] qgea300;
+reg rt50ee8;
+reg ou87746;
+reg xj4a480;
+reg qg49292;
+reg cm49490;
+reg [1 : 0] th4a10b;
+reg [15 : 0] tj22a0a;
+reg [15 : 0] qi15057;
+reg eneda50;
+reg [7 : 0] kd415cb;
+reg coae5c;
+reg vi572e0;
+reg ukb9702;
+reg gocb810;
+reg ay5c080;
+reg qge0401;
+reg ux2009;
+reg uv5ef91;
+reg wjf7c8d;
+reg uxbe46c;
+reg mrf2365;
+reg xl91b2d;
+reg ks8d96e;
+reg cm4c5d2;
+reg ui62e90;
+reg an17481;
+reg lfba40c;
+reg thd2067;
+reg gd9033e;
+reg lf819f5;
+reg [7 : 0] rvcfaf;
+reg os67d7f;
+reg co3ebf9;
+reg [7 : 0] suf5fc8;
+reg tjafe41;
+reg wj7f20f;
+reg dzf907d;
+reg dzc83ee;
+reg en41f76;
+reg ksfbb5;
+reg [7 : 0] go7dda8;
+reg dmeed41;
+reg xw76a0b;
+reg dbb505a;
+reg xya82d6;
+reg cz416b3;
+reg [2047:0] ls351cf;
+wire [60:0] jea8e7a;
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+
+`else\r
+
+`endif\r
+
+`ifdef SGMII_YES_ENC\r
+
+`endif\r
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+
+`endif\r
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+
+`endif\r
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+
+
+
+`else\r
+
+
+
+`endif\r
+
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+
+
+`endif\r
+
+`ifdef SGMII_YES_CTC_STATIC\r
+
+
+`endif\r
+
+`ifdef SGMII_NO_CTC\r
+
+
+`endif\r
+
+`ifdef SGMII_YES_ENC\r
+
+`else\r
+
+`endif\r
+
+
+
+
+`ifdef SGMII_YES_ENC\r
+
+
+
+`else\r
+
+
+`endif\r
+
+localparam ps473d2 = 61,wl39e93 = 32'hfdffca8b;
+localparam [31:0] bycf49d = wl39e93;
+localparam xjd275d = wl39e93 & 4'hf;
+localparam [11:0] wy9d773 = 'h7ff;
+wire [(1 << xjd275d) -1:0] jc5dccb;
+reg [ps473d2-1:0] zk732d2;
+reg [xjd275d-1:0] cmcb49b [0:1];
+reg [xjd275d-1:0] xjd26ce;
+reg ls93676;
+integer vx9b3b2;
+integer ald9d96;
+
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+
+
+`else\r
+
+
+`endif\r
+
+
+`ifdef SGMII_YES_ENC\r
+
+
+`endif\r
+
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+
+
+`endif\r
+
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+
+
+`endif\r
+
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+
+
+`else\r
+
+
+`endif\r
+
+
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_YES_CTC_STATIC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_NO_CTC\r
+
+
+`endif\r
+
+
+`ifdef SGMII_YES_ENC\r
+
+
+`else\r
+
+
+`endif\r
+
+
+`ifdef SGMII_YES_ENC\r
+
+
+`else\r
+
+
+`endif\r
+
+
+
+
+
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+
+
+
+`else\r
+
+
+
+`endif\r
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+          
+
+
+
+
+
+`ifdef SGMII_YES_ENC\r
+
+
+
+`endif\r
+
+
+
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+
+
+
+`endif\r
+
+
+
+
+assign os721ac = ({zk69421, swfa8c, kfa1f51} == 3'b100) ? 1'b1 : 1'b0;
+assign mt90d61 = ({zk69421, swfa8c, kfa1f51} == 3'b111) ? 1'b1 : 1'b0;
+assign ba86b0c = gocb810 | ay5c080;
+
+assign je35862 = swfa8c | kfa1f51;
+
+assign db84fe1 = (su7d460) ? qge0401 : ux2009;
+
+
+
+
+
+
+
+
+
+
+
+
+always @(posedge tx_clk_125 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        db2d7f3 <= 1'b0;        end     else begin      db2d7f3 <= ~dbb505a;    end
+end
+always @(posedge rx_clk_125 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        zx6bf9f <= 1'b0;        end     else begin      zx6bf9f <= ~xya82d6;    end
+end
+always @(posedge serdes_recovered_clk or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        th5fcff <= 1'b0;        end     else begin      th5fcff <= ~cz416b3;    end
+end
+
+`ifndef SGMII_YES_SINGLE_CLOCK\r
+       always @(posedge tx_clk_mii or negedge rst_n)   begin   if (rst_n == 1'b0) begin        nefe7ff <= 1'b0;        end     else begin      nefe7ff <= ~nefe7ff;    end     end     always @(posedge rx_clk_mii or negedge rst_n)   begin   if (rst_n == 1'b0) begin        jpf3ffb <= 1'b0;        end     else begin      jpf3ffb <= ~jpf3ffb;    end     end
+
+
+`endif\r
+
+
+
+
+
+
+
+
+
+
+`ifdef SGMII_YES_SINGLE_CLOCK\r
+       dm4d132 vk839b5 (   .rst_n               ( rst_n ) ,   .gbe_mode            ( rv22600 ) ,   .yz26485                 ( tx_clk_125 ) ,   .db3242c   ( tx_clock_enable_sink ) ,   .thcda6d ( tx_clock_enable_source ) ,   .zz38960                ( tw42ab ) ,   .force_isolate       ( aa98036 ) ,   .zz38f51             ( qgea300 ) ,   .blc7a8f              ( ou87746 ) ,   .lf3d47e               ( rt50ee8 ) ,   .of51fb0            ( fafbf50 ) ,   .sj8fd84             ( rgdfa82 ) ,   .vv7ec24              ( yxfd413 )    );  vv55b56 uk34e71 (   .rst_n               ( rst_n ) ,   .gbe_mode            ( rv22600 ) ,   .force_isolate       ( aa98036 ) ,   .yz26485                 ( rx_clk_125 ) ,   .db3242c   ( rx_clock_enable_sink ) ,   .thcda6d ( rx_clock_enable_source ) ,   .zz38960                ( tw42ab ) ,   .zz38f51             ( suf5fc8 ) ,   .blc7a8f              ( tjafe41 ) ,   .lf3d47e               ( wj7f20f ) ,   .do20bc9              ( dzf907d ) ,   .ou5e4a              ( dzc83ee ) ,   .of51fb0            ( rx_d ) ,   .sj8fd84             ( rx_er ) ,   .vv7ec24              ( rx_dv ),   .yz8a53d             ( col ) ,   .tu529ed             ( crs )    );
+
+`else\r
+       nr553d0 vk839b5 (   .rst_n           ( rst_n ) ,   .gbe_mode        ( rv22600 ) ,   .al7a1c7          ( tx_clk_mii ) ,   .hod0e3d         ( tw42ab ) ,   .force_isolate   ( aa98036 ) ,   .zz38f51         ( qgea300 ) ,   .blc7a8f          ( ou87746 ) ,   .lf3d47e           ( rt50ee8 ) ,   .meea3f6         ( tx_clk_125 ) ,   .of51fb0        ( fafbf50 ) ,   .sj8fd84         ( rgdfa82 ) ,   .vv7ec24          ( yxfd413 )    );        uie0f07 uk34e71 (   .rst_n           ( rst_n ) ,   .gbe_mode        ( rv22600 ) ,   .force_isolate   ( aa98036 ) ,   .al7a1c7          ( rx_clk_125 ) ,   .zz38f51         ( suf5fc8 ) ,   .blc7a8f          ( tjafe41 ) ,   .lf3d47e           ( wj7f20f ) ,   .do20bc9          ( dzf907d ) ,   .ou5e4a          ( dzc83ee ) ,   .meea3f6         ( rx_clk_mii ) ,   .vi7928a        ( tw42ab ) ,   .of51fb0        ( rx_d ) ,   .sj8fd84         ( rx_er ) ,   .vv7ec24          ( rx_dv ),   .yz8a53d         ( col ) ,   .tu529ed         ( crs )    );
+
+`endif\r
+
+
+
+
+
+`ifdef SGMII_YES_CTC_DYNAMIC\r
+                               ba1bf62 # (.DYNAMIC_HT_1000MBPS(DYNAMIC_HT_1000MBPS), .DYNAMIC_LT_1000MBPS(DYNAMIC_LT_1000MBPS),  .DYNAMIC_HT_100MBPS (DYNAMIC_HT_100MBPS),  .DYNAMIC_LT_100MBPS (DYNAMIC_LT_100MBPS),  .DYNAMIC_HT_10MBPS  (DYNAMIC_HT_10MBPS),   .DYNAMIC_LT_10MBPS  (DYNAMIC_LT_10MBPS)) by6ca4a (  .rst_n (rst_n),  .mr_main_reset (lf819f5),  .an_link_ok (an_link_ok),  .ic626ef (xj4a480),  .gbe_mode (rv22600),  .operational_rate (tw42ab),  .lddde37 (serdes_recovered_clk),  .wwef1be (ho73b69),  .os78df0 (co9db4a),  .qtc6f81 (eneda50),  .bn37c0c (rx_clk_125),  .irbe066 (zkda3ae),  .suf0335 (ead1d72),  .ph819a8 (rv8eb90),  .xlcd47 (rx_compensation_err),  .ctc_drop_flag (ctc_drop_flag),  .ctc_add_flag (ctc_add_flag)  );
+
+`endif\r
+
+
+
+`ifdef SGMII_YES_CTC_STATIC\r
+                               mtb4bc4 # (.STATIC_HI_THRESH(STATIC_HI_THRESH), .STATIC_LO_THRESH(STATIC_LO_THRESH)) by6ca4a (  .rst_n (rst_n),  .mr_main_reset (lf819f5),  .lddde37 (serdes_recovered_clk),  .wwef1be (ho73b69),  .os78df0 (co9db4a),  .qtc6f81 (eneda50),  .bn37c0c (rx_clk_125),  .irbe066 (zkda3ae),  .suf0335 (ead1d72),  .ph819a8 (rv8eb90),  .xlcd47 (rx_compensation_err),  .ctc_drop_flag (ctc_drop_flag),  .ctc_add_flag (ctc_add_flag)  );
+
+`endif\r
+
+
+
+`ifdef SGMII_NO_CTC\r
+                               mtbbc32  by6ca4a (  .rst_n (rst_n),  .mr_main_reset (lf819f5),  .lddde37 (serdes_recovered_clk),  .wwef1be (ho73b69),  .os78df0 (co9db4a),  .qtc6f81 (eneda50),  .bn37c0c (rx_clk_125),  .irbe066 (zkda3ae),  .suf0335 (ead1d72),  .ph819a8 (rv8eb90),  .xlcd47 (rx_compensation_err)  );
+assign ctc_drop_flag = 1'b0;
+assign ctc_add_flag = 1'b0;
+
+`endif\r
+
+
+
+
+
+
+
+always @(posedge rx_clk_125 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        oh39ec5 <= 1'b0;        pscf62d <= 1'b0;        end     else begin      oh39ec5 <= and03a;      pscf62d <= en41f76;     end
+end
+
+
+`ifdef SGMII_YES_ENC\r
+       assign bl7b16b  = ksfbb5 ? yx754b7  : kd415cb;  assign rtd8b5f = ksfbb5 ? lfaa5bc : coae5c;     assign tuc5afe   = ksfbb5 ? 1'b0         : vi572e0;
+
+`else\r
+       assign bl7b16b  = ksfbb5 ? tx_data      : kd415cb;      assign rtd8b5f = ksfbb5 ? tx_kcntl     : coae5c;        assign tuc5afe   = ksfbb5 ? 1'b0         : vi572e0;
+
+`endif\r
+
+
+
+
+
+gb51bec gb51bec (      .ri2ab2d         ( rx_clk_125 ) ,   .rst_n           ( rst_n ) ,   .mr_main_reset   ( lf819f5 ) ,      .signal_detect     ( uv418e0 ) ,   .rx_data         ( go7dda8 ) ,   .rx_kcntl        ( dmeed41 ) ,   .db84fe1          ( xw76a0b ) ,      .bld2597     ( bld2597 ) ,   .rx_even         ( wlae435 )   );
+
+
+
+
+
+
+gb65565 gb65565 (      .ri2ab2d         ( rx_clk_125 ) ,   .rst_n           ( rst_n ) ,   .mr_main_reset   ( lf819f5 ) ,   .sgmii_mode      ( tuf124a ) ,   .gbe_mode        ( rv22600 ) ,   .jp6bc27     ( mr_adv_ability[12] ) ,       .rx_data         ( go7dda8 ) ,   .rx_kcntl        ( dmeed41 ) ,   .db84fe1          ( xw76a0b ) ,   .bld2597     ( bld2597 ) ,   .rx_even         ( ukb9702 ) ,   .vif148d            ( th4a10b ) ,      .uk97c52( uk97c52 ) ,   .cofeb2  ( cofeb2 ) ,   .wj7f590    ( wj7f590 ) ,   .ayfac85 ( ayfac85 ) ,   .end6428     ( meea09c ) ,   .pub2144     ( co82707 ) ,   .ux90a23      ( pf504e0 )   );
+
+
+
+
+   ldf627d # (.LINK_TIMER_SH(LINK_TIMER_SH)) ldf627d (      .yz26485                ( tx_clk_125 ) ,      .rst_n              ( rst_n ) ,      .gbe_mode           ( rv22600 ) ,      .sgmii_mode         ( tuf124a ) ,      .force_unidir       ( vk89252 ) ,      .mr_main_reset      ( lf819f5  ) ,      .mr_restart_an      ( cm49490  ) ,      .mr_an_enable       ( xj4a480 ) ,      .mr_adv_ability     ( mr_adv_ability ) ,      .mr_lp_adv_ability  ( mr_lp_adv_ability ) ,      .mr_page_rx         ( mr_page_rx ) ,      .mr_an_complete     ( mr_an_complete ) ,      .nr4ae92   ( lf1c340 ) ,      .bld2597        ( bld2597 ) ,      .qi92cbe       ( ayfac85 ) ,      .ls965f1          ( wj7f590 ) ,      .twb2f8a        ( cofeb2 ) ,      .uk97c52      ( tj22a0a ) ,      .sjbe291      ( sjbe291 ) ,      .vif148d               ( vif148d ),      .an_link_ok         ( an_link_ok )      );
+
+
+`ifdef SGMII_YES_ENC\r
+                               th4f530 th4f530 (  .yz26485             ( tx_clk_125 ) ,  .rst_n           ( rst_n ) ,  .mr_main_reset   ( lf819f5 ),  .force_unidir    ( vk89252 ),  .an3c018           ( rvcfaf ) ,  .tx_en           ( co3ebf9 ) ,  .tx_er           ( os67d7f ) ,  .vif148d            ( th4a10b ) ,  .sjbe291   ( qi15057 ) ,  .ux18847           ( yx754b7 ) ,  .qgc423c         ( lfaa5bc ),  .ym211e0    ( en5ec81 )  );
+                               mt8230  mt8230 (  .yz26485 (tx_clk_125),  .rst_n (rst_n),  .qgc423c (lfaa5bc),  .fc30929 (yx754b7),  .tj8494b (1'b0),  .aa24a5f (1'b0),  .ri252f8 (1'b0),  .cb297c3 (1'b1),  .ym211e0 (en5ec81),  .fn5f0ec  ({tx_disparity_cntl, tx_kcntl, tx_data})    );
+
+`else\r
+                               th4f530 th4f530 (  .yz26485             ( tx_clk_125 ) ,  .rst_n           ( rst_n ) ,  .mr_main_reset   ( lf819f5 ),  .force_unidir    ( vk89252 ),  .an3c018           ( rvcfaf ) ,  .tx_en           ( co3ebf9 ) ,  .tx_er           ( os67d7f ) ,  .vif148d            ( th4a10b ) ,  .sjbe291   ( qi15057 ) ,  .ux18847           ( tx_data ) ,  .qgc423c         ( tx_kcntl ),  .ym211e0    ( tx_disparity_cntl )  );
+
+`endif\r
+
+
+
+
+
+assign xl13839 = rx_dv & rt50ee8;
+assign pu9c1cf = rx_dv;
+assign xmit_autoneg = ((th4a10b==2'b01)||(th4a10b==2'b00)) ? 1'b1 : 1'b0;
+
+
+always @(posedge tx_clk_125 or negedge rst_n)
+begin  if (rst_n == 1'b0) begin        jc4dbca <= 1'b0;        cm6de53 <= 1'b0;        mr6f298 <= 1'b0;        ne794c4 <= 1'b0;        dmca622 <= 1'b0;        go53113 <= 1'b0;        xw76190 <= 1'b1;        hdb0c83 <= 1'b1;        hq8641f <= 1'b1;        lf320fb <= 1'b1;        oh907df <= 1'b1;        vk83efd <= 1'b1;        mg1f7ea <= 1'b1;        end     else begin              jc4dbca <= rv22600;     cm6de53 <= uv5ef91;     xw76190 <= qg49292;     hdb0c83 <= cm4c5d2;             mr6f298 <= wjf7c8d;             ne794c4 <= wjf7c8d & (~uxbe46c);                dmca622 <= ~wjf7c8d & (uxbe46c);                go53113 <= mrf2365 || xl91b2d;          hq8641f <= ui62e90 | ks8d96e;   lf320fb <= an17481;     oh907df <= lfba40c;     vk83efd <= thd2067;     mg1f7ea <= an17481 | lfba40c | thd2067 | gd9033e;       end
+end
+
+
+
+always@* begin rv22600<=jea8e7a[0];tuf124a<=jea8e7a[1];uv418e0<=jea8e7a[2];lf1c340<=jea8e7a[3];aa98036<=jea8e7a[4];and03a<=jea8e7a[5];vk89252<=jea8e7a[6];tw42ab<={operational_rate>>1,jea8e7a[7]};ho73b69<={rx_data>>1,jea8e7a[8]};co9db4a<=jea8e7a[9];zk69421<=jea8e7a[10];kfa1f51<=jea8e7a[11];swfa8c<=jea8e7a[12];su7d460<=jea8e7a[13];qgea300<={tx_d>>1,jea8e7a[14]};rt50ee8<=jea8e7a[15];ou87746<=jea8e7a[16];xj4a480<=jea8e7a[17];qg49292<=jea8e7a[18];cm49490<=jea8e7a[19];th4a10b<={vif148d>>1,jea8e7a[20]};tj22a0a<={uk97c52>>1,jea8e7a[21]};qi15057<={sjbe291>>1,jea8e7a[22]};eneda50<=jea8e7a[23];kd415cb<={zkda3ae>>1,jea8e7a[24]};coae5c<=jea8e7a[25];vi572e0<=jea8e7a[26];ukb9702<=jea8e7a[27];gocb810<=jea8e7a[28];ay5c080<=jea8e7a[29];qge0401<=jea8e7a[30];ux2009<=jea8e7a[31];uv5ef91<=jea8e7a[32];wjf7c8d<=jea8e7a[33];uxbe46c<=jea8e7a[34];mrf2365<=jea8e7a[35];xl91b2d<=jea8e7a[36];ks8d96e<=jea8e7a[37];cm4c5d2<=jea8e7a[38];ui62e90<=jea8e7a[39];an17481<=jea8e7a[40];lfba40c<=jea8e7a[41];thd2067<=jea8e7a[42];gd9033e<=jea8e7a[43];lf819f5<=jea8e7a[44];rvcfaf<={fafbf50>>1,jea8e7a[45]};os67d7f<=jea8e7a[46];co3ebf9<=jea8e7a[47];suf5fc8<={meea09c>>1,jea8e7a[48]};tjafe41<=jea8e7a[49];wj7f20f<=jea8e7a[50];dzf907d<=jea8e7a[51];dzc83ee<=jea8e7a[52];en41f76<=jea8e7a[53];ksfbb5<=jea8e7a[54];go7dda8<={bl7b16b>>1,jea8e7a[55]};dmeed41<=jea8e7a[56];xw76a0b<=jea8e7a[57];dbb505a<=jea8e7a[58];xya82d6<=jea8e7a[59];cz416b3<=jea8e7a[60];end
+always@* begin ls351cf[2047]<=sgmii_mode;ls351cf[2046]<=signal_detect;ls351cf[2044]<=debug_link_timer_short;ls351cf[2040]<=force_isolate;ls351cf[2032]<=force_loopback;ls351cf[2017]<=force_unidir;ls351cf[1987]<=operational_rate[0];ls351cf[1926]<=rx_data[0];ls351cf[1805]<=ne794c4;ls351cf[1804]<=rx_kcntl;ls351cf[1803]<=db84fe1;ls351cf[1761]<=jc4dbca;ls351cf[1668]<=oh907df;ls351cf[1562]<=dmca622;ls351cf[1560]<=rx_even;ls351cf[1558]<=zkda3ae[0];ls351cf[1550]<=tx_er;ls351cf[1475]<=cm6de53;ls351cf[1464]<=ba86b0c;ls351cf[1288]<=vk83efd;ls351cf[1242]<=db2d7f3;ls351cf[1076]<=go53113;ls351cf[1072]<=rx_disp_err;ls351cf[1069]<=ead1d72;ls351cf[1056]<=fafbf50[0];ls351cf[1052]<=mr_an_enable;ls351cf[1028]<=co82707;ls351cf[1023]<=gbe_mode;ls351cf[902]<=mr6f298;ls351cf[901]<=sjbe291[0];ls351cf[880]<=je35862;ls351cf[874]<=th5fcff;ls351cf[834]<=lf320fb;ls351cf[775]<=tx_en;ls351cf[732]<=mt90d61;ls351cf[621]<=tuc5afe;ls351cf[528]<=mg1f7ea;ls351cf[514]<=pf504e0;ls351cf[450]<=uk97c52[0];ls351cf[437]<=zx6bf9f;ls351cf[417]<=hq8641f;ls351cf[387]<=tx_d[0];ls351cf[366]<=os721ac;ls351cf[310]<=rtd8b5f;ls351cf[257]<=meea09c[0];ls351cf[225]<=vif148d[0];ls351cf[208]<=hdb0c83;ls351cf[193]<=rx_err_decode_mode;ls351cf[183]<=wlae435;ls351cf[155]<=bl7b16b[0];ls351cf[128]<=yxfd413;ls351cf[112]<=mr_restart_an;ls351cf[104]<=xw76190;ls351cf[96]<=rx_cv_err;ls351cf[91]<=rv8eb90;ls351cf[77]<=pscf62d;ls351cf[64]<=rgdfa82;ls351cf[56]<=mr_main_reset;ls351cf[38]<=oh39ec5;ls351cf[19]<=pu9c1cf;ls351cf[9]<=xl13839;end         assign jc5dccb = ls351cf,jea8e7a = zk732d2; initial begin vx9b3b2 = $fopen(".fred"); $fdisplay( vx9b3b2, "%3h\n%3h", (bycf49d >> 4) & wy9d773, (bycf49d >> (xjd275d+4)) & wy9d773 ); $fclose(vx9b3b2); $readmemh(".fred", cmcb49b); end always @ (jc5dccb) begin xjd26ce = cmcb49b[1]; for (ald9d96=0; ald9d96<ps473d2; ald9d96=ald9d96+1) begin zk732d2[ald9d96] = jc5dccb[xjd26ce]; ls93676 = ^(xjd26ce & cmcb49b[0]); xjd26ce = {xjd26ce, ls93676}; end end 
+endmodule
+
+
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_filelist.log b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_filelist.log
new file mode 100644 (file)
index 0000000..842767f
--- /dev/null
@@ -0,0 +1,52 @@
+=============================================================================
+Filelist generated by IPexpress    06/17/2011    11:36:53          
+Filename: sgmii_gbe_pcs34_filelist.log                                         
+Copyright(c) 2008 Lattice Semiconductor Corporation. All rights reserved.   
+=============================================================================
+
+-----------------------------------------------------------------------------
+Basic IP Core Files
+Output Directory: /home/greg/projects/trbnet/gbe2_ecp2m/ipcores/sgmii_gbe_pcs34 
+-----------------------------------------------------------------------------
+  sgmii_gbe_pcs34.lpc
+      : IP ispLEVER LPC File
+  sgmii_gbe_pcs34.ngo
+      : IP ispLEVER Database File
+  sgmii_gbe_pcs34_bb.v
+      : IP Verilog Blackbox Module
+  sgmii_gbe_pcs34_inst.v
+      : IP Verilog Instantiation Template
+  sgmii_gbe_pcs34_beh.v
+      : IP Verilog Behaviorial Sim Model
+  sgmii_gbe_pcs34_gen.log
+      : IP Status Log
+  sgmii_gbe_pcs34_generate.log
+      : IP Generate Log
+
+-----------------------------------------------------------------------------
+Supplemental Evaluation Files:
+-----------------------------------------------------------------------------
+---------------------------------:
+    COMMON TO ALL CONFIGURATIONS :
+---------------------------------:
+    Hardware Specific Models
+    ../sgmii_pcs_eval/models/ecp2m/pcs_serdes 
+    Testbench
+    ../sgmii_pcs_eval/testbench
+------------------------------------------------
+    SPECIFIC TO sgmii_gbe_pcs34 CONFIGURATION 
+------------------------------------------------
+    Source Files
+    ../sgmii_pcs_eval/sgmii_gbe_pcs34/src/rtl/ecp2m
+    Simulation
+    ../sgmii_pcs_eval/sgmii_gbe_pcs34/sim/modelsim
+    FPGA Implementation Files
+    ../sgmii_pcs_eval/sgmii_gbe_pcs34/impl
+
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_gen.log b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_gen.log
new file mode 100644 (file)
index 0000000..b7bc09f
--- /dev/null
@@ -0,0 +1,10 @@
+Parameters loaded ok.
+No optional files required.
+Running parameter check.
+Parameter values ok.
+IP core generation completed ok.
+Simulation model successfully created.
+IP template generation completed ok.
+Eval Directory succussfully created.
+ModelSim do file successfully created.
+Generation completed ok.
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_generate.log b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_generate.log
new file mode 100644 (file)
index 0000000..e6740a3
--- /dev/null
@@ -0,0 +1,76 @@
+Starting process: IPCFG
+
+GenerateCore within package Core_Generate 1.0.0 in file LatticeIP_generate.tcl
+Starting process: '"/opt/lattice/diamond/1.1/bin/lin/synpwrap" -rem -e sgmii_gbe_pcs34 -target lattice-ecp2m'
+
+Warning: You are running on an unsupported platform 
+End process: completed successfully.
+
+Starting process: '"/opt/lattice/diamond/1.1/bin/lin/../../ispfpga/bin/lin/edif2ngd" -ip "/home/greg/sgmii_gbepcs_v3.4/lib/../.." -ic sgmii_gbepcs_v3.4 -l LatticeECP2M-DSP -nopropwarn "syn_results/sgmii_gbe_pcs34.edi" "sgmii_gbe_pcs34.ngo"'
+edif2ngd:  version Diamond_1.1_Production (517)
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+     Copyright (c) 1995 AT&T Corp.   All rights reserved.
+     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+     Copyright (c) 2001 Agere Systems   All rights reserved.
+     Copyright (c) 2002-2010 Lattice Semiconductor Corporation,  All rights reserved.
+No device specified. Will use default.
+Writing the design to sgmii_gbe_pcs34.ngo...
+End process: completed successfully.
+
+=============================================================================
+Filelist generated by IPexpress    06/17/2011    11:36:53          
+Filename: sgmii_gbe_pcs34_filelist.log                                         
+Copyright(c) 2008 Lattice Semiconductor Corporation. All rights reserved.   
+=============================================================================
+-----------------------------------------------------------------------------
+Basic IP Core Files
+Output Directory: /home/greg/projects/trbnet/gbe2_ecp2m/ipcores/sgmii_gbe_pcs34 
+-----------------------------------------------------------------------------
+  sgmii_gbe_pcs34.lpc
+      : IP ispLEVER LPC File
+  sgmii_gbe_pcs34.ngo
+      : IP ispLEVER Database File
+  sgmii_gbe_pcs34_bb.v
+      : IP Verilog Blackbox Module
+  sgmii_gbe_pcs34_inst.v
+      : IP Verilog Instantiation Template
+  sgmii_gbe_pcs34_beh.v
+      : IP Verilog Behaviorial Sim Model
+  sgmii_gbe_pcs34_gen.log
+      : IP Status Log
+  sgmii_gbe_pcs34_generate.log
+      : IP Generate Log
+-----------------------------------------------------------------------------
+Supplemental Evaluation Files:
+-----------------------------------------------------------------------------
+
+---------------------------------:
+    COMMON TO ALL CONFIGURATIONS :
+---------------------------------:
+
+    Hardware Specific Models
+    ../sgmii_pcs_eval/models/ecp2m/pcs_serdes 
+
+    Testbench
+    ../sgmii_pcs_eval/testbench
+
+------------------------------------------------
+    SPECIFIC TO sgmii_gbe_pcs34 CONFIGURATION 
+------------------------------------------------
+
+    Source Files
+    ../sgmii_pcs_eval/sgmii_gbe_pcs34/src/rtl/ecp2m
+
+    Simulation
+    ../sgmii_pcs_eval/sgmii_gbe_pcs34/sim/modelsim
+
+    FPGA Implementation Files
+    ../sgmii_pcs_eval/sgmii_gbe_pcs34/impl
+
+Total Warnings:  1
+
+
+Total Errors:  0
+
+
+
diff --git a/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_inst.v b/gbe2_ecp3/ipcores_ecp3/sgmii_gbe_pcs34/sgmii_gbe_pcs34_inst.v
new file mode 100644 (file)
index 0000000..7cc495e
--- /dev/null
@@ -0,0 +1,79 @@
+//=============================================================================
+// Verilog module generated by IPExpress    06/17/2011    11:36:53          
+// Filename: sgmii_gbe_pcs34_inst.v                                            
+// Copyright(c) 2008 Lattice Semiconductor Corporation. All rights reserved.   
+//=============================================================================
+
+/* WARNING - Changes to this file should be performed by re-running IPexpress
+or modifying the .LPC file and regenerating the core.  Other changes may lead
+to inconsistent simulation and/or implemenation results */
+
+//---------------------------------------------------------------
+// sgmii_gbe_pcs34 module instantiation template              
+//---------------------------------------------------------------
+
+                        \r
+\r
+sgmii_gbe_pcs34  sgmii_gbe_pcs34_U (\r
+\r
+   // Control Interface\r
+   .rst_n                  ( rst_n ) ,\r
+   .signal_detect          ( signal_detect ) ,\r
+   .gbe_mode               ( gbe_mode ) ,\r
+   .sgmii_mode             ( sgmii_mode ) ,\r
+   .operational_rate       ( operational_rate ) ,\r
+   .debug_link_timer_short ( debug_link_timer_short ) ,\r
+   .force_isolate          ( force_isolate ) ,\r
+   .force_loopback         ( force_loopback ) ,\r
+   .force_unidir           ( force_unidir ) ,\r
+\r
+   .rx_compensation_err    ( rx_compensation_err ) ,\r
+   .ctc_drop_flag          ( ctc_drop_flag ) ,\r
+   .ctc_add_flag           ( ctc_add_flag ) ,\r
+   .an_link_ok             ( an_link_ok ) ,\r
+\r
+   // (G)MII Interface\r
+   .tx_clock_enable_sink   ( tx_clock_enable_sink ),\r
+   .tx_clock_enable_source ( tx_clock_enable_source ),\r
+   .tx_clk_125             ( tx_clk_125 ) ,\r
+   .tx_d                   ( tx_d ) ,\r
+   .tx_en                  ( tx_en ) ,\r
+   .tx_er                  ( tx_er ) ,\r
+\r
+   .rx_clock_enable_sink   ( rx_clock_enable_sink ),\r
+   .rx_clock_enable_source ( rx_clock_enable_source ),\r
+   .rx_clk_125             ( rx_clk_125 ) ,\r
+   .rx_d                   ( rx_d ) ,\r
+   .rx_dv                  ( rx_dv ) ,\r
+   .rx_er                  ( rx_er ) ,\r
+   .col                    ( col ) ,\r
+   .crs                    ( crs ) ,\r
+                  \r
+   // 8BI Interface\r
+   .tx_data           ( tx_data ) ,\r
+   .tx_kcntl          ( tx_kcntl ) ,\r
+   .tx_disparity_cntl ( tx_disparity_cntl ) ,\r
+   .xmit_autoneg      ( xmit_autoneg ) ,\r
+\r
+   .serdes_recovered_clk ( serdes_recovered_clk ) ,\r
+   .rx_data              ( rx_data ) ,\r
+   .rx_kcntl             ( rx_kcntl) ,\r
+   .rx_even              ( rx_even ) ,\r
+   .rx_disp_err          ( rx_disp_err ) ,\r
+   .rx_cv_err            ( rx_cv_err ) ,\r
+   .rx_err_decode_mode   ( rx_err_decode_mode ) ,\r
+\r
+   // Management Interface\r
+   .mr_adv_ability ( mr_adv_ability ),\r
+   .mr_an_enable   ( mr_an_enable ), \r
+   .mr_main_reset  ( mr_main_reset ),  \r
+   .mr_restart_an  ( mr_restart_an ),   \r
+\r
+   .mr_an_complete    ( mr_an_complete ),   \r
+   .mr_lp_adv_ability ( mr_lp_adv_ability ), \r
+   .mr_page_rx        ( mr_page_rx )\r
+   );\r
+        \r
+);\r
+\r