signal adc_clk : std_logic_vector(DEVICES downto 1) := (others => '1');
signal adc_clk_left, adc_clk_right : std_logic := '1';
+
+ signal adc_clk_tdc_out_i : std_logic_vector(DEVICES-1 downto 0);
-- 000 - 0ff configuration
-- 000 reset, buffer clear strobes
DEBUG_BUFFER_READY => buffer_ready(i),
READOUT_RX => READOUT_RX,
READOUT_TX => READOUT_TX(i),
- ADC_CLK_TDC_OUT => ADC_CLK_TDC_OUT
+ ADC_CLK_TDC_OUT => adc_clk_tdc_out_i(i)
);
end generate;
+
+ ADC_CLK_TDC_OUT <= adc_clk_tdc_out_i(5); -- select 5 as it's closest to the TDC placement
config_cfd.BaselineAlwaysOn <= buffer_ctrl_reg(4);
busy_in_adc <= busy_in_sys when rising_edge(CLK_ADC);
busy_out_sys <= busy_out_adc when rising_edge(CLK_SYS);
- ADC_CLK_TDC_OUT <= debug_adc(5).EpochCounter(10);
+ ADC_CLK_TDC_OUT <= debug_adc(0).EpochCounter(10);
gen_cfd : for i in 0 to CHANNELS - 1 generate
trigger_gen(i) <= debug_sys(i).Trigger;