set_property LOC GTHE3_CHANNEL_X1Y36 [get_cells -hierarchical -filter {NAME =~ generate_downlinks[8].THE_DOWNLINK/*/gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST}]
set_property LOC GTHE3_CHANNEL_X1Y29 [get_cells -hierarchical -filter {NAME =~ THE_UPLINK/*/gthe3_channel_gen.gen_gthe3_channel_inst[0].GTHE3_CHANNEL_PRIM_INST}]
+
+set_false_path -from [get_pins THE_UPLINK/THE_MED_CONTROL/THE_RX_CONTROL/RX_DLM_reg/C] -to [get_pins THE_UPLINK/THE_MED_CONTROL/led_dlm_reg/D]
+set_false_path -to [get_pins {THE_RESET_HANDLER/async_sampler_reg[0]/D}]
+set_false_path -to [get_pins {generate_downlinks[*].THE_DOWNLINK/THE_MED_CONTROL/THE_?X_FSM/rst_n_refclk_*reg/CLR}]
+set_false_path -to [get_pins THE_UPLINK/THE_MED_CONTROL/THE_?X_FSM/rst_n_refclk_*reg/CLR]
+set_false_path -to [get_pins THE_UPLINK/THE_MED_CONTROL/reset_txi_*reg/PRE]
--- /dev/null
+set_property ASYNC_REG true [get_cells toggle_ff*]
+set_property ASYNC_REG true [get_cells sync_q*]
+set_false_path -from [get_cells toggle_ff*] -to [get_cells sync_q*]
\ No newline at end of file
--- /dev/null
+set_property ASYNC_REG true [get_cells sync_q*]
+set_false_path -to [get_cells *gen_flipflops[2].sync_q_reg*]
\ No newline at end of file
--- /dev/null
+set_property ASYNC_REG true [get_cells async_sampler*]
\ No newline at end of file
<Attr Name="ScopedToRef" Val="trb_parser"/>
</FileInfo>
</File>
+ <File Path="$PPRDIR/constrs/pulse_sync.xdc">
+ <FileInfo>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="implementation"/>
+ <Attr Name="ScopedToRef" Val="pulse_sync"/>
+ </FileInfo>
+ </File>
+ <File Path="$PPRDIR/constrs/signal_sync.xdc">
+ <FileInfo>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="implementation"/>
+ <Attr Name="ScopedToRef" Val="signal_sync"/>
+ </FileInfo>
+ </File>
+ <File Path="$PPRDIR/constrs/trb_net_reset_handler.xdc">
+ <FileInfo>
+ <Attr Name="UsedIn" Val="synthesis"/>
+ <Attr Name="UsedIn" Val="implementation"/>
+ <Attr Name="ScopedToRef" Val="trb_net_reset_handler"/>
+ </FileInfo>
+ </File>
<Config>
<Option Name="ConstrsType" Val="XDC"/>
</Config>