signal mc_unique_id : std_logic_vector(63 downto 0);
signal reset_via_gbe : std_logic := '0';
- signal med_dataready_out : std_logic_vector (11-1 downto 0);
- signal med_data_out : std_logic_vector (11*c_DATA_WIDTH-1 downto 0);
- signal med_packet_num_out : std_logic_vector (11*c_NUM_WIDTH-1 downto 0);
- signal med_read_in : std_logic_vector (11-1 downto 0);
- signal med_dataready_in : std_logic_vector (11-1 downto 0);
- signal med_data_in : std_logic_vector (11*c_DATA_WIDTH-1 downto 0);
- signal med_packet_num_in : std_logic_vector (11*c_NUM_WIDTH-1 downto 0);
- signal med_read_out : std_logic_vector (11-1 downto 0);
- signal med_stat_op : std_logic_vector (11*16-1 downto 0);
- signal med_ctrl_op : std_logic_vector (11*16-1 downto 0);
+ signal med_dataready_out : std_logic_vector (INTERFACE_NUM-1 downto 0);
+ signal med_data_out : std_logic_vector (INTERFACE_NUM*c_DATA_WIDTH-1 downto 0);
+ signal med_packet_num_out : std_logic_vector (INTERFACE_NUM*c_NUM_WIDTH-1 downto 0);
+ signal med_read_in : std_logic_vector (INTERFACE_NUM-1 downto 0);
+ signal med_dataready_in : std_logic_vector (INTERFACE_NUM-1 downto 0);
+ signal med_data_in : std_logic_vector (INTERFACE_NUM*c_DATA_WIDTH-1 downto 0);
+ signal med_packet_num_in : std_logic_vector (INTERFACE_NUM*c_NUM_WIDTH-1 downto 0);
+ signal med_read_out : std_logic_vector (INTERFACE_NUM-1 downto 0);
+ signal med_stat_op : std_logic_vector (INTERFACE_NUM*16-1 downto 0);
+ signal med_ctrl_op : std_logic_vector (INTERFACE_NUM*16-1 downto 0);
signal rdack, wrack : std_logic;
signal trig_gen_out_i : std_logic_vector(3 downto 0);
gen_hub_no_gbe : if INCLUDE_GBE = c_NO generate
- THE_HUB : entity work.trb_net16_cri_hub_base
+ THE_HUB : entity work.trb_net16_cri_hub --_base
generic map(
- HUB_USED_CHANNELS => (1,1,0,1),
+ HUB_USED_CHANNELS => (0,1,0,1),
INIT_ADDRESS => INIT_ADDRESS,
MII_NUMBER => INTERFACE_NUM,
MII_IS_UPLINK => IS_UPLINK,
ONEWIRE_WRITE => ONEWIRE_WRITE,
COMMON_STAT_REGS => (others => '0'),--open,--common_stat_reg,
- COMMON_CTRL_REGS => open,--common_ctrl_reg,
+ COMMON_CTRL_REGS => common_ctrl_reg,
MY_ADDRESS_OUT => my_address,
TEMPERATURE_IN => TEMP_OUT,