#USE PRIMARY NET "CLK_GPLL_RIGHT_c";
#USE PRIMARY NET "CLK_PCLK_LEFT_c";
-USE PRIMARY NET "CLK_CORE_PCLK";
-USE PRIMARY NET "CLK_EXT_PCLK";
\ No newline at end of file
+USE PRIMARY NET "CLK_CORE_PCLK_c";
+USE PRIMARY NET "CLK_EXT_PCLK";
+
+# USE PRIMARY2EDGE NET gen_reallogic.THE_ADC/THE_ADC_RIGHT/clk_adcfast_i ;
+# USE PRIMARY2EDGE NET gen_reallogic.THE_ADC/THE_ADC_LEFT/clk_adcfast_i;
\ No newline at end of file
signal readout_rx : READOUT_RX;
signal readout_tx : readout_tx_array_t(0 to 11);
- signal ctrlbus_rx, bussci_rx, bustools_rx, bustc_rx, busadc_rx, bus_master_out, handlerbus_rx : CTRLBUS_RX;
+ signal ctrlbus_rx, bussci_rx, bustools_rx, bustc_rx, busadc_rx, bus_master_out : CTRLBUS_RX;
signal ctrlbus_tx, bussci_tx, bustools_tx, bustc_tx, busadc_tx, bus_master_in : CTRLBUS_TX;
signal common_stat_reg : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
TX_DLM_WORD => open,
--SFP Connection
- SD_REFCLK_P_IN => '0',
- SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => sfp_prsnt_i,
SD_LOS_IN => sfp_los_i,
SD_TXDIS_OUT => sfp_txdis_i,
REGIO_COMMON_CTRL_REG_OUT => common_ctrl_reg, --0x20
BUS_RX => ctrlbus_rx,
BUS_TX => ctrlbus_tx,
-
+ BUS_MASTER_IN => bus_master_in,
+ BUS_MASTER_OUT => bus_master_out,
+ BUS_MASTER_ACTIVE => bus_master_active,
ONEWIRE_INOUT => TEMPSENS,
--Timing registers
TIMERS_OUT => timer
-- Bus Handler
---------------------------------------------------------------------------
- handlerbus_rx <= ctrlbus_rx when bus_master_active = '0' else bus_master_out;
-
THE_BUS_HANDLER : entity work.trb_net16_regio_bus_handler_record
generic map(
PORT_NUMBER => 4,
CLK => clk_sys,
RESET => reset_i,
- REGIO_RX => handlerbus_rx,
+ REGIO_RX => ctrlbus_rx,
REGIO_TX => ctrlbus_tx,
BUS_RX(0) => bustools_rx, --Flash, SPI, UART, ADC, SED
BUS_RX => bustools_rx,
BUS_TX => bustools_tx,
--Control master for default settings
- BUS_MASTER_IN => ctrlbus_tx,
+ BUS_MASTER_IN => bus_master_in,
BUS_MASTER_OUT => bus_master_out,
BUS_MASTER_ACTIVE => bus_master_active,
DEBUG_OUT => open