*.log
workdir
*.kate-swp
+*.kate*
DBG_FC2_IN : in std_logic_vector(31 downto 0);
DBG_FT1_IN : in std_logic_vector(31 downto 0);
DBG_FT2_IN : in std_logic_vector(31 downto 0);
- DBG_FR_IN : in std_logic_vector(95 downto 0);
+ DBG_FR_IN : in std_logic_vector(63 downto 0);
DBG_RC_IN : in std_logic_vector(63 downto 0);
DBG_MC_IN : in std_logic_vector(63 downto 0);
DBG_TC_IN : in std_logic_vector(31 downto 0);
end generate;
- hub_make_network_reset <= external_send_reset_long or med_stat_op((MII_NUMBER-1)*16+13);
+ hub_make_network_reset <= external_send_reset_long or med_stat_op((MII_NUMBER-1)*16+15);
make_gbe_reset : process begin
wait until rising_edge(CLK);
FEE_DATA_ALMOST_FULL_OUT : out std_logic_vector(DATA_INTERFACE_NUMBER-1 downto 0);\r
\r
TMG_TRG_ERROR_IN : in std_logic;\r
+ MAX_EVENT_SIZE_IN : in std_logic_vector(15 downto 0);\r
--Status Registers\r
STATUS_OUT : out std_logic_vector(127 downto 0);\r
STAT_DATA_BUFFER_LEVEL : out std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);\r