--BUS Handler
proc_reg : process
+ variable tmp_chnl_addr : std_logic_vector(6 downto 0);
begin
wait until rising_edge(CLK);
BUS_TX.ack <= '0';
Monitor_RAM_RE <= '1';
elsif (BUS_RX.addr(11 downto 4) > x"03") and (BUS_RX.addr(11 downto 4) < x"20") then
BUS_TX.ack <= '0';
- Monitor_RAM_AddChnl <= std_logic_vector(unsigned("00" & BUS_RX.addr(4 downto 0))+1);
+ tmp_chnl_addr := "00" & BUS_RX.addr(4 downto 0);
+ Monitor_RAM_AddChnl <= std_logic_vector(unsigned(tmp_chnl_addr)+1);
Monitor_RAM_AddFPGA <= std_logic_vector(unsigned(BUS_RX.addr(8 downto 5)) - 2);
Monitor_RAM_RE <= '1';
else