--data output for read-out
TRIGGER_IN : in std_logic;
+ TRIGGER_TYPE : in std_logic_vector( 3 downto 0) := x"1";
-- Data connection to Streamer
DATA_OUT : out std_logic_vector(31 downto 0);
case rdostate is
when RDO_IDLE =>
if TRIGGER_IN = '1' and config_rdo_disable_i = '0' then
- if dlm_ready = '0' then
- rdostate <= RDO_WAIT;
- else
+ if TRIGGER_TYPE = x"D" then -- calibration trigger. Trigger is not initialised by DLM
rdostate <= RDO_WRITE;
+ else
+ if dlm_ready = '0' then
+ rdostate <= RDO_WAIT;
+ else
+ rdostate <= RDO_WRITE;
+ end if;
end if;
end if;