APL_RUN_OUT : out std_logic;
APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0);
APL_SEQNR_OUT : out std_logic_vector (7 downto 0);
-
+ APL_LENGTH_IN : in std_logic_vector (15 downto 0);
-- Internal direction port
-- the ports with master or slave in their name are to be mapped by the active api
-- to the init respectivly the reply path and vice versa in the passive api.
APL_RUN_OUT => APL_RUN_OUT,
APL_MY_ADDRESS_IN => APL_MY_ADDRESS_IN,
APL_SEQNR_OUT => APL_SEQNR_OUT,
+ APL_LENGTH_IN => APL_LENGTH_IN,
-- Internal direction port
INT_MASTER_DATAREADY_OUT => apl_to_buf_INIT_DATAREADY,
INT_MASTER_DATA_OUT => apl_to_buf_INIT_DATA,
APL_RUN_OUT => APL_RUN_OUT,
APL_MY_ADDRESS_IN => APL_MY_ADDRESS_IN,
APL_SEQNR_OUT => APL_SEQNR_OUT,
+ APL_LENGTH_IN => APL_LENGTH_IN,
-- Internal direction port
INT_MASTER_DATAREADY_OUT => apl_to_buf_REPLY_DATAREADY,
INT_MASTER_DATA_OUT => apl_to_buf_REPLY_DATA,
APL_RUN_OUT : out std_logic;
APL_MY_ADDRESS_IN : in std_logic_vector (15 downto 0);
APL_SEQNR_OUT : out std_logic_vector (7 downto 0);
+ APL_LENGTH_IN : in std_logic_vector (15 downto 0);
-- Internal direction port
-- the ports with master or slave in their name are to be mapped by the active api
-- to the init respectivly the reply path and vice versa in the passive api.
APL_RUN_OUT => HC_RUN_OUT,
APL_MY_ADDRESS_IN => HUB_ADDRESS,
APL_SEQNR_OUT => HC_SEQNR_OUT(7 downto 0),
+ APL_LENGTH_IN => (others => '1'),
-- Internal direction port
INT_MASTER_DATAREADY_OUT => buf_to_hub_REPLY_DATAREADY(i),
INT_MASTER_DATA_OUT => buf_to_hub_REPLY_DATA((i+1)*c_DATA_WIDTH-1 downto i*c_DATA_WIDTH),
APL_RUN_OUT => APL_RUN_OUT(aploffset),
APL_MY_ADDRESS_IN => APL_MY_ADDRESS_IN((aploffset+1)*16-1 downto aploffset*16),
APL_SEQNR_OUT => APL_SEQNR_OUT((aploffset+1)*8-1 downto aploffset*8),
+ APL_LENGTH_IN => APL_LENGTH_IN((aploffset+1)*16-1 downto aploffset*16),
-- Internal direction port
INT_MASTER_DATAREADY_OUT => buf_to_hub_REPLY_DATAREADY(i),
INT_MASTER_DATA_OUT => buf_to_hub_REPLY_DATA((i+1)*c_DATA_WIDTH-1 downto i*c_DATA_WIDTH),
APL_RUN_OUT => APL_RUN_OUT(aploffset),
APL_MY_ADDRESS_IN => APL_MY_ADDRESS_IN((aploffset+1)*16-1 downto aploffset*16),
APL_SEQNR_OUT => APL_SEQNR_OUT((aploffset+1)*8-1 downto aploffset*8),
+ APL_LENGTH_IN => APL_LENGTH_IN((aploffset+1)*16-1 downto aploffset*16),
-- Internal direction port
INT_MASTER_DATAREADY_OUT => buf_to_hub_INIT_DATAREADY(i),
INT_MASTER_DATA_OUT => buf_to_hub_INIT_DATA((i+1)*c_DATA_WIDTH-1 downto i*c_DATA_WIDTH),