]> jspc29.x-matter.uni-frankfurt.de Git - trbv2.git/commitdiff
trbv2
authorpalka <palka>
Mon, 7 Jan 2008 11:48:08 +0000 (11:48 +0000)
committerpalka <palka>
Mon, 7 Jan 2008 11:48:08 +0000 (11:48 +0000)
21 files changed:
bit_fifo.ngc [new file with mode: 0644]
bit_fifo.vhd [new file with mode: 0644]
compile2b.pl [new file with mode: 0755]
ctu.vhd [new file with mode: 0644]
dsp_interface.vhd
dtu_interface.vhd
etrax_interface.vhd
impact_batch_b.txt [new file with mode: 0644]
lvl1_buffer.ngc [new file with mode: 0644]
lvl1_buffer.vhd [new file with mode: 0644]
lvl1_fifo.ngc [new file with mode: 0644]
lvl1_fifo.vhd [new file with mode: 0644]
ram16x1D_virtex.ngc [new file with mode: 0644]
ram16x1D_virtex.vhd [new file with mode: 0644]
sdram_interface.vhd [new file with mode: 0644]
tdc_interface.vhd
trb_v2b_fpga.stapl [new file with mode: 0644]
trb_v2b_fpga.ucf [new file with mode: 0644]
trb_v2b_fpga.vhd [new file with mode: 0644]
trb_v2b_fpga_syn.prj [new file with mode: 0644]
trb_v2b_fpga_tb.vhd [new file with mode: 0644]

diff --git a/bit_fifo.ngc b/bit_fifo.ngc
new file mode 100644 (file)
index 0000000..4b7683e
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$2g;\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:23@52<882;86>LA73856=6&9;?7<;5IORVP?GCL[K7=94?>06850<NFY__6LJKRC>20?69991:6D@_UU8GMK:7294:<6?5IORVP?bnf5:1<3?=;08LQQVR\3NDYY2?:1<26>7=G\^[YY4kotv?4?699m1:ndziigm,phv(Wjm$ndzPfhdl*kah928?7?>?000877<NFY__6IGN<2394;753:81EC^ZT;FJF956294:?6==:NWWTPR=LFK7?<4?>01877<H]]Z^X7J@B=12>58692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0i;5to|16an8;?4 ?=1:41?3E33?IHO959FG42a>1=AGZ^X7^]OF]FIUR^W]BYI^F36;2=60=02@D[YY4_RNE\AHVSQV^C^H]G_@QPAWR;>3:5>858:HLSQQ<WZFMTI@^[Y^VKV@UOWGOLMYK36;2=5a=02@D[YY4_RNE\BPJKW]BYI^F36;2=61=02@D[YY4_RNE\BPJKW]BYI^FPARQFVQ:129498695IORVP?VUGNUMYABPTIPFWMYIMNK_I1850?3f?2<NFY__6}|`g^gntq\7fX|axn\7fe29:1<11>1=AGZ^X7~}of]fiur~W}byi~fParqfvq:129499695IORVP?vugnUna}zv_ujqavnXflmjxh29:1<2`>1=AGZ^X7~}of]eqijX|axn\7fe29:1<10>1=AGZ^X7~}of]eqijX|axn\7feQnsrgqp90=878?7:4FNQWW>uthoVl~`aQ{hsgplZhboh~n0;4?>0:83?IR\Y__6IO[A^ALQKR;>3:5=o58:NWWTPR=LLH^KR\K_QLWV90=87;i7:4@UURVP?BBJ\MT[_Q_NUP?2?699k1<6B[[PTV9VAYCI]KTOB[AT=494;7e3>0DYY^ZT;UQ[AGSIVIDYCZ36;2=5==02F__\XZ5d`vb[firf}6=6=0=3:59KPRW]]0oio{h_sf\tkruWyf~\7f<Q}<783:4b<?3E^X][[:egaqbYulVzex\7fQ}<783:4b<?3E^X][[:egaqbYulVzex\7fQx<783:75<?3E^X][[:egaqbYpzVzex\7fQ\7fltq2[r:12949?695OTVSQQ<cmk\7flSz|Ppovq[ujr{;U|0;4?>0f83?IR\Y__6ikmuf]tvZvi|{Uy0;4?>0f83?IR\Y__6ikmuf]tvZvi|{U|0;4?>0`83?IR\Y__6\7fjPd`vb[firf}6=6=0>b:59KPRW]]0|~Rjnt`]`kphs4?0;2?58F594BC@631>04=>?4::7642<19:28679A@68=F5102KOH_O30?;8EABUI5;;245NDEPB847912KOH_O313<:?DBCZH6:?3l4AEFQE97329427LJKR@>20;><IMNYM1?18:CG@WG;:720MIJ]A=1=<>GCL[K78364AEFQE93902KOH_O36?:8EABUI5=546OKDSC?<;><IMNYM1718:CG@WD;8730MIJ]B=33:<=FLMXI0<?19:CG@WD;9;427LJKRC>27;d<IMNYN1?;:1<:?DBCZK6:8364AEFQF97902KOH_L32?:8EABUJ59546OKDS@?0;><IMNYN1;18:CG@WD;>720MIJ]B=5=<>GCL[H74364AEFQF9?9k2KGAB][_DOSP\d<IEGD_YQIUMN:?DU^FJUYIJ84B@AHQUb<JHI@Y]QBASIFV6=E];20NX]PIODL5>E33J:?>95L3531?F253J<?7N8LC59@=6?f3JKXOLJJIOCb?FGTKHNNECLm;BCPGDBBG\^Jn6MNSBCGAJSSJ;1HI>5LLJ68GIMF<2IGGO=4CMP:?FIJE@^_II94CSGBP@B63Mn0HLZN_BMVJQ:76m1OMYOPCNWMP979l2NJXLQLOTLW878c3MK_MRM@UOV?7;b<LH^JSNAZNU>7:a=CI]KTOB[AT=7=b>BF\HUHCX@[<783:a=CI]KTOB[AT=4=b>BBJ\MT^LCPELRW]`=CMK_LS_OB_GWOHc=CMK_LS_OB_SF\AK`<LLH^KR\NM^UQ[@H682NNNXIPRE]SJQT;87;;7IKMUF]Q@ZVI\[6:2<>4DD@VCZTCWYD_^1<1119GAGS@W[NT\CZ]<2<24>BBJ\MT^IQ_NUP?0;773MOIYJQ]D^RMPW:26880HHLZG^PG[UHSZ5<1<3??;EGAQBYULVZEX_29>028@@DROV]YS]@[R=2=55=CMK_LSZ\PPOVQ848682NNNXIPWS]SJQT;:7;;7IKMUF]TVZVI\[682<>4DD@VCZQUWYD_^1:1119GAGS@W^XT\CZ]<4<26>BBJ\MT[_Q_NUP?2?69991OIO[H_VP\TKRU4?4?7IHID79GMD:76>1OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;1<L@K7=808;EKB8409?2NBM1?8>69GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1<L@K7>>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?<L@K7?<4?>69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0<L@H7>394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<;?AOI490;2;5KIO>3:2=CAYK7<394DHRB84803MC[M1<19:FJTD:4294<7IG_A=1=3>BNXK6;2:5KIQ@?5;1<L@ZI0?06;EKSF95=87=0HD^M<2<5?AIF494<7IAN<02=3>BHI5;:2:5KO@>26;1<LFK7=>08;EMB8429?2NDM1?:>69GKD:6>7=0HBO316<4?AIF4825;6J@A=3::3=CGH6:2:5KO@>14;1<LFK7><08;EMB8749?2NDM1<<>69GKD:5<7=0HBO324<4?AIF4;<5;6J@A=04:2=CGH694394DNC?6<813MEJ0?08;EMB866912NDM1=>:1<4?AIF4:;5:6J@A=1=2>BHI5>5:6J@A=7=2>BHI5<5:6J@A=5=2>BHI525:6J@A=;=3>BHIVXNK;5KOC>3:2=CGK6:<394DN@?54803MEI0<<17:FLF9746>1OCO2>4?58@JD;9<4<7IAM<04=3>BHJ5;<2:5KOC>2<;1<LFH7=409;EMA84803MEI0?>17:FLF9466>1OCO2=2?58@JD;::4<7IAM<36=3>BHJ58>2:5KOC>12;1<LFH7>:08;EMA87>9?2NDN1<6>79GKG:56>1OCO2<0?;8@JD;;80;2:5KOC>05;0<LFH7?384DN@?0;0<LFH79384DN@?2;0<LFH7;384DN@?<;0<LFH75394DN@\V@A03ME[M1>17:FLTD:66>1OC]O32?;8@JVF4:0;2:5KOQC?7;1<LFZI0=08;EMSF979?2ND\O2=>89GKUD;;3:5;6J@PC>0:<=CG\^7<7>17:FLQQ:76=1NMN>;;DAB40=BEY^R?6KAA29FJG2<N93=>6H=5:DBHVC33ON9<>5IDB68BAEB;2LO\95IDQG7?C@0L=1MJKK;;GWOH0=Aieyn=6I<;FLG5>O53@:97D?=;H01?L5?3@DBX]Q?099JJLRWW9;37D@FTQ]36==NF@^[S==7;HLJPUY7<11BBDZ__17;?LHN\YU;:55FNHVS[51>3@DBX^ZNTD58MKOSW9:<7D@FT^223>OIA]U;>:5FNHV\461<AGC_S=:8;HLJPZ62?2CEEYQ?669JJLRX8>=0ECG[_1:4?LHN\V:2;6GAIU]3E2=NF@^T<O94IOKW[5E03@DBXR>K7:KMMQY7M>1BBDZP0G58MKOSW8:<7D@FT^323>OIA]U:>:5FNHV\561<AGC_S<:8;HLJPZ72?2CEEYQ>669JJLRX9>=0ECG[_0:4?LHN\V;2;6GAIU]2E2=NF@^T=O94IOKW[4E03@DBXR?K7:KMMQY6M>1BBDZP1G58MKOSW;:<7D@FT^023>OIA]U9>:5FNHV\661<AGC_S?:8;HLJPZ42?2CEEYQ=669JJLRX:>=0ECG[_3:4?LHN\V82;6GAIU]1E2=NF@^T>O94IOKW[7E03@DBXR<K7:KMMQY5M>1BBDZP2G58MKOSW::<7D@FT^123>OIA]U8>:5FNHV\761<AGC_S>:8;HLJPZ52?2CEEYQ<669JJLRX;>=0ECG[_2:4?LHN\V92;6GAIU]0E2=NF@^T?O94IOKW[6E03@DBXR=K7:KMMQY4M>1BBDZP3G48MKOSWH<0ECG[_C18MKP6:2CEZH\[_BNH[AIFW[OL=?5FNWGQPZEKCVNDNR\JG29JKG4<DF<0@BOKEE58HJANKHF?7A[[279OQQ4XD=1GYY=;;MWW03=K]]>TH;5CUU6\Hf=JiceyZh||inl`?Hoig{\n~~g`n39M57=I::1E84<4N468J06?;2D>=95A5047?K36?=1E9<6;;O72=1=I=;;?7C;=359M17233G?9495A5227?K34:=1E9>:;;O7021=I=:2?7C;<929M112<F<>:86@:4318J0>43G?2?6@9029M245<F?887C8<3:L506=I><90B;8<;O44b>HEWK_X\D@PPSMSW2=IM]]D^F:4NNLF5>I53FA37BXJRGNLS4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXNm6^]OF]FIUR^9=1[^BIPELRW]ZROZLYC0=0>4:RQKBYBEY^RSYF]ERJ?5;733YXDKRKBPU[\PMTB[A692<:4PSMD[@KW\PU_D_K\H=1=51=WZFMTI@^[Y^VKV@UO4=4:86^]OF]FIUR^W]BYI^F35?35?UTHOVOF\YWPTIPFWM:1294:86^]OF]FIUR^W]BYI^F36?3a?UTHOVOF\YWPTIPFWMYF[ZOYX1>11c9SVJAXMDZ_URZGRDQK[DUTM[^7=3?m;QPLCZCJX]STXE\JSI]BWVCU\585=o5_RNE\AHVSQV^C^H]G_@QPAWR;;7;i7]\@G^GNTQ_X\AXN_EQNSRGQP9299k1[^BIPELRW]ZROZLYCSL]\ESV?1;7c3YXDKRKBPU[\PMTB[AUJ_^K]T=494;7e3YXDKRKBPU[\PMTB[AUJ_^K]T=4=5g=WZFMTI@^[Y^VKV@UOWGOLMYK30?3a?UTHOVOF\YWPTIPFWMYIMNK_I1?11c9SVJAXMDZ_URZGRDQK[KC@I]O7>3?m;QPLCZCJX]STXE\JSI]MABGSM595=o5_RNE\AHVSQV^C^H]G_OGDEQC;<7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9399m1[^BIPELRW]ZROZLYCSCKHAUG?2?699k1[^BIPELRW]ZROZLYCSCKHAUG?2;?<X[ELSK[CL018TWI@WO_G@RZGRDQK8586;2ZYCJQIUMN\PMTB[A6:2<=4PSMD[CSKDV^C^H]G<3<27>VUGNUMYABPTIPFWM:46890\_AH_GWOHZROZLYC090>3:RQKBYA]EFTXE\JSI>6:43<X[ELSK[CL^VKV@UO4?0;2<=4PSMD[CSKDV^C^H]G<7<2e>VUGNUMYABPTIPFWMYF[ZOYX1>11`9SVJAXN\FGSYF]ERJ\EVUBZ]6:2<o4PSMD[CSKDV^C^H]G_@QPAWR;:7;j7]\@G^DVHIYS@[OXDRO\SDPW8686i2ZYCJQIUMN\PMTB[AUJ_^K]T=6=5d=WZFMTJXBC_UJQAVNXIZYN^Y2:>0a8TWI@WO_G@RZGRDQK[DUTM[^7:7>11`9SVJAXN\FGSYF]ERJ\EVUBZ]6=2<o4PSMD[CSKDV^C^H]G_OGDEQC;87;j7]\@G^DVHIYS@[OXDR@JG@VF8486i2ZYCJQIUMN\PMTB[AUEIJO[E=0=5d=WZFMTJXBC_UJQAVNXFLMJXH2<>0c8TWI@WO_G@RZGRDQK[KC@I]O783?n;QPLCZ@RDEU_D_K\H^LFCDRB4<4:o6^]OF]EQIJX\AXN_EQAEFCWA90=87;j7]\@G^DVHIYS@[OXDR@JG@VF83863X<0^LCM17d8VDKXMQ^NB^G@N^Ce?WGJWLR_IC]FOO]A2>TCWJF@==5]D^FBPDYDG\D_0=0>0:PG[AGSIVIDYCZ31?33?WBXLH^JSNAZNU>1:46<ZMUOMYOPCNWMP959991YHRJNT@]@KPHS4=4:<6\K_ECWEZEH]G^793?=;SF\@DRFWJE^BY29:1<24>TCWMK_MRM@UOV?2;3<ZMUNB;5]D^PPPf=UMHNT[DJ[H^C`?WCFLV]BHYFPB79QABEBI?1YIJMJB29QWQ><[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH:4SSQW3>UU^HFTM:5\RWCO[G2<[ZXJ86]\RC68P\VBk2_;#jka_rq-wv?<]GNN^KB@W`9VW@TXIECJ_n5ZSDP\RLUNJEO>7[OCIE18RFE>3_CN[RZVPD48S@G;87<0[HO31?48S@G;:720[HO33;2=2>QBI595:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;d<_[C_IRHFRRV`?RTN\LUFCIKPAb9TVLRBWDEOIRLk;VPJP@YPAM^CSLj4WSKWAZQNL]BTN;5XR^C@O3=PZVIGG<>4WS]GEQGXKF_EX1>1119TVZBF\HUHCX@[<0<24>QUWMK_MRM@UOV?6;773^XTHLZN_BMVJQ:468:0[_QKAUC\GJSI\5>5==5XR^FBPDYDG\D_080>2:UQ[AGSIVIDYCZ36;2=55=PZVNJXLQLOTLW83823^XTIC84WS]QWQ7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0<PZ^GEO94XRV\CKB03QY_S[MLb:Z\GJTBW@DMC<>4X^ALV@YNFOET@D][6:ZgfZOcn2RodR^}ilTfvvohf8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`l;`nnkvrXmdz\7fuo5nllmppZ`rde<0nlmduqf8fdel}yUfm\7fejr99amqYaaoe9>6lft^djbjYaaoeTkh`jr`vlvZp4W:Us\7fyQ>3:aoo36<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs>;1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:>;<4covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{1441?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp4>192iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}9==6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by891:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu;5b>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6;2<?PIOT\43?<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<0>17;8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4;4:=;74covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq86869?k0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<2<2640>3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1:1104:?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5?5=<87;blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9099?i0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<7<2[VQ6=>1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!A007a?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ?5c9`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<;m;blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U99o5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:?i7n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3=k1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[03e3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]523=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex;64covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq75>11hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at075<>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f=588;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr4>>1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at744?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~2;85lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5:5=<QFNW]32c=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=3=540a3jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?6;76>o1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv95998=;7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7;9=;h4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6?2<?9f:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey080>17g8gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f29>051?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|36?3\WR72l2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,J57192iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V:==6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?91:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^055>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ5192iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V>==6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR;91:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^454>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq053jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|88=>6matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by?:639`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov2<37<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs;?;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f:;?4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{97d8gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu494:=RGAV^25=>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:2<?99:ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:568;=56matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:471i2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2<>0022<=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx783?>689`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7;::55lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?2;71k2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f29>0]PS4303jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#C>>5c9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=;m;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:9o5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW;?i7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY4=k1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[13e3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]61g=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_745?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~=46matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw570?3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz>57:8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf};3::5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp6003jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz9669`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|0=>7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;87;:SD@Y_14e?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|31?322c=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=0=540a3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?7;76?91hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9599;;=j6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4:=;h4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6>2<?9e:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0;0>739`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1811^QT50b<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.L3537<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX8?;0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP2738gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\737<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX<?;0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T9;?4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP6e9geqgXkf\7fex%>&d:fbpdYdg|d\7f$<'k;ecweZeh}g~#>$j4d`vb[firf}"8%i5kauc\gjsi|!>"h6jnt`]`kphs <#o7io{a^alqkr/> n0hlzn_bmvjq:76m1omyoPcnwmp979l2njxlQlotlw878c3mk\7fmRm`uov?7;b<lh~jSnaznu>7:a=ci}kTob{at=7=b>bf|hUhcx`{<783:a=ci}kTob{at=4=3>bea}oy~<64dd`vcZtcWyd\7f~R~cur3\v969911oio{h_sf\tkruWyf~\7f<Q}<0<2<>bbj|mT~iQ\7fnup\tist9Vx7>3?7;egaqbYulVzex\7fQ\7fltq2[w:46820hhlzg^pg[uhszVzgy~?Pr=6=5==cmk\7flS\7fjPpovq[ujr{8Uy080>a:fffpaXzmU{by|Ppmwp5Zt;>3:5=55kecwd[wbXxg~yS}bzs0]q8386:2nnnxiPre]sjqtXz5:5=?5kecwd[wbXxg~yS\7f2>>008``droVxoS}`{r^p?6;753moiyjQ}d^rmpwYu4:4:>6jjbte\vaYwf}xT~1:1139gags`W{nT|cz}_s>6:42<llh~kR|k_qlwvZt;>3:5=?5kecwd[wbXxg~yS\7f29>008``droVxoS}`{r^u?4;753moiyjQ}d^rmpwYp484:>6jjbte\vaYwf}xT{1<1139gags`W{nT|cz}_v>0:44<llh~kR|k_qlwvZq;<7;97ikmuf]q`Zvi|{U|080>4:fffpaXzmU{by|Pw=494;753moiyjQ}d^rmpwYp4?4:46jjbte\swYwf}xT|a{|1^u?4;7?3moiyjQxr^rmpwYwd|y:Sz2>>0:8``droV}yS}`{r^roqv7X\7f585=55kecwd[rtXxg~yS}bzs0]t868602nnnxiPws]sjqtXxe\7fx=Ry34?3;?ace}nU|~R~ats]shpu6W~6>2<o4dd`vcZquWyd\7f~R~cur3\s90=87;37ikmuf]tvZvi|{U{`x}>_v>5:4><llh~kRy}_qlwvZvk}z8T{1>1199gags`W~xT|cz}_qnvw7Yp484:46jjbte\swYwf}xT|a{|2^u?6;7?3moiyjQxr^rmpwYwd|y9Sz2<>0:8``droV}yS}`{r^roqv4X\7f5>5=55kecwd[rtXxg~yS}bzs3]t8086i2nnnxiPws]sjqtXxe\7fx>Ry36;2=5==cmk\7flSz|Ppovq[ujr{;U|0;0>2:fffpaX\7f{U{by|Pr=2=57=cmk\7flSz|Ppovq[w:66880hhlzg^uq[uhszVx7>3?=;egaqbYpzVzex\7fQ}<2<26>bbj|mT{\7fQ\7fnup\v9299;1oio{h_vp\tkruW{6>2<:4dd`vcZquWyd\7f~R|36;2=57=cmk\7flSz|Ppovq[w:16880hhlzg^uq[uhszV}7<3?=;egaqbYpzVzex\7fQx<0<26>bbj|mT{\7fQ\7fnup\s9499;1oio{h_vp\tkruW~682<<4dd`vcZquWyd\7f~Ry34?31?ace}nU|~R~ats]t8086<2nnnxiPws]sjqtX\7f5<1<3?=;egaqbYpzVzex\7fQx<7<5?aoi 9#37iga<183:3=cag6;2:5kotv+4,?<lf\7f\7f0=4?>69gkpr;8720iigi2oeg1>cjx}s946hffn]dakcui}eyS{=P3-"@kwc`mg*2"< ?3h]jt7713ocmcRijndpbpjtX~:U8S~}>a:dofim(EqeySimat^gm[Wct}e~:h6hcbmi,`fhsWld:n6hcbmi,cae)lj%Fob{at^alqkr688h0jalck.egg+bd'DidyczPcnwmp456j2lgnae gea-`f)Jkf\7fexRm`uov224d<nehgg"ikc/f`+Heh}g~Tob{at0;12>`kjea$kim!db-Ngjsi|VidyczPxnp?6;76WZ];>?5ilcnh+bbd&mi$Anaznu]`kphsWqey0>0>2348bidkc&moo#jl/LalqkrXkf\7fexRv`r=1=57YT_98=7kbmlj-d`f(ck&Ghcx`{_bmvjqY\7fg{682<<PSV317>`kjea$kim!db-Ngjsi|VidyczPxnp?0;769;=0jalck.egg+bd'DidyczPcnwmpZ~hz5>5=<?PSV213>`kjea$kim!db-Ngjsi|VidyczPxnp?0;769VY\=?<4fm`oo*ack'nh#@m`uov\gjsi|Vrd~1:11301?cjedb%lhn kc.O`kphsWje~byQwos>6:475?2lgnae gea-`f)Jkf\7fexRm`uov\|jt;>7;:=R]X0308bidkc&moo#jl/LalqkrXkf\7fexRv`r=4=57`<nehgg"ikc/f`+K46<2lgnae gea-`f)dg|d\7fS=?m;gnahn)`lj$oo"m`uov\4Ztt|ye\7f=95ilcnh+bbd&mi$ob{at^32f>`kjea$kim!db-`kphsW8Uy\7fy~`t068bidkc&moo#jl/bmvjqY59k1m`obd/ff`*ae(kf\7fexR<Prrvskq733ofi`f!hdb,gg*eh}g~T?<:4fm`oo*ack'nh#naznu]751=adkf`#jjl.ea,gjsi|V?:86hcbmi,cae)lj%hcx`{_731?cjedb%ym`Q}d^gm[l76:2lgnae r`o\swYbfVc:>=5ilcnh+qnuefn$iaahib-FIUR^WGEE^HI=5:dofim(|axfci!jlnejg*CJX]STBB@]EF]j5455=2lgnae tipnka)bdfmbo"KBPU[\JJHUMNUb=><=5:dofim(|axfci!jlnejg*CJX]STBB@]EF]j5045=2lgnae tipnka)bdfmbo"KBPU[\JJHUMNUb>?6=5:dofim(|axfci!jlnejg*CJX]STBB@]EF]j664512lgnae tipnka)bdfmbo"KBPU[\JJHUMNUb>><PSV211>`kjea$xe|boe-fhjank&OF\YWPNNLQABYn:>=996hcbmi,pmtjgm%n`bifc.GNTQ_XFFDYIJQf30211>`kjea$xe|boe-fhjank&OF\YWPNNLQABYn;8;986hcbmi,pmtjgm%n`bifc.GNTQ_XFFDYIJQf3306?cjedb%\7fd\7fc`d.gokbod'LG[XTQAOOPFCZo4<98?7kbmlj-wlwkhl&ogcjgl/DOSP\YIGGXNKRg953:8bidkc&~c~`ak/dnlcle(MDZ_UR@@NSGD[lYjiy;;>55ilcnh+qnuefn$iaahib-FIUR^WGEE^HIPi^obt77502lgnae tipnka)bdfmbo"KBPU[\JJHUMNUbS`o\7f320;?cjedb%\7fd\7fc`d.gokbod'LG[XTQAOOPFCZoXehz?<?64fm`oo*rozdeo#hb`gha,AHVSQVDDB_KH_h]neu25:11m`obd/ujqijb(meelen!JMQVZ[KIIZLMTeRcnp701<>`kjea$xe|boe-fhjank&OF\YWPNNLQABYnWdk{:><7;gnahn)s`{gdh"kcofk`+@KW\PUECC\JG^k\idv0<;20jalck.vkvhic'lfdkdm ELRW]ZHHF[OLSdQbaq:66==adkf`#yf}mnf,aii`aj%NA]ZV_OMMV@AXaVgj|58>f:dofim(|axfci!jlnejg*TFEVOF\YWPi0d8bidkc&~c~`ak/gnlcle(N\FGSCAARDE10>`kjea$xe|boe-ehjank&L^@AQAOOPFCZo6;;8?7kbmlj-wlwkhl&lgcjgl/GWOHZHHF[OLSd?:2368bidkc&~c~`ak/gnlcle(N\FGSCAARDE\m400:=1m`obd/ujqijb(neelen!IUMN\JJHUMNUb>>:=4:dofim(|axfci!ilnejg*@RDEUECC\JG^k17=4?3ofi`f!{hsol`*`kgnch#K[CL^LLJWC@W`884R]X0368bidkc&~c~`ak/gnlcle(N\FGSCAARDE\m7>4:=1m`obd/ujqijb(neelen!IUMN\JJHUMNUb?<8=4:dofim(|axfci!ilnejg*@RDEUECC\JG^k052433ofi`f!{hsol`*`kgnch#K[CL^LLJWC@W`9?:?94fm`oo*rozdeo#kb`gha,BPJKWGEE^HIPi^obt165?2lgnae tipnka)adfmbo"HZLM]MKKTBOVcTal~;2358bidkc&~c~`ak/gnlcle(N\FGSCAARDE\mZkfx=?9;6hcbmi,pmtjgm%m`bifc.DVHIYIGGXNKRgPm`r5171<nehgg"zgrlmg+cjho`i$JXBC_OMMV@AXaVgj|;8=7:dofim(|axfci!ilnejg*@RDEUECC\JG^k\idv0?;=0jalck.vkvhic'ofdkdm FTNO[KIIZLMTeRcnp9:13>`kjea$xe|boe-ehjank&L^@AQAOOPFCZoXehz35<k4fm`oo*rozdeo#kb`gha,VDKXN\FGSd?l;gnahn)s`{gdh"hcofk`+rtXzz~T}95iumn24>oijea$~iQ}su]jjq773`di`f!}d^pppZtbo8:0eclck.uq[wusW`d\7f==5fncnh+rtXzz~T~hi91:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER20>kbekf`#yc\7f_scn[wbXmg;>7`kbbmi,phvXzhgT~iQjn0:8jbee}`fo46ayesdokrg<x{elShc\7ftx37?uthoVof|ywPtipfwm.7!8>0|\7fah_dosp|Ys`{oxd%?&159svjaXmdz\7fuRzgrdqk,7/6<2zycjQjmqvz[qnumzb#?$?;;qplcZcjx}sTxe|jsi*7-42<x{elShc\7ftx]wlwct`!?"=95\7frne\ahvsqV~c~h}g(7+20>vugnUna}zv_ujqavn;87;?7}|`g^gntq\7fX|axn\7fe2>>068twi`Wlg{xtQ{hsgpl9499=1{~biPelrw}Zrozlyc0>0>4:rqkbYbey~rSyf}erj?0;733yxdkRkbpu{\pmtb{a6>2<84psmd[`kw|pU\7fd\7fk|h=494;733yxdkRkbpu{\pmtb{a6=2<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts 9#:n6~}of]fiur~W}byi~fParqfvq.6!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,7/6j2zycjQjmqvz[qnumzbTm~}jru*0-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(5+2f>vugnUna}zv_ujqavnXizyn~y&:)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$;'>b:rqkbYbey~rSyf}erj\evubz}6;2<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts484:n6~}of]fiur~W}byi~fParqfvq:568h0|\7fah_dosp|Ys`{oxdRo|sdpw8686j2zycjQjmqvz[qnumzbTm~}jru>7:4d<x{elShc\7ftx]wlwct`Vkx\7fh|{<4<2`>vugnUna}zv_ujqavnXizyn~y29:1<2f>vugnUna}zv_ujqavnXizyn~y29>0`8twi`Wlg{xtQ{hsgplZhboh~n$='>b:rqkbYbey~rSyf}erj\j`af|l":%<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb ;#:n6~}of]fiur~W}byi~fPndebp`.4!8h0|\7fah_dosp|Ys`{oxdR`jg`vf,1/6j2zycjQjmqvz[qnumzbTbhintd*6-4d<x{elShc\7ftx]wlwct`Vdnklzj(7+2f>vugnUna}zv_ujqavnXflmjxh2?>0`8twi`Wlg{xtQ{hsgplZhboh~n0<0>b:rqkbYbey~rSyf}erj\j`af|l692<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb4:4:n6~}of]fiur~W}byi~fPndebp`:368h0|\7fah_dosp|Ys`{oxdR`jg`vf8086l2zycjQjmqvz[qnumzbTbhintd>5>586j2zycjQjmqvz[qnumzbTbhintd>5:<=wzfmTjxbc129svjaXn|fgSyf}erj+4,743yxdkRhzlm]wlwct`!;"=>5\7frne\bpjkW}byi~f'2(30?uthoVl~`aQ{hsgpl-5.9:1{~biPftno[qnumzb#8$?<;qplcZ`rdeU\7fd\7fk|h)7*56=wzfmTjxbc_ujqavn/> ;87}|`g^dvhiYs`{oxd1>1129svjaXn|fgSyf}erj?5;743yxdkRhzlm]wlwct`585=>5\7frne\bpjkW}byi~f33?30?uthoVl~`aQ{hsgpl9299:1{~biPftno[qnumzb793?:;qplcZ`rdeU\7fd\7fk|h=494;743yxdkRhzlm]wlwct`5<5=l5\7frne\bpjkW}byi~fParqfvq.7!8k0|\7fah_gwohZrozlycSl}|esv+5,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(3+2e>vugnUmyabPtipfwmYf{zoyx%=&1`9svjaXn|fgSyf}erj\evubz}"?%<o4psmd[cskdV~c~h}g_`qpawr/= ;j7}|`g^dvhiYs`{oxdRo|sdpw,3/6i2zycjQiumn\pmtb{aUj\7f~k}t=2=5d=wzfmTjxbc_ujqavnXizyn~y2>>0c8twi`Wo\7fg`Rzgrdqk[dutm{~7>3?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4:4:m6~}of]eqijX|axn\7feQnsrgqp9299h1{~biPftno[qnumzbTm~}jru>6:4e<x{elSk{cl^vkv`uoWhyxi\7fz36;2=5d=wzfmTjxbc_ujqavnXizyn~y29>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#<$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 8#:m6~}of]eqijX|axn\7feQaefcwa-4.9h1{~biPftno[qnumzbTbhintd*0-4g<x{elSk{cl^vkv`uoWgolmyk'4(3b?uthoVl~`aQ{hsgplZhboh~n$8'>a:rqkbYa}efTxe|jsi]mabgsm!<"=l5\7frne\bpjkW}byi~fPndebp`:768k0|\7fah_gwohZrozlycSckhaug?5;7f3yxdkRhzlm]wlwct`Vdnklzj<3<2e>vugnUmyabPtipfwmYimnk\7fi1=11`9svjaXn|fgSyf}erj\j`af|l6?2<o4psmd[cskdV~c~h}g_ogdeqc;=7;h7}|`g^dvhiYs`{oxdR`jg`vf83<768k0|\7fah_gwohZrozlycSckhaug?2;0<zmUh`f??;sf\`drfWje~by&?)028vaYci}kTob{at)3*55=ulVnjxlQlotlw,7/682xoSio{a^alqkr/; ;;7\7fjPd`vb[firf}"?%<>4re]geqgXkf\7fex%;&119q`Zbf|hUhcx`{(7+24>tcWmk\7fmRm`uov?4;773{nThlzn_bmvjq:668:0~iQkauc\gjsi|585==5}d^fbpdYdg|d\7f0>0>0:pg[agsiVidycz34?33?wbXlh~jSnaznu>6:44<zmUomyoPcnwmp90=87;;7\7fjPd`vb[firf}6=285}d^gm2>tcW{y\7f?6||t69pflrbz{>0\7f\7f}{9:wm``tadf}>7{ocie48swYfkb<0{\7fQllj33?rtXlh~jSnaznu*3-46<\7f{UomyoPcnwmp-7.991|~Rjnt`]`kphs ;#:<6y}_ecweZeh}g~#?$??;vp\`drfWje~by&;)028swYci}kTob{at)7*55=pzVnjxlQlotlw,3/682}ySio{a^alqkr;87;;7z|Pd`vb[firf}6:2<>4ws]geqgXkf\7fex1<1119tvZbf|hUhcx`{<2<24>quWmk\7fmRm`uov?0;773~xThlzn_bmvjq:26880{\7fQkauc\gjsi|5<1<3??;vp\`drfWje~by29>49tvZci>2}yS\7f}{109Àϸ»Á¶ÞÆÏ²Ê²°Ð¹±¹a>×Å·ÙÆµÛȵÆÀ½ÇÆyEFw>9?0LMvi:G87>4}T?j08<:47:011051e2;?:o=ua24295>h5=80?7)<;e;07<>{T?k08<:47:011051e2;?:o=5\1`3970c=83;8>9>8b;065f7<[>h1?8k50;306160j38>=n94d375>5<628qX;n4<068;>455<9=i6?;>c19'61e=;930zY<>f;295?7=j:qX;n4<068;>455<9=i6?;>c19'67e=9h80Z?:i:3yv5d?=92\7f:ml4?;|&2`6<6k2h99;4?:g80>c}O:;30V;o53zg9g?552t.9>84=579'61e=;930V?:k:3y04<<4800ve>ml:188m6c32900c>;9:188k66?2900e?;7:188m6eb2900c>>;:18'5a3=;9?0b<j;:198m666290/=i;53108j4b32910c?li:18'5a3=:j<0b<j;:198k7db290/=i;52b48j4b32810c?lk:18'5a3=:j<0b<j;:398k7dd290/=i;52b48j4b32:10c?lm:18'5a3=:j<0b<j;:598k7df290/=i;52b48j4b32<10c?l6:18'5a3=:j<0b<j;:798f74e290:6=4?{I01=>"5:<09>o5`1e094?=zj8>i6=4>:183\7fM4512.9>84>4c9l51g=831vn;750;7;>6<20rB9>45U6`822~402;h1?l4=8;1a>7g=m3i1>n4<2;0:>64=;k0n6>o5298`>7e=:k09m7<6:359y!45=38>;6*>9g820<=#:=i1?=74$0a`>4b73f8>>7>5;h1``?6=3`9=;7>5$0f6>60b3g;o87>4;h152?6=,8n>6>8j;o3g0?7<3`9=97>5$0f6>60b3g;o87<4;h150?6=,8n>6>8j;o3g0?5<3`9=?7>5$0f6>60b3g;o87:4;h156?6=,8n>6>8j;o3g0?3<3`9==7>5$0f6>60b3g;o8784;n1;a?6=3`9o<7>5;n1g6?6=3`9j87>5$0f6>6ge3g;o87>4;h1b7?6=,8n>6>om;o3g0?7<3`9j>7>5$0f6>6ge3g;o87<4;h1b5?6=,8n>6>om;o3g0?5<3`9j<7>5$0f6>6ge3g;o87:4;h1:b?6=,8n>6>om;o3g0?3<3`92i7>5$0f6>6ge3g;o8784;h1`g?6=3f9=<7>5;n16b?6=3`8>97>5;n1`7?6=,8n>6>mn;o3g0?6<3f9h>7>5$0f6>6ef3g;o87?4;n1`5?6=,8n>6>mn;o3g0?4<3f9h<7>5$0f6>6ef3g;o87=4;n1ab?6=,8n>6>mn;o3g0?2<3f9ii7>5$0f6>6ef3g;o87;4;n1a`?6=,8n>6>mn;o3g0?0<3`9<:7>5$0f6>61c3g;o87>4;h141?6=,8n>6>9k;o3g0?7<3`9<87>5$0f6>61c3g;o87<4;h147?6=,8n>6>9k;o3g0?5<3`9<>7>5$0f6>61c3g;o87:4;h145?6=,8n>6>9k;o3g0?3<3`9<<7>5$0f6>61c3g;o8784;h1f0?6=3f9on7>5$0f6>6c53g;o87>4;n1ge?6=,8n>6>k=;o3g0?7<3f9o57>5$0f6>6c53g;o87<4;n1g<?6=,8n>6>k=;o3g0?5<3f9o;7>5$0f6>6c53g;o87:4;n1g2?6=,8n>6>k=;o3g0?3<3f9o97>5$0f6>6c53g;o8784;n162?6=3f9;47>5;h1g0?6=3`9297>5$0f6>6?d3g;o87>4;h1:0?6=,8n>6>7l;o3g0?7<3`92?7>5$0f6>6?d3g;o87<4;h1:6?6=,8n>6>7l;o3g0?5<3`92=7>5$0f6>6?d3g;o87:4;h1:4?6=,8n>6>7l;o3g0?3<3`93j7>5$0f6>6?d3g;o8784;h06<?6=3`9n97>5;n1`b?6=3f9o?7>5;n1g5?6=3`9hi7>5;n130?6=,8n>6>>:;o3g0?6<3`9;=7>5$0f6>6653g;o87>4;n067?6=3`8>87>5;h1a7?6=,8n>6>ln;o3g0?6<3`9i>7>5$0f6>6df3g;o87?4;h1a5?6=,8n>6>ln;o3g0?4<3`9i<7>5$0f6>6df3g;o87=4;h1bb?6=,8n>6>ln;o3g0?2<3`9ji7>5$0f6>6df3g;o87;4;h1b`?6=,8n>6>ln;o3g0?0<3f8ij7>5$0f6>7e13g;o87>4;n0aa?6=,8n>6?m9;o3g0?7<3f8ih7>5$0f6>7e13g;o87<4;n0ag?6=,8n>6?m9;o3g0?5<3f8in7>5$0f6>7e13g;o87:4;n0ae?6=,8n>6?m9;o3g0?3<3f8i57>5$0f6>7e13g;o8784;n0`<?6=3`9397>5$0f6>6>d3g;o87>4;h1;0?6=,8n>6>6l;o3g0?7<3`93?7>5$0f6>6>d3g;o87<4;h1;6?6=,8n>6>6l;o3g0?5<3`93=7>5$0f6>6>d3g;o87:4;h1;4?6=,8n>6>6l;o3g0?3<3`9<j7>5$0f6>6>d3g;o8784;h1ag?6=3k8?m7>51;294~"5:<0:8o5G25;8L74>3f;?m7>5;|`163<72031;4470zJ16<=#:;?1?=o4Z7c951}5l3936>7532800?522;l1?<4<6;0f>61=l39;6?<5b;05>73=:=09?7<>:|&0a2<4m?1d454?::m;3?6=3`=<6=44i335>5<<g??1<75f20a94?=h0?0;66a79;29?j44k3:17d87:188k45e2900e?:9:188k7402900c;:50;9j65b=831d=i?50;9j641=831d>?o50;9l51>=831d=i950;9j66b=831d=k>50;9j22<722c98:4?::k165<722e397>5$0f6>=2<f8n?6=54o9194?"6l<0386`>d582?>i?:3:1(<j::968j4b32;10c5?50;&2`0<?<2d:h94<;:m;4?6=,8n>65:4n0f7>1=<g>l1<7*>d48;0>h6l=0>76a8e;29 4b221>0b<j;:798k7`?290/=i;52g58j4b32910c?h9:18'5a3=:o=0b<j;:098k7`2290/=i;52g58j4b32;10c?h;:18'5a3=:o=0b<j;:298k7`4290/=i;52g58j4b32=10c?h=:18'5a3=:o=0b<j;:498k7`6290/=i;52g58j4b32?10c>>?:18'5a3=:ol0b<j;:198k7`b290/=i;52gd8j4b32810c?hk:18'5a3=:ol0b<j;:398k7`d290/=i;52gd8j4b32:10c?hm:18'5a3=:ol0b<j;:598k7`f290/=i;52gd8j4b32<10c?h6:18'5a3=:ol0b<j;:798k7b7290/=i;52bd8j4b32910c?mj:18'5a3=:jl0b<j;:098k7ec290/=i;52bd8j4b32;10c?ml:18'5a3=:jl0b<j;:298k7ee290/=i;52bd8j4b32=10c?mn:18'5a3=:jl0b<j;:498k7e>290/=i;52bd8j4b32?10c?j7:18'5a3=:m=0b<j;:198k7b1290/=i;52e58j4b32810c?j::18'5a3=:m=0b<j;:398k7b3290/=i;52e58j4b32:10c?j<:18'5a3=:m=0b<j;:598k7b5290/=i;52e58j4b32<10c?j>:18'5a3=:m=0b<j;:798k7c7290/=i;52ed8j4b32910c?jj:18'5a3=:ml0b<j;:098k7bc290/=i;52ed8j4b32;10c?jl:18'5a3=:ml0b<j;:298k7be290/=i;52ed8j4b32=10c?jn:18'5a3=:ml0b<j;:498k7b>290/=i;52ed8j4b32?10c4750;&2`0<>02d:h94?;:m:3?6=,8n>6464n0f7>4=<g0<1<7*>d48:<>h6l=0976a65;29 4b22020b<j;:298k<2=83.:h8468:l2`1<332e2?7>5$0f6><><f8n?6854o8094?"6l<0246`>d585?>i5<<0;6)?k5;070>h6l=0;76a=4283>!7c=38?86`>d582?>i5<;0;6)?k5;070>h6l=0976a=4083>!7c=38?86`>d580?>i5<90;6)?k5;070>h6l=0?76a=3g83>!7c=38?86`>d586?>i5;l0;6)?k5;070>h6l=0=76a=e983>!7c=38n;6`>d583?>i5m?0;6)?k5;0f3>h6l=0:76a=e483>!7c=38n;6`>d581?>i5m=0;6)?k5;0f3>h6l=0876a=e283>!7c=38n;6`>d587?>i5m;0;6)?k5;0f3>h6l=0>76a=e083>!7c=38n;6`>d585?>i>93:1(<j::828j4b32910c5h50;&2`0<>82d:h94>;:m;a?6=,8n>64>4n0f7>7=<g1n1<7*>d48:4>h6l=0876a7c;29 4b220:0b<j;:598k=d=83.:h8460:l2`1<232e3m7>5$0f6><6<f8n?6;54o3d3>5<#9m?1>hh4n0f7>5=<g;on6=4+1e796``<f8n?6<54o3gg>5<#9m?1>hh4n0f7>7=<g;oh6=4+1e796``<f8n?6>54o3ga>5<#9m?1>hh4n0f7>1=<g;oj6=4+1e796``<f8n?6854o3g:>5<#9m?1>hh4n0f7>3=<g8936=4+1e79561<f8n?6=54o336>5<#9m?1><:4n0f7>5=<g;;86=4+1e79642<f8n?6<54o331>5<#9m?1><:4n0f7>7=<g;;:6=4+1e79642<f8n?6>54o333>5<#9m?1><:4n0f7>1=<g;:m6=4+1e79642<f8n?6854o32f>5<#9m?1><:4n0f7>3=<a8o<6=4+1e795`0<f8n?6=54i0g6>5<#9m?1=h84n0f7>4=<a8o?6=4+1e795`0<f8n?6?54i0g0>5<#9m?1=h84n0f7>6=<a8o96=4+1e795`0<f8n?6954i0g2>5<#9m?1=h84n0f7>0=<a8o;6=4+1e795`0<f8n?6;54ieg94?"6l<0oh6`>d583?>o5810;6)?k5;033>h6l=0;76g=0783>!7c=38;;6`>d582?>o58<0;6)?k5;033>h6l=0976g=0583>!7c=38;;6`>d580?>o58:0;6)?k5;033>h6l=0?76g=0383>!7c=38;;6`>d586?>o5880;6)?k5;033>h6l=0=76g=0183>!7c=3;mj6`>d583?>o6nl0;6)?k5;3eb>h6l=0:76g>fe83>!7c=3;mj6`>d581?>o6nj0;6)?k5;3eb>h6l=0876g>fc83>!7c=3;mj6`>d587?>o6nh0;6)?k5;3eb>h6l=0>76g>f883>!7c=3;mj6`>d585?>o6n10;6)?k5;3e3>h6l=0;76g>f783>!7c=3;m;6`>d582?>o6n<0;6)?k5;3e3>h6l=0976g>f583>!7c=3;m;6`>d580?>o6n:0;6)?k5;3e3>h6l=0?76g>f383>!7c=3;m;6`>d586?>o6n80;6)?k5;3e3>h6l=0=76g>eg83>!7c=3;ni6`>d583?>o6mm0;6)?k5;3fa>h6l=0:76g>eb83>!7c=3;ni6`>d581?>o6mk0;6)?k5;3fa>h6l=0876g>e`83>!7c=3;ni6`>d587?>o6m00;6)?k5;3fa>h6l=0>76g>e983>!7c=3;ni6`>d585?>o6lo0;6)?k5;3ga>h6l=0;76g>de83>!7c=3;oi6`>d582?>o6lj0;6)?k5;3ga>h6l=0976g>dc83>!7c=3;oi6`>d580?>o6lh0;6)?k5;3ga>h6l=0?76g>d883>!7c=3;oi6`>d586?>o6l10;6)?k5;3ga>h6l=0=76l=4`83>4<729q/>?;515`8L72>3A8956a>4`83>>{e:=h1<7?50;2x 7422;8i7E<;9:J16<=h9m81<75rb27;>5<2290;w)<=5;371>N5<01C>?74H748 43>281b:o4?::k42?6=3`=o6=44i0f5>5<<g;:26=44}c16e?6==3:1<v*=248200=O:=30D?<6;I45?!7213;0e;l50;9j33<722c<h7>5;h3g2?6=3f8;57>5;|`012<72=0;6=u+2379512<@;>27E<=9:J52>"6=00:7d8m:188m2b=831b=i850;9l65?=831vn>;6:187>5<7s-8997?;4:J10<=O:;30D;84$07:>4=n>k0;66g8d;29?l7c>3:17b<?9;29?xd4<90;694?:1y'673=9=>0D?:6;I01=>"6=00<7d8m:188m2b=831b=i850;9l65?=831vn>;;:187>5<7s-8997?;4:J10<=O:;30(<;6:09j2g<722c<h7>5;h3g2?6=3f8;57>5;|`010<72=0;6=u+2379512<@;>27E<=9:&21<<03`<i6=44i6f94?=n9m<1<75`21;94?=zj:886=4::183\7f!45=3;??6F=489K67?<,8?26<5f6c83>>o0>3:17d9n:188m2b=831d>=750;9~f643290>6=4?{%011?73;2B9845G23;8 43>281b:o4?::k42?6=3`=j6=44i6f94?=h:931<75rb206>5<2290;w)<=5;377>N5<01C>?74$07:>4=n>k0;66g86;29?l1f2900e:j50;9l65?=831vn><9:186>5<7s-8997?;3:J10<=O:;30(<;6:09j2g<722c<:7>5;h5b>5<<a>n1<75`21;94?=zj;h96=4::183\7f!45=3;?96F=489K67?<,8?26:5f6c83>>o0>3:17d9k:188m4b12900c?>6:188yg4e;3:197>50z&160<6<:1C>974H30:?!7213;0e;l50;9j33<722c<m7>5;h5g>5<<g;:26=44}c0a0?6==3:1<v*=248206=O:=30D?<6;%36=?7<a?h1<75f7783>>o0i3:17d9k:188k76>2900qo<m5;291?6=8r.9>84>429K61?<@;827)?:9;38m3d=831b;;4?::k4e?6=3`=o6=44o32:>5<<uk8i47>55;294~"5:<0:8>5G25;8L74>3-;>57?4i7`94?=n??0;66g8a;29?l1c2900c?>6:188yg4e>3:197>50z&160<6<:1C>974H30:?!7213;0e;l50;9j33<722c<m7>5;h5g>5<<g;:26=44}c0a3?6==3:1<v*=248206=O:=30D?<6;%36=?7<a?h1<75f7783>>o0i3:17d9k:188k76>2900qo<72;291?6=8r.9>84>449K61?<@;827)?:9;58m3d=831b;;4?::k4`?6=3`;o:7>5;n03=?6=3th94>4?:483>5}#:;?1=9=4H36:?M4512.:944>;h4a>5<<a><1<75f7`83>>o0l3:17b<?9;29?xd50=0;684?:1y'673=9=90D?:6;I01=>"6=00:7d8m:188m20=831b;l4?::k4`?6=3f8;57>5;|`1<0<72<0;6=u+2379515<@;>27E<=9:&21<<63`<i6=44i6494?=n?h0;66g8d;29?j4713:17pl=8983>0<729q/>?;51518L72>3A8956*>5882?l0e2900e:850;9j3d<722c<h7>5;n03=?6=3th94;4?:483>5}#:;?1=9=4H36:?M4512.:944>;h4a>5<<a><1<75f7`83>>o0l3:17b<?9;29?xd50>0;684?:1y'673=9=90D?:6;I01=>"6=00:7d8m:188m20=831b;l4?::k4`?6=3f8;57>5;|`1=d<72<0;6=u+2379515<@;>27E<=9:&21<<63`<i6=44i6494?=n?h0;66g8d;29?j4713:17pl=9c83>0<729q/>?;51518L72>3A8956*>5882?l0e2900e:850;9j3d<722c<h7>5;n03=?6=3th95n4?:483>5}#:;?1=9=4H36:?M4512.:944>;h4a>5<<a><1<75f7`83>>o0l3:17b<?9;29?xd51m0;684?:1y'673=9=90D?:6;I01=>"6=00:7d8m:188m20=831b;l4?::k4`?6=3f8;57>5;|`1=`<72<0;6=u+2379515<@;>27E<=9:&21<<63`<i6=44i6494?=n?h0;66g8d;29?j4713:17pl=9g83>0<729q/>?;51518L72>3A8956*>5882?l0e2900e:850;9j3d<722c<h7>5;n03=?6=3th9m=4?:483>5}#:;?1=9=4H36:?M4512.:944>;h4a>5<<a><1<75f7`83>>o0l3:17b<?9;29?xd5>h0;684?:1y'673=9=90D?:6;I01=>"6=00:7d8m:188m20=831b;l4?::k4`?6=3f8;57>5;|`12g<72<0;6=u+2379515<@;>27E<=9:&21<<63`<i6=44i6494?=n?h0;66g8d;29?j4713:17pl=6b83>0<729q/>?;51518L72>3A8956*>5882?l0e2900e:850;9j3d<722c<h7>5;n03=?6=3th9:i4?:483>5}#:;?1=9=4H36:?M4512.:944>;h4a>5<<a><1<75f7`83>>o0l3:17b<?9;29?xd5>l0;684?:1y'673=9=90D?:6;I01=>"6=00:7d8m:188m20=831b;l4?::k4`?6=3f8;57>5;|`12c<72<0;6=u+2379515<@;>27E<=9:&21<<63`<i6=44i6494?=n?h0;66g8d;29?j4713:17pl=7183>0<729q/>?;51518L72>3A8956*>5882?l0e2900e:850;9j3d<722c<h7>5;n03=?6=3th89o4?:b094?6|,;8>6?>n;I07=>N5:01Q:l4l{88b>44=9:0::7?8:0:95<<6<3;>6<o51c8~ 4342:1/=8:53:&210<43-;>:7=4$0;g>4273-;2i7?;0:&14g<6kl1/>=m51bg8j77?2;>0b??6:368 77b28in7)?:7;18 43?2:1/>>652258 75>2;9<7c<<a;07?k44j38?7c??a;28j44d291/><j51528 7462;1/=8o53:&21g<43-;>o7=4$07g>6=#9<o1?6*>5g80?!718390(<8>:29'534=;2.::>4<;%350?5<,8<>6>5+17497>"6>>087)?98;18 40>2:1/=;o53:&22g<43-;=o7=4$04g>6=#9?o1?6*>6g80?!708390(<9>:29'524=;2.:;>4<;%340?5<,8=>6>5+16497>"6?>087)?88;18 41>2:1/=:o53:&23g<43-;<o7=4$05g>6=#9>o1?6*>7g80?!7?8390(<6>:29'5=4=;2.:4>4<;%3;0?5<,82>6>5+19497>"60>087)?78;18 4>>2:1/=5o53:&2<g<43-;3o7=4$0:g>6=#91o1?6*>8g80?!7>8390(<7>:29'5<4=;2.:5>4<;%3:0?5<,83>6>5+18497>"61>087)?68;18 4?>2:1/=4o52:&2=g<53-;>=7=4$071>6=#:;81>6g82;29?l142900e:650;9j3<<722c:?n4?::k15d<722c9=o4?::k27a<722c9>>4?::k161<722e<87>5;n56>5<<a=k1<7*>d487=>h6l=0;76g;8;29 4b22=30b<j;:098m11=83.:h84;9:l2`1<532c?:7>5$0f6>1?<f8n?6>54i5794?"6l<0?56`>d587?>o2;3:1(<j::5;8j4b32<10e8<50;&2`0<312d:h949;:k65?6=,8n>6974n0f7>2=<a<:1<7*>d487=>h6l=0376g;f;29 4b22=30b<j;:898m1c=83.:h84;9:l2`1<f32c?h7>5$0f6>1?<f8n?6o54i5a94?"6l<0?56`>d58`?>o3j3:1(<j::5;8j4b32m10e9:50;&2`0<312d:h94j;:k6e?6=,8n>6874n0f7>5=<a<21<7*>d486=>h6l=0:76g:7;29 4b22<30b<j;:398m00=83.:h84:9:l2`1<432c>97>5$0f6>0?<f8n?6954i7194?"6l<0>56`>d586?>o1:3:1(<j::4;8j4b32?10e;?50;&2`0<212d:h948;:k54?6=,8n>6874n0f7>==<a<l1<7*>d486=>h6l=0276g:e;29 4b22<30b<j;:`98m0b=83.:h84:9:l2`1<e32c>o7>5$0f6>0?<f8n?6n54i4`94?"6l<0>56`>d58g?>o2<3:1(<j::4;8j4b32l10eo:50;&2`0<e;2d:h94?;:ka6?6=,8n>6o=4n0f7>4=<ak:1<7*>d48a7>h6l=0976gnf;29 4b22k90b<j;:298mdc=83.:h84m3:l2`1<332cjh7>5$0f6>g5<f8n?6854i`a94?"6l<0i?6`>d585?>ofj3:1(<j::c18j4b32>10elo50;&2`0<e;2d:h947;:kb=?6=,8n>6o=4n0f7><=<ah21<7*>d48a7>h6l=0j76gn7;29 4b22k90b<j;:c98md3=83.:h84m3:l2`1<d32cj87>5$0f6>g5<f8n?6i54i`194?"6l<0i?6`>d58f?>of:3:1(<j::c18j4b32o10el?50;&2`0<e;2d:h94>0:9je5<72-;o97l<;o3g0?7632c2j7>5$0f6>g5<f8n?6<<4;h;f>5<#9m?1n>5a1e6956=<a0n1<7*>d48a7>h6l=0:865f9b83>!7c=3h87c?k4;36?>oej3:1(<j::c18j4b328<07dln:18'5a3=j:1e=i:51698mg?=83.:h84m3:l2`1<6021bn54?:%3g1?d43g;o87?6;:ka3?6=,8n>6o=4n0f7>4g<3`h=6=4+1e79f6=i9m>1=o54ic794?"6l<0i?6`>d582g>=nj80;6)?k5;`0?k7c<3;o76gn6;29 4b22k90b<j;:0g8?l?e290/=i;5b29m5a2=9o10ei;50;&2`0<c<2d:h94?;:kg7?6=,8n>6i:4n0f7>4=<am;1<7*>d48g0>h6l=0976gk0;29 4b22m>0b<j;:298mf`=83.:h84k4:l2`1<332chi7>5$0f6>a2<f8n?6854ibf94?"6l<0o86`>d585?>odk3:1(<j::e68j4b32>10enl50;&2`0<c<2d:h947;:k`e?6=,8n>6i:4n0f7><=<aj31<7*>d48g0>h6l=0j76gl8;29 4b22m>0b<j;:c98mf0=83.:h84k4:l2`1<d32ch97>5$0f6>a2<f8n?6i54ib694?"6l<0o86`>d58f?>od;3:1(<j::e68j4b32o10en<50;&2`0<c<2d:h94>0:9jg4<72-;o97j;;o3g0?7632ch<7>5$0f6>a2<f8n?6<<4;h`e>5<#9m?1h95a1e6956=<ako1<7*>d48g0>h6l=0:865fbe83>!7c=3n?7c?k4;36?>ock3:1(<j::e68j4b328<07djm:18'5a3=l=1e=i:51698mag=83.:h84k4:l2`1<6021bh44?:%3g1?b33g;o87?6;:kg<?6=,8n>6i:4n0f7>4g<3`n<6=4+1e79`1=i9m>1=o54ie494?"6l<0o86`>d582g>=nl;0;6)?k5;f7?k7c<3;o76gl7;29 4b22m>0b<j;:0g8?ldd290/=i;5d59m5a2=9o10eh=50;&2`0<b:2d:h94?;:kf5?6=,8n>6h<4n0f7>4=<al:1<7*>d48f6>h6l=0976gkf;29 4b22l80b<j;:298m`>=83.:h84j7:l2`1<732cn:7>5$0f6>`1<f8n?6<54id794?"6l<0n;6`>d581?>ob<3:1(<j::d58j4b32:10e?=>:18'5a3=:::0b<j;:198m74a290/=i;52228j4b32810e?<j:18'5a3=:::0b<j;:398m74c290/=i;52228j4b32:10e?=9:18'5a3=::?0b<j;:198m753290/=i;52278j4b32810e?=<:18'5a3=::?0b<j;:398m755290/=i;52278j4b32:10c<>=:18'5a3=99;0b<j;:198k467290/=i;51138j4b32810ckk50;&2`0<6881e=i:52:9lba<72-;o97??1:l2`1<432emo7>5$0f6>4663g;o87:4;nda>5<#9m?1==?4n0f7>0=<gok1<7*>d48244=i9m>1:65`f883>!7c=3;;=6`>d584?>ia03:1(<j::022?k7c<3207bh8:18'5a3=99;0b<j;:898kc0=83.:h84>009m5a2=i21dj84?:%3g1?7792d:h94m;:me7?6=,8n>6<>>;o3g0?e<3fl96=4+1e79557<f8n?6i54og394?"6l<0:<<5a1e69a>=hn90;6)?k5;335>h6l=0m76ajf;29 4b228::7c?k4;33?>ibm3:1(<j::022?k7c<3;:76ajd;29 4b228::7c?k4;31?>ibk3:1(<j::022?k7c<3;876ajb;29 4b228::7c?k4;37?>ibi3:1(<j::022?k7c<3;>76a>0883>!7c=3;;=6`>d5822>=h9921<7*>d48244=i9m>1=:54o024>5<#9m?1==?4n0f7>4><3f;;:7>5$0f6>4663g;o87?6;:m240<72-;o97??1:l2`1<6i21d==:50;&2`0<6881e=i:51c98k464290/=i;51138j4b328i07bhi:18'5a3=99;0b<j;:0f8?j`3290/=i;51138j4b328o07bk6:18'5a3=99;0b<j;:0d8?j75<3:1(<j::000?k7c<3:07b?=2;29 4b228887c?k4;38?j7583:1(<j::000?k7c<3807b?>f;29 4b228887c?k4;18?j76m3:1(<j::000?k7c<3>07b?>d;29 4b228887c?k4;78?j76k3:1(<j::000?k7c<3<07b?>b;29 4b228887c?k4;58?j76i3:1(<j::000?k7c<3207b?>9;29 4b228887c?k4;;8?j7603:1(<j::000?k7c<3k07b?>7;29 4b228887c?k4;`8?j76=3:1(<j::000?k7c<3i07b?>4;29 4b228887c?k4;f8?j76;3:1(<j::000?k7c<3o07b?>2;29 4b228887c?k4;d8?j7693:1(<j::000?k7c<3;;76a>1183>!7c=3;9?6`>d5825>=h99l1<7*>d48266=i9m>1=?54o02f>5<#9m?1=?=4n0f7>45<3f;;h7>5$0f6>4443g;o87?;;:m24f<72-;o97?=3:l2`1<6=21d=?l50;&2`0<6::1e=i:51798k44f290/=i;51318j4b328=07b?=9;29 4b228887c?k4;3;?>i6:10;6)?k5;317>h6l=0:565`13594?"6l<0:>>5a1e695d=<g88=6=4+1e79575<f8n?6<l4;n311?6=,8n>6<<<;o3g0?7d32e:><4?:%3g1?75;2d:h94>d:9l540=83.:h84>229m5a2=9l10c<>m:18'5a3=9;90b<j;:0d8?j7493:1(<j::013?k7c<3:07b?=f;29 4b2289;7c?k4;38?j75m3:1(<j::013?k7c<3807b?=d;29 4b2289;7c?k4;18?j74>3:1(<j::016?k7c<3:07b?<4;29 4b2289>7c?k4;38?j74;3:1(<j::016?k7c<3807b?<2;29 4b2289>7c?k4;18?xd5i00;6>4?:1y'673=9h90D?:6;I01=>"6=00:h6g>4e83>>o6<l0;66a>cg83>>{e:0;1<7=50;2x 74228k87E<;9:J16<=#9<31=i5f15f94?=n9=o1<75`1bd94?=zj;=26=4<:183\7f!45=3;j?6F=489K67?<,8?26<j4i06g>5<<a8>n6=44o0ae>5<<uk8==7>53;294~"5:<0:m>5G25;8L74>3-;>57?k;h37`?6=3`;?i7>5;n3`b?6=3th9ml4?:583>5}#:;?1=l;4H36:?M4512.:944>e:k20a<722c:8h4?::k20c<722e:ok4?::\7fa6<4=83>1<7>t$306>4g23A8?56F=289'50?=9l1b=9j50;9j51c=831b=9h50;9l5f`=831vn?9n:187>5<7s-8997?n5:J10<=O:;30(<;6:0g8m42c2900e<:j:188m42a2900c<mi:188yg41:3:187>50z&160<6i<1C>974H30:?!7213;n7d?;d;29?l73m3:17d?;f;29?j7dn3:17pl=ae83>1<729q/>?;51`78L72>3A8956*>5885b>o6<m0;66g>4d83>>o6<o0;66a>cg83>>{e:0?1<7:50;2x 74228k>7E<;9:J16<=#9<31:k5f15f94?=n9=o1<75f15d94?=h9jl1<75rb35g>5<3290;w)<=5;3b1>N5<01C>?74$07:>3`<a8>o6=44i06f>5<<a8>m6=44o0ae>5<<uk8=97>54;294~"5:<0:m85G25;8L74>3-;>578i;h37`?6=3`;?i7>5;h37b?6=3f;hj7>5;|`1e`<72<0;6=u+23795d0<@;>27E<=9:&21<<082c:8i4?::k20`<722c:8k4?::k215<722e:ok4?::\7fa6<0=83?1<7>t$306>4g13A8?56F=289'50?=?91b=9j50;9j51c=831b=9h50;9j506=831d=nh50;9~f71b290>6=4?{%011?7f>2B9845G23;8 43>2>:0e<:k:188m42b2900e<:i:188m4372900c<mi:188yg41>3:197>50z&160<6i?1C>974H30:?!7213=;7d?;d;29?l73m3:17d?;f;29?l7283:17b?lf;29?xd5ij0;694?:1y'673=9h?0D?:6;I01=>"6=008o6g>4e83>>o6<l0;66g>4g83>>i6ko0;66sm28694?2=83:p(?<::0c6?M4312B9>45+14;97f=n9=n1<75f15g94?=n9=l1<75`1bd94?=zj;=h6=4;:183\7f!45=3;j96F=489K67?<,8?26>m4i06g>5<<a8>n6=44i06e>5<<g8im6=44}c050?6=<3:1<v*=2482e0=O:=30D?<6;%36=?5d3`;?h7>5;h37a?6=3`;?j7>5;n3`b?6=3th8?84?:483>5}#:;?1=l84H36:?M4512.:944=0:k20a<722c:8h4?::k20c<722c:9=4?::m2gc<722wi??o50;794?6|,;8>6<o9;I07=>N5:01/=875219j51b=831b=9k50;9j51`=831b=8>50;9l5f`=831vn><l:186>5<7s-8997?n6:J10<=O:;30(<;6:064?l73l3:17d?;e;29?l73n3:17d?:0;29?j7dn3:17pl<3183>0<729q/>?;51`48L72>3A8956*>58875>o6<m0;66g>4d83>>o6<o0;66g>5183>>i6ko0;66sm32394?3=83:p(?<::0c5?M4312B9>45+14;904=n9=n1<75f15g94?=n9=l1<75f14294?=h9jl1<75rb217>5<2290;w)<=5;3b2>N5<01C>?74$07:>45>3`;?h7>5;h37a?6=3`;?j7>5;h364?6=3f;hj7>5;|`001<72=0;6=u+23795d3<@;>27E<=9:&21<<1m2c:8i4?::k20`<722c:8k4?::m2gc<722wi?9750;794?6|,;8>6<o9;I07=>N5:01/=875419j51b=831b=9k50;9j51`=831b=8>50;9l5f`=831vn>:n:180>5<7s-8997?n3:J10<=O:;30(<;6:2g8m42c2900e<:j:188k4ea2900qo=;b;291?6=8r.9>84>a79K61?<@;827)?:9;30e>o6<m0;66g>4d83>>o6<o0;66g>5183>>i6ko0;66sm33:94?5=83:p(?<::0c0?M4312B9>45+14;955=n9=n1<75f15g94?=h9jl1<75rb23:>5<2290;w)<=5;3b2>N5<01C>?74$07:>45b3`;?h7>5;h37a?6=3`;?j7>5;h364?6=3f;hj7>5;|`062<72:0;6=u+23795d5<@;>27E<=9:&21<<682c:8i4?::k20`<722e:ok4?::\7fa74d=83>1<7>t$306>4g23A8?56F=289'50?=>o1b=9j50;9j51c=831b=9h50;9l5f`=831vn><m:186>5<7s-8997?n6:J10<=O:;30(<;6:8c8m42c2900e<:j:188m42a2900e<;?:188k4ea2900qo=;2;291?6=8r.9>84>a79K61?<@;827)?:9;;b?l73l3:17d?;e;29?l73n3:17d?:0;29?j7dn3:17pl<1`83>0<729q/>?;51`48L72>3A8956*>5885g>o6<m0;66g>4d83>>o6<o0;66g>5183>>i6ko0;66sm31`94?3=83:p(?<::0c5?M4312B9>45+14;92>o6<m0;66g>4d83>>o6<o0;66g>5183>>i6ko0;66sm34f94?2=83:p(?<::0c6?M4312B9>45+14;956`<a8>o6=44i06f>5<<a8>m6=44o0ae>5<<uk9:h7>54;294~"5:<0:8?5G25;8L74>3-;>57?4i7`94?=n?h0;66g8d;29?j4713:17pl<1g83>1<729q/>?;51508L72>3A8956*>5882?l0e2900e:o50;9j3a<722e9<44?::\7fa777=83>1<7>t$306>4253A8?56F=289'50?=92c=n7>5;h5b>5<<a>n1<75`21;94?=zj:8m6=4::183\7f!45=3;j:6F=489K67?<,8?26<:9;h37`?6=3`;?i7>5;h37b?6=3`;><7>5;n3`b?6=3th8>h4?:483>5}#:;?1=l84H36:?M4512.:944;;h37`?6=3`;?i7>5;h37b?6=3`;><7>5;n3`b?6=3th88:4?:483>5}#:;?1=l84H36:?M4512.:9449d:k20a<722c:8h4?::k20c<722c:9=4?::m2gc<722wi?9850;794?6|,;8>6<o9;I07=>N5:01/=875109j51b=831b=9k50;9j51`=831b=8>50;9l5f`=831vn>?9:186>5<7s-8997?n6:J10<=O:;30(<;6:2f8m42c2900e<:j:188m42a2900e<;?:188k4ea2900qo=>5;291?6=8r.9>84>a79K61?<@;827)?:9;375>o6<m0;66g>4d83>>o6<o0;66g>5183>>i6ko0;66sm33294?3=83:p(?<::0c5?M4312B9>45+14;907=n9=n1<75f15g94?=n9=l1<75f14294?=h9jl1<75rb23;>5<2290;w)<=5;3b2>N5<01C>?74$07:>27<a8>o6=44i06f>5<<a8>m6=44i073>5<<g8im6=44}c116?6=<3:1<v*=2482e0=O:=30D?<6;%36=?7b3`;?h7>5;h37a?6=3`;?j7>5;n3`b?6=3th8=<4?:483>5}#:;?1=l84H36:?M4512.:9449c:k20a<722c:8h4?::k20c<722c:9=4?::m2gc<722wi?<k50;194?6|,;8>6<o<;I07=>N5:01/=8751e9j51b=831b=9k50;9l5f`=831vn?om:186>5<7s-8997?n6:J10<=O:;30(<;6:0d8m42c2900e<:j:188m42a2900e<;?:188k4ea2900qo<63;291?6=8r.9>84>a79K61?<@;827)?:9;3e?l73l3:17d?;e;29?l73n3:17d?:0;29?j7dn3:17pl=7c83>0<729q/>?;51`48L72>3A8956*>5882b>o6<m0;66g>4d83>>o6<o0;66g>5183>>i6ko0;66sm27194?3=83:p(?<::0c5?M4312B9>45+14;95c=n9=n1<75f15g94?=n9=l1<75f14294?=h9jl1<75rb3`3>5<2290;w)<=5;3b2>N5<01C>?74$07:>6`<a8>o6=44i06f>5<<a8>m6=44i073>5<<g8im6=44}c0bb?6==3:1<v*=2482e3=O:=30D?<6;%36=?7a3`;?h7>5;h37a?6=3`;?j7>5;h364?6=3f;hj7>5;|`1==<72<0;6=u+23795d0<@;>27E<=9:&21<<4n2c:8i4?::k20`<722c:8k4?::k215<722e:ok4?::\7fa6<1=83?1<7>t$306>4g13A8?56F=289'50?=9o1b=9j50;9j51c=831b=9h50;9j506=831d=nh50;9~f7>7290>6=4?{%011?7f>2B9845G23;8 43>2:l0e<:k:188m42b2900e<:i:188m4372900c<mi:188yg40n3:197>50z&160<6i?1C>974H30:?!7213;m7d?;d;29?l73m3:17d?;f;29?l7283:17b?lf;29?xd5>10;684?:1y'673=9h<0D?:6;I01=>"6=008j6g>4e83>>o6<l0;66g>4g83>>o6=90;66a>cg83>>{e:?=1<7;50;2x 74228k=7E<;9:J16<=#9<31=k5f15f94?=n9=o1<75f15d94?=n9<:1<75`1bd94?=zj:;86=4::183\7f!45=3;j:6F=489K67?<,8?2685f15f94?=n9=o1<75f15d94?=n9<:1<75`1bd94?=zj:;?6=4;:183\7f!45=3;j96F=489K67?<,8?26>m4i06g>5<<a8>n6=44i06e>5<<g8im6=44}c126?6==3:1<v*=2482e3=O:=30D?<6;%36=?243`;?h7>5;h37a?6=3`;?j7>5;h364?6=3f;hj7>5;|`1e=<72;0;6=u+23795<e<@;>27E<=9:k20f<722e:ok4?::\7fa6<6=8381<7>t$306>4?d3A8?56F=289j51e=831d=nh50;9~f71?29096=4?{%011?7>k2B9845G23;8m42d2900c<mi:188yg4183:1>7>50z&160<61j1C>974H30:?l73k3:17b?lf;29?xd4;:0;6;4?:1y'673=9h=0D?:6;I01=>"6=009<6g>4e83>>o6<l0;66g>4g83>>o6=90;66a>a183>>i6ko0;66sm33f94?3=83:p(?<::0c;?M4312B9>45+14;904=n9=n1<75f15g94?=n9=l1<75f14294?=h9h:1<75rb211>5<4290;w)<=5;3b0>N5<01C>?74$07:>6c<a8>o6=44i06f>5<<g8k;6=44}c177?6==3:1<v*=2482e==O:=30D?<6;%36=?73?2c:8i4?::k20`<722c:8k4?::k215<722e:m=4?::\7fa713=83?1<7>t$306>4g?3A8?56F=289'50?=<81b=9j50;9j51c=831b=9h50;9j506=831d=l>50;9~f62?290>6=4?{%011?7f02B9845G23;8 43>2=;0e<:k:188m42b2900e<:i:188m4372900c<o?:188yg56?3:1:7>50z&160<6i>1C>974H30:?!7213;?;6g>4e83>>o6<l0;66g>4g83>>o6=90;66a>a183>>i6ko0;66s|8983>45|V1201?6=:64897>42><01?6;:64897>22><01?67:64897>12><01?68:648970f2><01?8m:648970d2><01?8k:648970b2><01?8i:64897172><01>?6:06g?855?3;hj63<21820c=:;821=9h4}r37<?6=1rT3;6P>499>702=:9301>:;:06f?85503;?i63<2c820`=:;9h1=8>4=20f>42b349?:7?;e:\7fp32<72;npR:94=27;>3d<5:?j6;l4=274>3d<5:?26;l4=263>3d<5:??6;l4=276>3d<5:886;l4=207>3d<5:8>6;l4=205>3d<5;h96;l4=3`0>3d<5;h?6;l4=3`6>3d<5;h36;l4=3`5>3d<5;h<6;l4=3:1>3d<5;286;l4=3:7>3d<5;2>6;l4=3:;>3d<5;2=6;l4=3:4>3d<5;3j6;l4=3;a>3d<5;3h6;l4=3;g>3d<5;3n6;l4=3;e>3d<5;k;6;l4=34b>3d<5;<i6;l4=34`>3d<5;<o6;l4=34f>3d<5;<m6;l4=353>3d<5:?i6:64=27a>2?<5:;o6;l4=23e>3d<5:8:6;l4}r022?6=jrT9=;52335951b<5:>96<:k;<12e?73l278<o4>4d9>70b=9=n01><i:06g?853?3;?h63<14820a=:;8;1=9j4=230>4373ty9<h4?:6;xZ33<V;9h7S<=7:\50>X6l81U>?o4^0f4?[7a82T9885Q2518Z7253W8?=6P=419]66`<V;9n7S<>5:\156=Y:880R??>;_024>X58o1U>=k4=36b>42f349>479k;<16e?1c349>979k;<16f?15349>n79<;<16f?46i2789o4=1c9>70d=<h16?8l5499>70d=<>16?8l54d9>70d=<m16?8l54b9>70d=<k16?8l5459>70d==h16?8l5599>70d==>16?8l55d9>70d==m16?8l55b9>70d==k16?8l5559>70d=j=16?8l5b39>70d=j916?8l5ag9>70d=il16?8l5ae9>70d=ij16?8l5ac9>70d=ih16?8l5a89>70d=i116?8l5a69>70d=i<16?8l5a59>70d=i:16?8l5a39>70d=i816?8l5a19>70d=1o16?8l59d9>70d=1m16?8l59b9>70d=jk16?8l5b`9>70d=j016?8l5b99>70d=j>16?8l5b79>70d=j<16?8l5b09>70d=i?16?8l5d49>70d=l:16?8l5d09>70d=l916?8l5cg9>70d=kl16?8l5ce9>70d=kj16?8l5cc9>70d=kh16?8l5c89>70d=k116?8l5c79>70d=k<16?8l5c59>70d=k:16?8l5c39>70d=k816?8l5c19>70d=jo16?8l5bd9>70d=jm16?8l5db9>70d=lk16?8l5d`9>70d=l016?8l5d99>70d=l>16?8l5d79>70d=l;16?8l5c69>70d=jj16?8l5e29>70d=m816?8l5e19>70d=lo16?8l5e99>70d=m?16?8l5e49>70d=m=16?8l52248963e2;9?70=:b;007>;4=k09??5rs33`>5<2sW8:o63<5982`3=:;<k1=i84=274>4b1349>57?k6:\7fp56d=83opR584^01a?853838;563<35820a=:;;=1=9k4=261>42b349:m7?:0:?04g<6<o16?8j515g8964a28?;70=;7;364>;49<0:8h523039506<5:;96<:k;|q;=?6=9=qU44522c0933=::k91;;522c6933=::k?1;;522c:933=::k<1;;522c5933=::0k1;;5228`933=::0i1;;5228f933=::0o1;;5228d933=::h:1;;5234`9667<5:?i6?<i;<16f?45m2789o4=2e9>77>=9jl0q~<;6;292~X5<?16??6515f8964e28>o70=?b;37`>;4:l0:8i52354951b<uz2>6=4<{_:6?855>38;563<1c820`=z{191<7:t^91896422;:270=>9;37b>;49h0:8k5rs9094?3|V1801><;:32:?85613;?i63<1`820`=:;821=9k4}r:2>5<2sW2:70==3;03=>;49?0:8i52300951c<5:;<6<:k;|q;4?6=?rT3<63<20814<=:;8<1=9k4=201>42b349:?7?;d:?051<6<m16?<9515g8yv1a2903wS9i;<12b?471278=;4>4g9>776=9=n01>?>:06e?856;3;?i63<15820`=:;8=1=9h4}r5f>5<>sW=n70=>d;03=>;49?0:9=52332951c<5:;:6<:j;<12a?73m278=>4>4g9>742=9=l01>?8:073?xu>13:14vP=f99]756<V0301?o?:32:?852j3>=70==f;37a>;51>0:8h52321951b<uz3<6=46{_0e2>X5nl1U5:5228d965?<5:?i69;4=3;5>42b349897?;d:?1=2<6<m16??j51428yv?1290jwS<i5:\1ba=Y1?16>4k521;8963e2<901?7::06f?84>>3;?h63=96820c=:;:91=9h4=20g>42b3ty297>5bz\1b1=Y:oi0R4;4=3;g>76>349>n7;=;<0:1?73l2795;4>519>77g=9=n01>=?:073?84>;3;?i63=99820a=z{0>1<7lt^3d0?[4aj2T2863=9b814<=:;<h19<52280951c<5;3?6<:i;<101?73n278?=4>4d9>6<5=9<:01?77:06f?xu>;3:1hvP=f39]6cg<V0901?7m:32:?852j3?;70<61;37a>;51;0:8k52286951c<5:9:6<;?;<11f?7282788?4>519>6<5=9=l01?77:06e?xu>:3:1hvP=f09]6c?<V0801?7n:32:?852j3>m70<61;37`>;51;0:8i52286951b<5:8j6<:i;<105?73m2795>4>4e9>6<>=9<:01?7?:06`?xu5l90;69uQ2e2897>?2;:270==f;37b>;5?o0:8h5rs3af>5<2sW8hi63=86814<=::>o1=9k4=35e>42c3499h7?;f:\7fp6fb=83<pR?mk;<0;2?471279;i4>4d9>62c=9=n01?9i:06e?855l3;?h6s|2ba94?1|V;ih70<75;03=>;5?m0:8i5226g9506<5:9;6<:i;<04f?73m2794=4>4e9~w7ee290<wS<lb:?1<1<58016>:o515g8971d28>m70=<0;37`>;5?k0:9=52292951c<uz8hm7>58z\1gd=::191>=74=35:>42b348<m7?;f:?13f<6<l16?>?515d8971e28>m70<70;37b>{t:j31<77t^3a:?84?:38;563=78820a=::>k1=9j4=35`>42c3498=7?;d:?13g<6<m16>5>51428971?28>h7p}61;29<~X5l11U>h>4^83897172;:270=:b;75?853?3;?i63=66820`=:;:91=9k4}r:e>5<>sW8o:6P=dd9]<c=::?l1>=74=27a>03<5;<=6<:j;<101?73m279::4>4e9>713=9=l0q~6j:18b\7f[4c=2T9hi5Q8d9>63c=:9301>;m:718970228>n70<96;37`>;5>>0:8k523219506<5:>>6<:k;|q;`?6=jrT9h95Q2ea8Z=b<5;<o6?>6;<16f?05348=97?;d:?123<6=916??o515g8970428>n70<98;37`>;4<10:8k5rs9a94?d|V;n87S<kb:\;g>;5>j09<45234`924=::?81=9k4=347>42a349897?:0:?126<6=916>;6515g8962?28>o7p}7b;29`~X5l;1U>io4^9`8970e2;:270=:b;43?84193;?i63=63820c=::?>1=9k4=26:>42a3499n7?;f:?007<6<o16>;=515d8970?28>m7p}7a;29`~X5l81U>i74^9c8970f2;:270=:b;7e?84193;?h63=63820a=::?>1=9j4=20b>437349?57?:0:?126<6<m16>;651428970728>h7p}=e983>1}Y:l201?l7:32:?853?3;?j63=ag820`=z{;o=6=4:{_0f2>;5j>09<4522`g951c<5;km6<:k;<171?7282wx>h;50;4xZ7c2348i:7<?9:?1ea<6<l16>lk515f897ga28>m70=;5;37a>{t:l>1<79t^3g7?84e=38;563=ae820a=::ho1=8>4=3ca>42b348i<7?;d:?00=<6=91v\7f?k<:184\7f[4b;279n94=089>6dg=9=o01?ol:06e?84fj3;><63=b1820`=:;=21=9k4}r0f6?6=0rT9i?522c1965?<5;k26<:j;<0be?73n279mn4>4d9>71?=9=n01?om:06e?84e83;?j6s|2d394??|V;o:70<m2;03=>;5i00:8i522`c951b<5;kh6<:k;<17=?73m279mo4>4e9>6g6=9<:01?o7:06`?xu6;10;6?uQ12:8963e28:i7p}ke;296~Xcm2789o46b:\7fp5dd=838p1?:m:0f1?852j3;8o6s|34594?4|5:?36:84=274>76>3ty8954?:0cx963?2;:270=:7;5g?85383;o:63<2284e>;4:=0<m63<2484e>;4:?0<m63=8382`3=::191;l5229693d=::1?1;l5229:93d=::1<1;l5229593d=::?k1;l5227`93d=::?i1;l5227f93d=::?o1;l5227d93d=::>:1;l5234`9672<5:?o6<:i;<12`?1f349:j79n;<115?1f3ty8944?:3y>70g=??16?87521;8yv52i3:1=9u234c965?<5:?26:j4=277>4b1349>97?k6:?1f7<6l?16>o=57`9>6g2=?h16>o;57`9>6g>=?h16>o857`9>6g1=?h16>4o57`9>6<d=?h16>4m57`9>6<b=?h16>4k57`9>6<`=?h16>l>57`9>70d=:;901>:;:06e?xu4:00;6?u235293a=:;:>1=nh4}r175?6=:r789948d:?00g<6ko1v\7f>;::181\7f852=38;563<45820a=z{::h6=47{<117?1134998799;<111?113499:799;<13f?7dn278>=4>519>774=9=n01>?j:06g?xu48m0;6?u233193a=:;881=nh4}r13a?6=:r78>948d:?05=<6ko1v\7f>>i:181\7f855=3=o70=>9;3`b>{t;8:1<7<t=205>2b<5:;i6<mi;|q1e4<72;q6>o<57e9>6d>=9jl0q~<n5;296~;5j:0<h63=a882gc=z{;k=6=4={<0a0?1c348jm7?lf:\7fp6d1=838p1?l::6f897ge28im7p}=a583>7}::k21;i522`d95f`<uz8j>7>52z?1f3<0l279mi4>cg9~w7g42909w0<m7;5g?84fm3;hj6s|26394?4|5;296:j4=35;>4ea3ty9;84?:3y>6=5=?m16>:751bd8yv40>3:1>v3=8584`>;5?h0:ok5rs354>5<5s483979k;<04f?7dn2wx>::50;0x97>?2>n01?9i:0ae?xu5?;0;6?u229493a=::>n1=nh4}r047?6=:r794:48d:?13`<6ko1v\7f?66:181\7f84>i3=o70<60;3`b>{t:1n1<7<t=3;a>2b<5;3:6<mi;|q1<`<72;q6>4m57e9>6<4=9jl0q~<7f;296~;51m0<h63=9282gc=z{;2j6=4={<0:a?1c348297?lf:\7fp6=d=838p1?7i:6f897?128im7p}=8b83>7}::h:1;i5228595f`<uz8>57>52z?12d<0l279:=4>cg9~w73c2909w0<9b;5g?84193;hj6s|24g94?4|5;<h6:j4=341>4ea3ty99k4?:3y>63b=?m16>;=51bd8yv42i3:1>v3=6d84`>;5><0:ok5rs37a>5<5s48=j79k;<052?7dn2wx>8m50;0x97172>n01?88:0ae?xu4=j0;6?u234`956b<5:?o6<mi;|q1f4<72:q6>lj515d897gb28>m70<nc;3`b>{t:031<7=t=3;6>42a3482:7?;f:?1=1<6ko1v\7f?6>:180\7f840l3;?j63=7d820c=::>i1=nh4}r05=?6=;r79:84>4g9>630=9=l01?8;:0ae?xu4;>0;6>u232795f`<5:8h6<:j;<177?73m2wx?>650;1x964f28im70==c;37b>;4<:0:8k5rs0a3>5<5s499o7?;d:?076<6i91v\7f>=6:181\7f855k3;><63<2c82gc=z{:9j6=4={<11g?7dn278?94>519~w65c2909w0=<0;3`b>;4;;0:8i5rs21f>5<5s498=7?lf:?077<6<l1v\7f>=l:181\7f854<3;?i63<2d82gc=z{:9m6=4={<100?73n278??4>a19~w62b2909w0=;4;3`b>;4<k0:8i5rs271>5<5s49?57?lf:?00d<6<l1v\7f>;>:181\7f853i3;?h63<4982e5=z{:?86=4={<17e?7dn2788o4>519~w6372909w0=;b;37a>;4<?0:ok5rs26g>5<5s49?n7?;f:?006<6i91v\7f>?l:180\7f85613;><63<1c820c=:;8?1=nh4}r3bb?6=:r78=o4>4e9>74g=9jl0q~=;c;296~;4<;0:ok523519506<uz;i=7>52z?05a<0l278=h4>cg9~w4d52909w0=>f;5g?85583;hj6s|1c194?4|5:8:6:j4=201>4ea3ty:n94?:3y>77`=9jl01><j:073?xu4;k0;6?u233g951`<5:8o6<o?;|q2f0<72;q6?9951bd8962128?;7p}<4g83>7}:;=<1=9h4=266>4g73ty:n;4?:2y>740=9jl01>?::073?85603;?h6s|1b394?4|5:;>6<:i;<123?7f82wx=o950;0x967?28?;70=>7;3`b>{t9k21<7<t=201>42a349:=7?lf:\7fp5g?=838p1?l?:0ae?84fn3;><6s|1cc94?4|5;336<mi;<0:3?7282wx=ol50;0x97>728im70<8f;364>{t9ki1<7<t=34;>4ea348=;7?:0:\7fp5gb=838p1>?<:0ae?856:3;?j6s|1cg94?4|5:;?6<mi;<126?7282wx?>850;0x965428im70=;3;37`>{zj;>i6=4>:183\7f!45=389n6F=489K67?<g8n96=44}r06<?6=:rT99552234932=z{:ih6=4={_1`g>;5:?09=;5rs2ae>5<4sW8h46P<cg9>61g=9=k0q~<:3;296~X5=:16>?85649~w6eb2909wS=le:?163<59j1v\7f>j<:181\7f[5c;279>;4=3b9~w7322909wS<:5:?163<102wx?=650;0xZ66?3489:7?<b:\7fp7`2=838pR>k;;<012?43>2wx?i?50;0xZ6b63489:7<=7:\7fp604=838pR?;=;<012?033ty8nn4?:3y]7ge<5;8=6?>k;|q01c<72;qU?8h4=305>4b63ty8oi4?:3y]7fb<5;8=6??8;|q0`7<72;qU?i<4=305>74f3ty89;4?:3y]700<5;8=6<:7;|q025<72;qU?;>4=305>4b03ty8h94?:3y]7a2<5;8=6?=k;|q0<`<72;qU?5k4=305>4`73ty9994?:3y]602<5;8=6;94}r1f1?6=:rT8i8522349611<uz9o<7>52z\0`5=::;<1>?>4}r0`3?6=:rT9nk522349<0=z{;i>6=4={_0aa>;5:?03?6s|2b694?4|V;ho70<=6;:1?xu5k:0;6?uQ2ca8974121;0q~<l2;296~X5jk16>?85819~w7e62909wS<ma:?163<0n2wx>n>50;0xZ7d>3489:79j;|q0a6<72;qU?il4=305>7223ty8i<4?:3y]7ag<5;8=6?:<;|q0a5<72;qU?i74=305>7253ty8hk4?:3y]7a><5;8=6?:>;|q0``<72;qU?i94=305>7273ty8hi4?:3y]7a0<5;8=6?=i;|q0`f<72;qU?i;4=305>75b3ty8<;4?:3y]752<5;8=6<=7;|q0gg<72;qU?n=4=305>7723ty8o44?:3y]7f4<5;8=6??<;|q0g=<72;qU?n?4=305>7753ty8o:4?:3y]7f6<5;8=6??>;|q0g3<72;qU?oh4=305>7773ty8o84?:3y]7gc<5;8=6?>i;|q0g1<72;qU?oj4=305>76b3ty8;h4?:3y]720<5;8=6<k8;|q03f<72;qU?:;4=305>4c23ty8;o4?:3y]722<5;8=6<k;;|q03d<72;qU?:=4=305>4c43ty8;44?:3y]724<5;8=6<k=;|q03=<72;qU?:?4=305>4c63ty8;:4?:3y]726<5;8=6<k?;|q046<72;qU?=?4=305>ac<uz9in7>52z\0f6=::;<1>=64}r1a=?6=:rT8n?522349650<uz9i47>52z\0f4=::;<1>=;4}r1a3?6=:rT8n=522349652<uz9i:7>52z\0ec=::;<1>==4}r1a1?6=:rT8mh522349654<uz9i87>52z\0ea=::;<1>=?4}r1bg?6=:rT8m9522349656<uz9jm7>52z\0e6=::;<1=kk4}r1b=?6=:rT8m?5223495cb<uz9j47>52z\0e4=::;<1=km4}r1b3?6=:rT8m=5223495cd<uz9j:7>52z\0=c=::;<1=ko4}r1b1?6=:rT85h5223495c?<uz92h7>52z\0=0=::;<1=k64}r1:f?6=:rT8595223495c0<uz92m7>52z\0=6=::;<1=k;4}r1:=?6=:rT85?5223495c2<uz9247>52z\0=4=::;<1=k=4}r1:3?6=:rT85=5223495c4<uz92:7>52z\0<c=::;<1=k?4}r1;`?6=:rT8485223495``<uz93n7>52z\0<1=::;<1=hj4}r1;e?6=:rT84>5223495`e<uz9357>52z\0<7=::;<1=hl4}r1;<?6=:rT84<5223495`g<uz93;7>52z\0<5=::;<1=h74}r1;2?6=:rT8;k5223495`><uz9=j7>52z\022=::;<1=ih4}r15`?6=:rT8:;5223495ab<uz9=o7>52z\020=::;<1=im4}r15f?6=:rT8:95223495ad<uz9=m7>52z\026=::;<1=io4}r15=?6=:rT8:?5223495a?<uz9=47>52z\024=::;<1=i64}r3bg?6=9r798o4>d39~yv4203:1>vP=599>2<<5=11/>?651`a8yv57;3:1>vP<009>2<<4881/>?651`g8yv5dk3:1>vP<cb9>2<<4kj1/>?651`f8yv5dm3:1>vP<cd9>2<<4kl1/>?651c28yv5b<3:1>vP<e59>2<<4m=1/>?651cd8yv4d?3:1>vP=bg9>2<<5jo1/>?651b08yv4d=3:1>vP=bd9>2<<5jl1/>?651b18yv4d<3:1>vP=be9>2<<5jm1/>?651b68yv4d;3:1>vP=bb9>2<<5jj1/>?651b78yv4d:3:1>vP=bc9>2<<5jk1/>?651b48yv4d93:1>vP=b`9>2<<5jh1/>?651b58yv4d83:1>vP=b89>2<<5j01/>?651b:8yv57>3:1>vP<059>2<<48=1/>?651b;8yv5703:1>vP<099>2<<4811/>?651bc8yv52>3:1>vP<579>2<<4=?1/>?651b`8yxh2<:0;6>uG23;8yk33<3:1?vF=289~j0222908wE<=9:\7fm110=839pD?<6;|l602<72:qC>?74}o77<?6=;rB9>45rn46:>5<4sA8956sa55c94?5|@;827p`:4c83>6}O:;30qc;;c;297~N5:01vb8:k:180\7fM4512we99k50;1xL74>3td>8k4?:2yK67?<ug?><7>53zJ16<=zf<?:6=4<{I01=>{i<ln1<7?tH30:?xh3n<0;6<9tH30:?xh3n80;6<uG23;8yk2a>3:1=vF=289~j1`?290:wE<=9:\7fm0ce=83;pD?<6;|l7b`<728qC>?74}o6eb?6=9rB9>45rn423>5<6sA8956sa50594?7|@;827p`:1983>4}O:;30qc;>9;295~N5:01vb8?n:182\7fM4512we9<l50;3xL74>3td>=n4?:0yK67?<ug?:h7>51zJ16<=zf<;m6=4>{I01=>{i=;:1<7?tH30:?xh2:80;6<uG23;8yk35:3:1=vF=289~j044290:wE<=9:\7fm172=83;pD?<6;|l660<728qC>?74}o712?6=9rB9>45rn404>5<6sA8956sa53:94?7|@;827p`:2883>4}O:;30qc;=a;295~N5:01vb8<m:182\7fM4512we9?m50;3xL74>3td>>i4?:0yK67?<ug?9i7>51zJ16<=zf<8m6=4>{I01=>{i=::1<7?tH30:?xh2;80;6<uG23;8yk34:3:1=vF=289~j054290:wE<=9:\7fm162=83;pD?<6;|l670<728qC>?74}o702?6=9rB9>45rn414>5<6sA8956sa52:94?7|@;827p`:3883>4}O:;30qc;<a;295~N5:01vb8=m:182\7fM4512we9>m50;3xL74>3td>?i4?:0yK67?<ug?8i7>51zJ16<=zf<9m6=4>{I01=>{i==:1<7?tH30:?xh2<80;6<uG23;8yk2113:1=vF=289~j117290:wE<=9:\7fm02?=83;pD?<6;|l73d<728qC>?74}o64f?6=9rB9>45rn55`>5<6sA8956sa46f94?7|@;827p`;7d83>4}O:;30qc:8f;295~N5:01vb96?:182\7fM4512we85?50;3xL74>3td?4?4?:0yK67?<ug>3?7>51zJ16<=zf=2?6=4>{I01=>{i<1?1<7?tH30:?xh30?0;6<uG23;8yk2??3:1=vF=289~j1>?290:wE<=9:\7fm0=?=83;pD?<6;|l7<d<728qC>?74}o6;f?6=9rB9>45rn5:`>5<6sA8956sa49f94?7|@;827p`;8d83>4}O:;30qc:7f;295~N5:01vb97?:182\7fM4512we84?50;3xL74>3td?5?4?:0yK67?<ug>2?7>51zJ16<=zf=3?6=4>{I01=>{i<0=1<7?tH30:?xh3110;6<uG23;8yk2>13:1=vF=289~j1?f290:wE<=9:\7fm0<d=83;pD?<6;|l7=f<728qC>?74}o6:`?6=9rB9>45rn5;f>5<6sA8956sa48d94?7|@;827p`;a183>4}O:;30qc:n1;295~N5:01vb9o=:182\7fM4512we8l=50;3xL74>3td?m94?:0yK67?<ug>j97>51zJ16<=zf=k=6=4>{I01=>{i<h=1<7?tH30:?xh3i10;6<uG23;8yk2f13:1=vF=289~j1gf290:wE<=9:\7fm0dd=83;pD?<6;|l7ef<728qC>?74}o6b`?6=9rB9>45rn5cf>5<6sA8956sa4`d94?7|@;827p`;b183>4}O:;30qc:m1;295~N5:01vb9l=:182\7fM4512we8o=50;3xL74>3td?n94?:0yK67?<ug>i97>51zJ16<=zf=h=6=4>{I01=>{i<k=1<7?tH30:?xh3j10;6<uG23;8yk2e13:1=vF=289~j1df290:wE<=9:\7fm0gd=83;pD?<6;|l7ff<728qC>?74}o6a`?6=9rB9>45rn5`f>5<6sA8956sa4cd94?7|@;827p`;c183>4}O:;30qc:l1;295~N5:01vb9m=:182\7fM4512we8n=50;3xL74>3td?o94?:0yK67?<ug>h97>51zJ16<=zf=i=6=4>{I01=>{i<j=1<7?tH30:?xh3k10;6<uG23;8yk2d13:1=vF=289~j1ef290:wE<=9:\7fm0fd=83;pD?<6;|l7gf<728qC>?74}o6``?6=9rB9>45rn5af>5<6sA8956sa4bd94?7|@;827p`;d183>4}O:;30qc:k1;295~N5:01vb9j=:182\7fM4512we8i=50;3xL74>3td?h94?:0yK67?<ug>o97>51zJ16<=zf=n=6=4>{I01=>{i<m=1<7?tH30:?xh3l10;6<uG23;8yk2c13:1=vF=289~j1bf290:wE<=9:\7fm0ad=83;pD?<6;|l7`f<728qC>?74}o6g`?6=9rB9>45rn5ff>5<6sA8956sa4ed94?7|@;827p`;e183>4}O:;30qc:j1;295~N5:01vb9k=:182\7fM4512we8h=50;3xL74>3td?i94?:0yK67?<ug>n97>51zJ16<=zf=o=6=4>{I01=>{i<l=1<7?tH30:?xh3m10;6<uG23;8yk33:3:1=vF=289~yx{GHJq=<84;66:17`ezHIHp<pNOPz\7fCD
\ No newline at end of file
diff --git a/bit_fifo.vhd b/bit_fifo.vhd
new file mode 100644 (file)
index 0000000..7e181b4
--- /dev/null
@@ -0,0 +1,144 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           --
+--     solely for design, simulation, implementation and creation of          --
+--     design files limited to Xilinx devices or technologies. Use            --
+--     with non-Xilinx devices or technologies is expressly prohibited        --
+--     and immediately terminates your license.                               --
+--                                                                            --
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
+--     FOR A PARTICULAR PURPOSE.                                              --
+--                                                                            --
+--     Xilinx products are not intended for use in life support               --
+--     appliances, devices, or systems. Use in such applications are          --
+--     expressly prohibited.                                                  --
+--                                                                            --
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
+--     All rights reserved.                                                   --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file bit_fifo.vhd when simulating
+-- the core, bit_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY bit_fifo IS
+       port (
+       clk: IN std_logic;
+       din: IN std_logic_VECTOR(0 downto 0);
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_en: IN std_logic;
+       data_count: OUT std_logic_VECTOR(6 downto 0);
+       dout: OUT std_logic_VECTOR(0 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+END bit_fifo;
+
+ARCHITECTURE bit_fifo_a OF bit_fifo IS
+-- synthesis translate_off
+component wrapped_bit_fifo
+       port (
+       clk: IN std_logic;
+       din: IN std_logic_VECTOR(0 downto 0);
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_en: IN std_logic;
+       data_count: OUT std_logic_VECTOR(6 downto 0);
+       dout: OUT std_logic_VECTOR(0 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+end component;
+
+-- Configuration specification 
+       for all : wrapped_bit_fifo use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+               generic map(
+                       c_rd_freq => 100,
+                       c_wr_response_latency => 1,
+                       c_has_srst => 0,
+                       c_has_rd_data_count => 0,
+                       c_din_width => 1,
+                       c_has_wr_data_count => 0,
+                       c_implementation_type => 0,
+                       c_family => "virtex4",
+                       c_has_wr_rst => 0,
+                       c_wr_freq => 100,
+                       c_underflow_low => 0,
+                       c_has_meminit_file => 0,
+                       c_has_overflow => 0,
+                       c_preload_latency => 1,
+                       c_dout_width => 1,
+                       c_rd_depth => 128,
+                       c_default_value => "BlankString",
+                       c_mif_file_name => "BlankString",
+                       c_has_underflow => 0,
+                       c_has_rd_rst => 0,
+                       c_has_almost_full => 0,
+                       c_has_rst => 1,
+                       c_data_count_width => 7,
+                       c_has_wr_ack => 0,
+                       c_use_ecc => 0,
+                       c_wr_ack_low => 0,
+                       c_common_clock => 1,
+                       c_rd_pntr_width => 7,
+                       c_has_almost_empty => 0,
+                       c_rd_data_count_width => 7,
+                       c_enable_rlocs => 0,
+                       c_wr_pntr_width => 7,
+                       c_overflow_low => 0,
+                       c_prog_empty_type => 0,
+                       c_optimization_mode => 0,
+                       c_wr_data_count_width => 7,
+                       c_preload_regs => 0,
+                       c_dout_rst_val => "0",
+                       c_has_data_count => 1,
+                       c_prog_full_thresh_negate_val => 125,
+                       c_wr_depth => 128,
+                       c_prog_empty_thresh_negate_val => 3,
+                       c_prog_empty_thresh_assert_val => 2,
+                       c_has_valid => 0,
+                       c_init_wr_pntr_val => 0,
+                       c_prog_full_thresh_assert_val => 126,
+                       c_use_fifo16_flags => 0,
+                       c_has_backup => 0,
+                       c_valid_low => 0,
+                       c_prim_fifo_type => "4kx4",
+                       c_count_type => 0,
+                       c_prog_full_type => 0,
+                       c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_bit_fifo
+               port map (
+                       clk => clk,
+                       din => din,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_en => wr_en,
+                       data_count => data_count,
+                       dout => dout,
+                       empty => empty,
+                       full => full);
+-- synthesis translate_on
+
+END bit_fifo_a;
+
diff --git a/compile2b.pl b/compile2b.pl
new file mode 100755 (executable)
index 0000000..82d8e7e
--- /dev/null
@@ -0,0 +1,142 @@
+#!/usr/bin/perl
+###########################################
+# Script file to run the flow
+#
+###########################################
+#
+# Command line for synplify_pro
+#
+
+
+use FileHandle;
+
+
+$ENV{LM_LICENSE_FILE}="1709\@hadeb05";
+
+
+
+$PLD_DEVICE="xc4vlx40-10-ff1148";
+$TOPNAME="trb_v2b_fpga";
+
+
+
+#set -e
+#set -o errexit
+
+system("env| grep LM_");
+
+#$c="/opt/Synplicity/fpga_861/bin/synplify_pro -batch $TOPNAME"."_syn.prj";
+#$c="/opt/Synplicity/fpga_8804/bin/synplify_pro -batch $TOPNAME"."_syn.prj";
+$c="/opt/Synplicity/fpga_89/bin/synplify_pro -disable_rainbow_dongle -batch $TOPNAME"."_syn.prj";
+#$c=("( netcat  -w2 -l -u -p 6001 < data_for_synbatch_6001.raw >/dev/null 2>&1)&  /opt/Synplicity/fpga_89/bin/synplify_pro -batch $TOPNAME"."_syn.prj");
+$r=execute($c, "do_not_exit" );
+
+
+chdir "workdir";
+my $fh = new FileHandle("<trb_v2b_fpga.srr");
+my @a = <$fh>;
+$fh -> close;
+
+#if ($r) { 
+#$c="cat  $TOPNAME.srr";
+#system($c);
+#exit 129; 
+#}
+
+foreach (@a) 
+{
+    if(/\@E:/) 
+    {
+       $c="cat  $TOPNAME.srr";
+       system($c);
+        print "bdabdhsadbhjasdhasldhbas";
+       exit 129;       
+    }
+}
+#
+# Command line to synthesize
+#
+
+#chdir "..";
+#$c="xst -intstyle xflow -ifn $TOPNAME.xst -ofn $TOPNAME.syr";
+#execute($c);
+#chdir "workdir";
+
+#
+# Command line for ngdbuild
+#
+#$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf ../$TOPNAME.ngc $TOPNAME.ngd";
+$c="ngdbuild -p $PLD_DEVICE -nt timestamp -intstyle xflow -uc ../$TOPNAME.ucf -sd ../ $TOPNAME.edf $TOPNAME.ngd";
+execute($c);
+#
+# Command line for fpgafit
+#
+$c="map -detail -u -p $PLD_DEVICE -cm speed -pr b -k 4 -c 100 -tx off -intstyle xflow -o $TOPNAME"."_map.ncd $TOPNAME.ngd $TOPNAME.pcf";
+execute($c);
+
+#
+# Command line for Place & Route
+#
+
+$c="par -w -intstyle xflow -ol std -t 1 $TOPNAME"."_map.ncd $TOPNAME.ncd $TOPNAME.pcf";
+execute($c);
+
+#
+# Command line for genarate programming file (.bit)
+#
+
+
+foreach (<$TOPNAME"."_pad.txt>) {
+    @a=split (/\s*\|\s*/,$_);
+    if( ($a[2] ne "" &&
+         $a[2] ne "Signal Name") && 
+        $a[13] ne "LOCATED"
+        ) 
+    {
+        print "error, pins were assigned automatically:\n$_\n";
+        exit;
+    }
+}
+
+print "_pad.txt tested for automatically assigned pins\n";
+
+#$c="bitgen -w -intstyle ise -g DebugBitstream:No -g Binary:no -g Gclkdel0:11111 -g Gclkdel1:11111 -g Gclkdel2:11111 -g Gclkdel3:11111 -g ConfigRate:4 -g CclkPin:PullUp -g M0Pin:PullUp -g M1Pin:PullUp -g M2Pin:PullUp -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GSR_cycle:6 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No $TOPNAME"; 
+$c="bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g ConfigRate:4 -g CclkPin:PullUp -g M0Pin:PullUp -g M1Pin:PullUp -g M2Pin:PullUp -g ProgPin:PullUp -g DonePin:PullUp -g InitPin:Pullup -g CsPin:Pullup -g DinPin:Pullup -g BusyPin:Pullup -g RdWrPin:Pullup -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g DCMShutdown:Disable -g DisableBandgap:No -g DCIUpdateMode:AsRequired -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g Encrypt:No $TOPNAME.ncd";
+
+execute($c);
+#
+# Command line for generate .stapl file
+#
+
+$c="XIL_IMPACT_ENV_LPT_COMPATIBILITY_MODE=true impact -batch ../impact_batch_b.txt";
+
+execute($c);
+
+
+#ssh depc152 'cd ~/files/vhdl/xilinx; . ~/bin/xilinx_setup; XIL_IMPACT_ENV_LPT_COMPATIBILITY_MODE=true impact -batch conf_xilinx_impact.txt '
+
+#
+#to download file on ETRAX chip
+#
+
+#$c="lftp root:pass@hades18;put RPCBoardContrller;exit";
+#execute($c)
+
+chdir "..";
+
+sub execute {
+    my ($c, $op) = @_;
+    #print "option: $op \n";
+
+    print "\n\ncommand to execute: $c \n";
+    $r=system($c);
+    if($r) { 
+       print "$!";
+       if($op ne "do_not_exit") {
+           exit; 
+       }
+    }
+    
+    return $r;
+
+}
diff --git a/ctu.vhd b/ctu.vhd
new file mode 100644 (file)
index 0000000..ba7d98d
--- /dev/null
+++ b/ctu.vhd
@@ -0,0 +1,352 @@
+library IEEE;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+library ieee;
+library work;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.all;
+
+entity ctu is
+  port (
+    CLK       : in  std_logic;
+    RESET     : in  std_logic;
+    LVL1_TRIGG: in  std_logic_vector(7 downto 0);
+    LVL1_START: out std_logic;
+    LVL1_TAG  : out std_logic_vector(7 downto 0);
+    LVL1_CODE : out std_logic_vector(3 downto 0);
+    LVL1_BUSY : in  std_logic;
+    LVL2_TRIGG: in  std_logic_vector(1 downto 0);
+    LVL2_START: out std_logic_vector(1 downto 0);
+    LVL2_TAG  : out std_logic_vector(7 downto 0);
+    LVL2_BUSY : in  std_logic;
+    LVL2_DOWNSCALING : in std_logic_vector(7 downto 0);
+    CTU_CONTROL: in std_logic_vector(31 downto 0);
+    LVL1_CTU_STATUS : out std_logic_vector(31 downto 0);
+    LVL2_CTU_STATUS : out std_logic_vector(31 downto 0)
+    );
+end ctu;
+architecture ctu of ctu is
+  component edge_to_pulse
+    port (
+      clock     : in  std_logic;
+      en_clk    : in  std_logic;
+      signal_in : in  std_logic;
+      pulse     : out std_logic);
+   end component;
+  component bit_fifo
+    port (
+      clk   : IN  std_logic;
+      din   : IN  std_logic_VECTOR(0 downto 0);
+      rd_en : IN  std_logic;
+      rst   : IN  std_logic;
+      wr_en : IN  std_logic;
+      data_count: OUT std_logic_VECTOR(6 downto 0);
+      dout  : OUT std_logic_VECTOR(0 downto 0);
+      empty : OUT std_logic;
+      full  : OUT std_logic);
+  end component;
+  signal lvl1_trigger_pulse : std_logic_vector(7 downto 0);
+  signal lvl1_trigger_tag : std_logic_vector(7 downto 0);
+  signal lvl1_code_i : std_logic_vector(3 downto 0);
+  signal lvl1_debug_fsm : std_logic_vector(1 downto 0);
+  signal lvl1_trigger_i : std_logic;
+  signal start_trigger_enable : std_logic;
+  signal lvl2_pulser : std_logic;
+  signal lvl2_pulser_trigger : std_logic;
+  signal lvl2_pulser_trigger_i : std_logic;
+  signal lvl2_trigger_i : std_logic;
+  signal lvl2_debug_fsm : std_logic_vector(1 downto 0);
+  signal counter_for_downscaling : std_logic_vector(7 downto 0);
+  type send_lvl2_trigger is (IDLE, SEND_TRIGGER, READ_FIFO, WAIT_FOR_BUSY_1, WAIT_FOR_BUSY_2);
+signal current_state_send_lvl2_trigger, next_state_send_lvl2_trigger : send_lvl2_trigger;
+  type send_lvl1_trigger is (IDLE, SEND_TRIGGER, WAIT_FOR_BUSY_1, WAIT_FOR_BUSY_2);
+signal current_state_send_lvl1_trigger, next_state_send_lvl1_trigger : send_lvl1_trigger;
+  signal data_valid : std_logic_vector(0 downto 0);
+  signal data_valid_out : std_logic_vector(0 downto 0);
+  
+  signal fifo_rd_en : std_logic;
+  signal eneble_write_to_fifo : std_logic;
+  signal fifo_empty : std_logic;
+  signal fifo_full : std_logic;
+  signal fifo_counter : std_logic_vector(6 downto 0);
+  signal lvl2_ctu_busy : std_logic;
+  signal not_lvl2_busy : std_logic;
+  signal lvl2_tag_counter : std_logic_vector(7 downto 0);
+  
+begin
+  LVL1_TRIGGER_PULSE_0 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(0),
+    pulse     => lvl1_trigger_pulse(0));
+  LVL1_TRIGGER_PULSE_1 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(1),
+    pulse     => lvl1_trigger_pulse(1));
+  LVL1_TRIGGER_PULSE_2 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(2),
+    pulse     => lvl1_trigger_pulse(2));
+  LVL1_TRIGGER_PULSE_3 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(3),
+    pulse     => lvl1_trigger_pulse(3));
+  LVL1_TRIGGER_PULSE_4 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(4),
+    pulse     => lvl1_trigger_pulse(4));
+  LVL1_TRIGGER_PULSE_5 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(5),
+    pulse     => lvl1_trigger_pulse(5));
+  LVL1_TRIGGER_PULSE_6 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(6),
+    pulse     => lvl1_trigger_pulse(6));
+  LVL1_TRIGGER_PULSE_7 : edge_to_pulse
+  port map (
+    clock     => CLK,
+    en_clk    => '1',
+    signal_in => LVL1_TRIGG(7),
+    pulse     => lvl1_trigger_pulse(7));
+-------------------------------------------------------------------------------
+-- LVL1
+-------------------------------------------------------------------------------
+LVL1_TAG_COUNT: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then  
+      if RESET = '1' then
+        lvl1_trigger_tag     <= x"fe";--(others => '0');
+      elsif lvl1_trigger_pulse /= x"00" and current_state_send_lvl1_trigger = IDLE and CTU_CONTROL(0) = '1' and lvl2_ctu_busy = '0' and LVL1_BUSY = '0' then
+        lvl1_trigger_tag <= lvl1_trigger_tag + 1;
+      else
+        lvl1_trigger_tag <= lvl1_trigger_tag;
+      end if;
+    end if;
+  end process LVL1_TAG_COUNT;
+  START_TRIGGER: process (CLK, RESET)
+  begin
+    if rising_edge(CLK) then 
+      if RESET = '1' then
+         start_trigger_enable <= '1';
+      elsif current_state_send_lvl1_trigger = WAIT_FOR_BUSY_2 then
+         start_trigger_enable <= '0';
+      else
+         start_trigger_enable <=  start_trigger_enable;
+      end if;
+    end if;
+  end process START_TRIGGER;
+
+  LVL1_CODE_SET: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then
+      if RESET = '1' or start_trigger_enable = '1'then
+        lvl1_code_i <= x"d";
+      elsif lvl1_trigger_pulse(0) = '1' and current_state_send_lvl1_trigger = IDLE and LVL1_BUSY = '0' then
+        lvl1_code_i <= x"1";
+      elsif lvl1_trigger_pulse(1) = '1' and current_state_send_lvl1_trigger = IDLE and LVL1_BUSY = '0' then
+        lvl1_code_i <= x"2";
+      elsif lvl1_trigger_pulse(2) = '1' and current_state_send_lvl1_trigger = IDLE and LVL1_BUSY = '0' then
+        lvl1_code_i <= x"3";
+      elsif lvl1_trigger_pulse(3) = '1' and current_state_send_lvl1_trigger = IDLE and LVL1_BUSY = '0' then
+        lvl1_code_i <= x"4";
+      elsif lvl1_trigger_pulse(4) = '1' and current_state_send_lvl1_trigger = IDLE and LVL1_BUSY = '0' then
+        lvl1_code_i <= x"5";
+      else
+        lvl1_code_i <= lvl1_code_i;  
+      end if;
+    end if;
+  end process LVL1_CODE_SET;
+
+  SEND_LVL1_TRIGGER_FSM : process (CLK,RESET)  
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        current_state_send_lvl1_trigger <= IDLE;
+      else
+        current_state_send_lvl1_trigger <= next_state_send_lvl1_trigger;
+      end if;
+    end if;
+  end process SEND_LVL1_TRIGGER_FSM;
+
+  SEND_LVL1_TRIGGER_PROC: process (LVL1_BUSY,CLK,lvl1_trigger_pulse)
+  begin  
+    case current_state_send_lvl1_trigger is
+      when IDLE =>
+        lvl1_debug_fsm <= "00";
+        lvl1_trigger_i <= '0';
+        if lvl1_trigger_pulse /= x"00" and CTU_CONTROL(0) = '1' and LVL1_BUSY = '0' and lvl2_ctu_busy = '0' then
+          next_state_send_lvl1_trigger <= SEND_TRIGGER;
+        else
+          next_state_send_lvl1_trigger <= IDLE;
+        end if;
+      when SEND_TRIGGER =>
+        lvl1_debug_fsm <= "01";
+        lvl1_trigger_i <= '1';
+        next_state_send_lvl1_trigger <= WAIT_FOR_BUSY_1;
+      when WAIT_FOR_BUSY_1 =>
+        lvl1_debug_fsm <= "10";
+        lvl1_trigger_i <= '0';
+        next_state_send_lvl1_trigger <= WAIT_FOR_BUSY_2;
+      when WAIT_FOR_BUSY_2 =>
+        lvl1_debug_fsm <= "11";
+        lvl1_trigger_i <= '0';
+        if LVL1_BUSY ='1' then
+          next_state_send_lvl1_trigger <= WAIT_FOR_BUSY_2;
+        else
+          next_state_send_lvl1_trigger <= IDLE;  
+        end if;
+      when others =>
+        next_state_send_lvl1_trigger    <= IDLE;
+    end case;   
+  end process SEND_LVL1_TRIGGER_PROC;
+  
+  LVL1_START <= lvl1_trigger_i;
+  LVL1_TAG <= lvl1_trigger_tag;
+  LVL1_CODE <= lvl1_code_i;
+  LVL1_CTU_STATUS <= x"aaa" & '0' & CTU_CONTROL(3 downto 0)& lvl1_debug_fsm  & LVL1_BUSY & lvl1_code_i & lvl1_trigger_tag;
+  -----------------------------------------------------------------------------
+  -- LVL2
+  -----------------------------------------------------------------------------
+  --downscaling
+  lvl2_pulser <= not LVL1_BUSY;
+  LVL2_TRIGGER_PULSER : edge_to_pulse --when external generator is used
+    port map (
+      clock     => CLK,
+      en_clk    => '1',      --enable/disable generator
+      signal_in => lvl2_pulser,
+      pulse     => lvl2_pulser_trigger_i);
+  lvl2_pulser_trigger <= lvl2_pulser_trigger_i and CTU_CONTROL(4); 
+  
+  DOWNSCALING_COUNTER: process (CLK)
+  begin  
+    if rising_edge(CLK) then
+      if RESET = '1' or counter_for_downscaling = x"00" then --LVL2_DOWNSCALING then
+        counter_for_downscaling <= (others => '0');
+       elsif lvl2_pulser_trigger = '1' or LVL2_TRIGG(0) = '1' then
+         counter_for_downscaling <= counter_for_downscaling + 1;
+      else
+        counter_for_downscaling <= counter_for_downscaling;
+      end if;
+    end if;
+  end process DOWNSCALING_COUNTER;
+  --from MU
+  SYNCH_VALID_AND_WRITE: process (CLK, RESET)
+  begin  
+    if rising_edge(CLK) then 
+      if RESET = '1' then         
+        eneble_write_to_fifo <= '0';
+        data_valid(0) <= '0';
+      elsif counter_for_downscaling = x"00" then
+        
+        eneble_write_to_fifo <= lvl2_pulser_trigger or LVL2_TRIGG(0);
+        data_valid(0) <= LVL2_TRIGG(1);-- or CTU_CONTROL(4);
+      else
+        eneble_write_to_fifo <= lvl2_pulser_trigger or LVL2_TRIGG(0);
+        data_valid(0) <= '1';
+      end if;
+    end if;
+  end process SYNCH_VALID_AND_WRITE;
+  not_lvl2_busy <= not LVL2_BUSY;
+--    LVL2_FIFO_UP : edge_to_pulse 
+--      port map (
+--        clock     => CLK,
+--        en_clk    => '1',    
+--        signal_in => not_lvl2_busy,
+--        pulse     => fifo_rd_en);         --next data from fifo
+  BIT_FIFO_FOR_LVL2: bit_fifo
+    port map (
+        clk   => CLK,
+        din   => data_valid,
+        rd_en => fifo_rd_en,
+        rst   => RESET,
+        wr_en => eneble_write_to_fifo,
+        data_count => fifo_counter,
+        dout  => data_valid_out,
+        empty => fifo_empty,
+        full  => fifo_full);
+  LVL2_START(1) <= data_valid_out(0);
+  lvl2_ctu_busy <= '1' when fifo_counter > "0111111" else '0'; 
+  LVL2_TAG_CHECK: process (CLK, RESET)
+  begin
+    if rising_edge(CLK) then 
+      if RESET = '1' then         
+        lvl2_tag_counter <= (others => '0');
+      elsif lvl2_trigger_i = '1' then
+        lvl2_tag_counter <= lvl2_tag_counter + 1;
+      else
+        lvl2_tag_counter <= lvl2_tag_counter;
+      end if;
+    end if;
+  end process LVL2_TAG_CHECK;
+  
+  SEND_LVL2_TRIGGER_FSM : process (CLK,RESET)  
+  begin
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        current_state_send_lvl2_trigger <= IDLE;
+      else
+        current_state_send_lvl2_trigger <= next_state_send_lvl2_trigger;
+      end if;
+    end if;
+  end process SEND_LVL2_TRIGGER_FSM;
+
+  SEND_LVL2_TRIGGER_PROC: process (fifo_empty,CLK,LVL2_BUSY)
+  begin  
+    case current_state_send_lvl2_trigger is
+      when IDLE =>
+        lvl2_debug_fsm <= "00";
+        lvl2_trigger_i <= '0';
+        fifo_rd_en <= '0';
+        if fifo_empty = '0' and LVL2_BUSY = '0' then
+          next_state_send_lvl2_trigger <= READ_FIFO;
+        else
+          next_state_send_lvl2_trigger <= IDLE;
+        end if;
+      when READ_FIFO =>
+        lvl2_debug_fsm <= "00";
+        lvl2_trigger_i <= '0';
+        fifo_rd_en <= '1';
+        next_state_send_lvl2_trigger <= SEND_TRIGGER;
+      when SEND_TRIGGER =>
+        lvl2_debug_fsm <= "01";
+        lvl2_trigger_i <= '1';
+        fifo_rd_en <= '0';
+        next_state_send_lvl2_trigger <= WAIT_FOR_BUSY_1;
+      when WAIT_FOR_BUSY_1 =>
+        lvl2_debug_fsm <= "10";
+        lvl2_trigger_i <= '0';
+        fifo_rd_en <= '0';
+        next_state_send_lvl2_trigger <= WAIT_FOR_BUSY_2;
+      when WAIT_FOR_BUSY_2 => 
+        lvl2_debug_fsm <= "11";
+        lvl2_trigger_i <= '0';
+        if LVL2_BUSY ='0' then
+          next_state_send_lvl2_trigger <= IDLE;
+        else
+          next_state_send_lvl2_trigger <= WAIT_FOR_BUSY_2;  
+        end if;
+      when others =>
+        next_state_send_lvl2_trigger    <= IDLE;
+    end case;   
+  end process SEND_LVL2_TRIGGER_PROC;
+  LVL2_TAG <= lvl2_tag_counter;
+  LVL2_START(0) <= lvl2_trigger_i;
+  LVL2_CTU_STATUS <= "00" & lvl2_tag_counter & counter_for_downscaling( 7 downto 0) & lvl2_ctu_busy & fifo_empty & fifo_full & fifo_counter & CTU_CONTROL(7 downto 4);
+end ctu;
index a192d36ef08f4881e45179c2fe5341bd90c18786..4b6c648da9b2d6a8288ce82605d93281430b1e96 100644 (file)
@@ -1,3 +1,13 @@
+-- po resecie wprowadzic w idle - linia bms - juz jest week pull up
+-- sli to nie pomoze moze trzeba sprawdzic(8ustawic) busylock ?raczej nie bo
+-- tojest output
+-- jak powiedzeic ze host jest masterem ?
+-- moze boff pomoze ? 
+--   obserwowac msh, ms1-0
+--   sprobowac brst
+--   sprawdzic br0-7 bus request from others dsps
+--   TMR0E - powinno pulsowac chyba
+--   hme in syscon mowi o szerokosci szyny ?
 library IEEE;
 use IEEE.STD_LOGIC_1164.all;
 use IEEE.STD_LOGIC_ARITH.all;
@@ -53,14 +63,8 @@ architecture dsp_interface of dsp_interface is
   signal reg_address_dsp, next_address_dsp  : std_logic_vector(31 downto 0);
   signal reg_write_dsp_data, next_write_dsp_data : std_logic_vector(31 downto 0);
   signal reg_read_dsp_data, next_read_dsp_data : std_logic_vector(31 downto 0);
-
-  
-begin  -- behavioural
-
+begin 
   DEBUGSTATE_MACHINE(2 downto 0) <= debug_register;
-
-
-
   REGISTER_ADDR_DATA_MODE : process (CLK)  --in this way the reset is syn with the
                                            --incoming clock
   begin
@@ -83,206 +87,172 @@ begin  -- behavioural
   begin
 --!!default vaue!!that i can change in
 --another state, they are default for all the machine
-
---INOUT
-    DSP_DATA_OUT      <= (others => 'Z');
-   -- INTERNAL_DATA <= (others => 'Z');
-    WRH           <= 'Z';
-    WRL           <= 'Z';   --in for virtex to read dsp(it's out =0 if I
-                                        --write into dsp)
+--IN
+    DSP_DATA_OUT              <= (others => 'Z');
+    WRH                       <= 'Z';
+    WRL                       <= 'Z';   --in for virtex to read dsp(it's out =0 if I
+                                --write into dsp)
 --OUT
-    HBR_OUT         <= '1';
-    ADDRESS_DSP     <= (others => 'Z');
-    VALID_DATA_SENT <= '0';
-    RD_OUT          <= 'Z';
-    debug_register  <= "000";
-    BRST <= 'Z';
---    BRST <= '1';    --write in main entity TLD !!!!
-
-    next_read_dsp_data <= reg_read_dsp_data;
-    next_address_dsp   <= reg_address_dsp;
-    next_write_dsp_data <= reg_write_dsp_data;
-    next_state    <= IDLE;
-
-    
-    case current_state is
-      when IDLE                    =>
-        debug_register  <= "000";
-        HBR_OUT         <= '1';
-        if TRIGGER = '1' and R_W_ENABLE = '1' then    --read when high
-          next_address_dsp <= INTERNAL_ADDRESS;
-          next_state    <= READ_DSP_WAIT_FOR_HBR;
-        elsif TRIGGER = '1' and R_W_ENABLE = '0'then  --write into the dsp bus
-          next_state    <= WRITE_DSP_WAIT_FOR_HBR;
-          next_address_dsp <= INTERNAL_ADDRESS;
-          next_write_dsp_data <= INTERNAL_DATA_IN;
-        else
-          next_state    <= IDLE;
-        end if;
-
-      when READ_DSP_WAIT_FOR_HBR =>
-        debug_register  <= "001";
-        HBR_OUT         <= '0';
-        if HBG_IN = '0' then  
-          next_state    <= READ_DSP_MEMORY_NEXT;
-        else
-          next_state    <= READ_DSP_WAIT_FOR_HBR;
-        end if;
-        
-      when READ_DSP_MEMORY_NEXT =>
-        debug_register  <= "010";
-        ADDRESS_DSP <= reg_address_dsp;
---      DSP_DATA_OUT    <= (others  => x"a5a5a5a5");
-        DSP_DATA_OUT    <= (others  => 'Z');
-        RD_OUT      <= '0';
-        WRL         <= '1';
-        WRH         <= '1';
-        HBR_OUT     <= '0';
-        BRST <= '1';
-       -- next_state  <= READ_DSP_WAIT1;
-        next_state  <= READ_DSP_DUMMY;
-
-      when READ_DSP_DUMMY =>
-        debug_register  <= "010";
-        ADDRESS_DSP <= reg_address_dsp;
-        DSP_DATA_OUT    <= (others  => 'Z');
-        RD_OUT      <= '0';
-        WRL         <= '1';
-        WRH         <= '1';
-        HBR_OUT     <= '0';
-        BRST <= '1';
-        next_state  <= READ_DSP_WAIT1;
-        
-      when READ_DSP_WAIT1 =>
-         debug_register  <= "011";
-         next_state <= READ_DSP_WAIT2;
-         RD_OUT      <= '1';
-         WRL         <= '1';
-         WRH         <= '1';
-         HBR_OUT     <= '0';
-         BRST <= '1';
-
-      when READ_DSP_WAIT2 =>
-         debug_register  <= "100";
-         next_state <= READ_DSP_WAIT3;
-         RD_OUT      <= '1';
-         WRL         <= '1';
-         WRH         <= '1';
-         HBR_OUT     <= '0';
-         BRST <= '1';
-         
-      when READ_DSP_WAIT3 =>
-        debug_register  <= "101";
-         next_state <= READ_DSP_WAIT4;
-         RD_OUT      <= '1';
-         WRL         <= '1';
-         WRH         <= '1';
-         HBR_OUT     <= '0';
-        BRST <= '1';
-
-      when READ_DSP_WAIT4 =>
-        debug_register  <= "101";
-         next_state <= READ_DSP_MEMORY;
-         RD_OUT      <= '1';
-         WRL         <= '1';
-         WRH         <= '1';
-         HBR_OUT     <= '0';
-        BRST <= '1';
-        
-      when READ_DSP_MEMORY  =>
-        debug_register  <= "110";
-         RD_OUT      <= '1';
-         WRL         <= '1';
-         WRH         <= '1';
-         HBR_OUT     <= '0';
-        BRST <= '1';
-        if ACK = '0' then
-          next_state    <= READ_DSP_MEMORY;
+    HBR_OUT                   <= '1';
+    ADDRESS_DSP               <= (others => 'Z');
+    VALID_DATA_SENT           <= '0';
+    RD_OUT                    <= 'Z';
+    debug_register            <= "000";
+    BRST                      <= 'Z';
+    next_read_dsp_data        <= reg_read_dsp_data;
+    next_address_dsp          <= reg_address_dsp;
+ --   next_write_dsp_data       <= reg_write_dsp_data;
+    next_state                <= IDLE;
+  case current_state is
+    when IDLE                          =>
+      VALID_DATA_SENT   <= '0';
+      debug_register        <= "000";
+      ADDRESS_DSP    <= (others => 'Z');
+      DSP_DATA_OUT   <= (others => 'Z');
+      RD_OUT         <= 'Z';
+      WRL            <= 'Z';
+      WRH            <= 'Z';
+      HBR_OUT        <= '1';
+      BRST           <= '1';
+      if TRIGGER = '1' and R_W_ENABLE = '1' then    --read when high
+        next_address_dsp    <= INTERNAL_ADDRESS;
+        next_state          <= READ_DSP_WAIT_FOR_HBR;
+      elsif TRIGGER = '1' and R_W_ENABLE = '0'then  --write into the dsp bus
+        next_state          <= WRITE_DSP_WAIT_FOR_HBR;
+        next_address_dsp    <= INTERNAL_ADDRESS;
+        next_write_dsp_data <= INTERNAL_DATA_IN;
+      else
+        next_state   <= IDLE;
+      end if;
+    when READ_DSP_WAIT_FOR_HBR  =>
+        debug_register <= "001";
+        HBR_OUT        <= '0';
+        if HBG_IN = '0' then
+          next_state   <= READ_DSP_MEMORY_NEXT;
         else
-          next_state    <= SENT_DATA_TO_INTERNAL_ENTITY;
-          next_read_dsp_data <= DSP_DATA_IN;
+          next_state   <= READ_DSP_WAIT_FOR_HBR;
         end if;
-
-         
-      when SENT_DATA_TO_INTERNAL_ENTITY =>
-        debug_register  <= "111";
-        VALID_DATA_SENT <= '1';
-        INTERNAL_DATA_OUT   <= reg_read_dsp_data;
-        next_state      <= IDLE;
-
+    when READ_DSP_MEMORY_NEXT   =>
+      debug_register <= "010";
+      ADDRESS_DSP    <= reg_address_dsp;
+      DSP_DATA_OUT   <= (others => 'Z');
+      RD_OUT         <= '0';
+      WRL            <= '1';
+      WRH            <= '1';
+      HBR_OUT        <= '0';
+      BRST           <= '1';
+      next_state     <= READ_DSP_DUMMY;
+    when READ_DSP_DUMMY         =>
+      debug_register <= "010";
+      ADDRESS_DSP    <= reg_address_dsp;
+      DSP_DATA_OUT   <= (others => 'Z');
+      RD_OUT         <= '0';
+      WRL            <= '1';
+      WRH            <= '1';
+      HBR_OUT        <= '0';
+      BRST                 <= '1';
+      next_state     <= READ_DSP_WAIT1;
+    when READ_DSP_WAIT1 =>
+      debug_register <= "011";
+      next_state     <= READ_DSP_WAIT2;
+      RD_OUT         <= '1';
+      WRL            <= '1';
+      WRH            <= '1';
+      HBR_OUT        <= '0';
+      BRST           <= '1';
+    when READ_DSP_WAIT2 =>
+      debug_register <= "100";
+      next_state     <= READ_DSP_WAIT3;
+      RD_OUT         <= '1';
+      WRL            <= '1';
+      WRH            <= '1';
+      HBR_OUT        <= '0';
+      BRST           <= '1';
+    when READ_DSP_WAIT3 =>
+      debug_register <= "101";
+      next_state     <= READ_DSP_WAIT4;
+      RD_OUT         <= '1';
+      WRL            <= '1';
+      WRH            <= '1';
+      HBR_OUT        <= '0';
+      BRST                 <= '1';
+    when READ_DSP_WAIT4               =>
+      debug_register       <= "101";
+      next_state           <= READ_DSP_MEMORY;
+      RD_OUT               <= '1';
+      WRL                  <= '1';
+      WRH                  <= '1';
+      HBR_OUT              <= '0';
+      BRST                 <= '1';
+    when READ_DSP_MEMORY              =>
+      debug_register       <= "110";
+      RD_OUT               <= '1';
+      WRL                  <= '1';
+      WRH                  <= '1';
+      HBR_OUT              <= '0';
+      BRST                 <= '1';
+      if ACK = '0' then
+        next_state         <= READ_DSP_MEMORY;
+      else
+        next_state         <= SENT_DATA_TO_INTERNAL_ENTITY;
+        next_read_dsp_data <= DSP_DATA_IN;
+      end if;
+    when SENT_DATA_TO_INTERNAL_ENTITY =>
+      debug_register    <= "111";
+      VALID_DATA_SENT   <= '1';
+      INTERNAL_DATA_OUT <= reg_read_dsp_data;
+      next_state        <= IDLE;
         -----------------------------------------------------------------------
         -- WRITING part
         -----------------------------------------------------------------------
-      when WRITE_DSP_WAIT_FOR_HBR =>
-        debug_register  <= "001";
-        HBR_OUT         <= '0';
-        if HBG_IN = '0' then  
-          next_state    <= WRITE_DSP_DUMMY;
+      when WRITE_DSP_WAIT_FOR_HBR       =>
+        debug_register    <= "001";
+        HBR_OUT           <= '0';
+        if HBG_IN = '0' then
+          next_state      <= WRITE_DSP_DUMMY;
         else
-          next_state    <= WRITE_DSP_WAIT_FOR_HBR;
+          next_state      <= WRITE_DSP_WAIT_FOR_HBR;
         end if;
-
-      when WRITE_DSP_DUMMY =>
-        debug_register  <= "111";
-        HBR_OUT         <= '0';
-        ADDRESS_DSP     <= (others => '0');
-        WRL             <= '1';
-        WRH             <= '1';
-        RD_OUT          <= '1';
-        BRST <= '1';
-        next_state    <= WRITE_DSP_MEMORY_NEXT;
-        
-      when WRITE_DSP_MEMORY_NEXT =>
-        debug_register  <= "010";
-          DSP_DATA_OUT        <= x"5a5a5a5a";
-        ADDRESS_DSP     <= reg_address_dsp;
-        WRL             <= '0';
-        WRH             <= '1';
-        HBR_OUT         <= '0';
-        RD_OUT          <= '1';
-        BRST <= '1';
-        next_state <= WRITE_DSP_MEMORY;
-        
-      when WRITE_DSP_MEMORY          =>
+      when WRITE_DSP_DUMMY              =>
+        debug_register    <= "111";
+        HBR_OUT           <= '0';
+        ADDRESS_DSP       <= (others    => '0');
+        WRL               <= '1';
+        WRH               <= '1';
+        RD_OUT            <= '1';
+        BRST              <= '1';
+        DSP_DATA_OUT      <= next_write_dsp_data;
+        next_state        <= WRITE_DSP_MEMORY_NEXT;
+      when WRITE_DSP_MEMORY_NEXT        =>
+        debug_register    <= "010";
+        DSP_DATA_OUT      <= next_write_dsp_data;-- (others    => 'Z') ;
+        ADDRESS_DSP       <= reg_address_dsp;
+        WRL               <= '0';
+        WRH               <= '1';
+        HBR_OUT           <= '0';
+        RD_OUT            <= '1';
+        BRST              <= '1';
+        next_state        <= WRITE_DSP_MEMORY;
+      when WRITE_DSP_MEMORY             =>
         debug_register    <= "011";
-        DSP_DATA_OUT        <= reg_write_dsp_data;
+        DSP_DATA_OUT      <= next_write_dsp_data;
         WRL             <= '1';
         WRH             <= '1';
         HBR_OUT         <= '0';
         RD_OUT          <= '1';
-        BRST <= '1';
-
+        BRST            <= '1';
         if ACK = '1' then
-          next_state <= WAIT_ACKNOWLEDGMENT;
+          next_state    <= WAIT_ACKNOWLEDGMENT;
         else
-          next_state <= WRITE_DSP_MEMORY;
+          next_state    <= WRITE_DSP_MEMORY;
         end if;
-
       when WAIT_ACKNOWLEDGMENT =>
         VALID_DATA_SENT <= '1';
         next_state      <= IDLE;
-
-      when others =>
-        next_state <= IDLE;
-
+      when others              =>
+        next_state      <= IDLE;
     end case;
   end process;
-
-  ------------------------------------------------------------------------------
-  -- SINCRONISE WITH OTHER ENTITY OF MAREK  --------------------------------------
-  ------------------------------------------------------------------------------
-  ------------------------------------------------------------------------------
---   clock_output: process (CLK, RESET)
---   begin                              -- process clocke_output
--- if rising_edge(CLK) then             -- rising clock edge
---       if RESET = '1' then         
---         INTERNAL_DATA <= "0000";
---       else
---       reg_internal_data <= next_internal_data;
---       end if;
---     end if;
---   end process clock_output;
-
 end dsp_interface;
 
 
index f386c691999d2a0f4798e745cf3be9bd6ca93ac9..c1628f385e94b8f07cb1c75c43cfcfc93d7699fc 100644 (file)
@@ -1,26 +1,13 @@
 library IEEE;
--- use IEEE.STD_LOGIC_1164.ALL;
--- use IEEE.STD_LOGIC_ARITH.ALL;
- use IEEE.STD_LOGIC_UNSIGNED.ALL;
--- use IEEE.NUMERIC_STD.all;
-
--- -- use work.support.all;
-   library UNISIM;
-   use UNISIM.VCOMPONENTS.all;
--- use ieee.numeric_std.all; 
--- use ieee.std_logic_arith.all; 
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
 library ieee;
 library work;
-
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
---library unisim;
---use unisim.all;
 use work.all;
--- --  Uncomment the following lines to use the declarations that are
--- --  provided for instantiating Xilinx primitive components.
--- library UNISIM;
--- use UNISIM.VComponents.all;
+
 
 entity dtu_interface is
   
@@ -78,6 +65,7 @@ signal lvl1_trigger_bus_pulse  : std_logic;
 signal lvl1_trigger_data_bus_pulse : std_logic;
 signal lvl1_trigger_bus_pulse_synch : std_logic;
 signal lvl1_trigger_data_bus_pulse_synch : std_logic;
+signal lvl1_error_bus_i : std_logic;
   
 signal lvl2_code_i : std_logic_vector(3 downto 0);
 signal lvl2_tag_i : std_logic_vector(7 downto 0);
@@ -89,6 +77,7 @@ signal lvl2_trigger_bus_pulse  : std_logic;
 signal lvl2_trigger_data_bus_pulse : std_logic;
 signal lvl2_trigger_bus_pulse_synch : std_logic;
 signal lvl2_trigger_data_bus_pulse_synch : std_logic;
+signal lvl2_error_bus_i : std_logic;
 
 signal lvl2_fifo_address_in : std_logic_vector(3 downto 0):=x"0";
 signal lvl2_fifo_address_out : std_logic_vector(3 downto 0):=x"0";
@@ -143,7 +132,6 @@ begin  -- dtu_interface
       end if;
     end if;
   end process LVL1_COUNTER_FOR_CODE_AND_TAG;
-
   LVL1_SAVE_CODE_AND_TAG: process (CLK, RESET,lvl1_trigger_bus_pulse_synch,lvl1_trigger_data_bus_pulse_synch,lvl1_trigger_counter)
   begin  
     if rising_edge(CLK) then
@@ -172,31 +160,30 @@ begin  -- dtu_interface
     pulse     => lvl1_trigger_i );
   
   LVL1_TRIGGER <= lvl1_trigger_i;
-  LVL1_BUSY_BUS <= LVL1_BUSY;
+  LVL1_BUSY_BUS <= LVL1_BUSY;-- or lvl1_error_bus_i;
   LVL1_COUNT_TRIGGERS: process (CLK, RESET, lvl1_trigger_bus_pulse_synch)
   begin 
     if rising_edge(CLK) then
       if RESET = '1' then 
-         lvl1_triggers_counter <= x"00";
+         lvl1_triggers_counter <= x"ff";
       elsif lvl1_trigger_bus_pulse_synch = '1' then
         lvl1_triggers_counter <= lvl1_triggers_counter +1;
+      else
+        lvl1_triggers_counter <= lvl1_triggers_counter;
       end if;
     end if;
   end process LVL1_COUNT_TRIGGERS;
 LVL1_CHECK_TAG: process (CLK, RESET, lvl1_trigger_i,lvl1_triggers_counter,lvl1_tag_i )
 begin  
-  if RESET = '1' then
-    LVL1_ERROR_BUS <= '0';
   if rising_edge(CLK) then
-    if lvl1_trigger_i = '1' then
-      if lvl1_triggers_counter /= lvl1_tag_i then
-        LVL1_ERROR_BUS <= '1';
-      end if;
+    if RESET = '1' then
+      lvl1_error_bus_i <= '0';
+    elsif lvl1_trigger_i = '1' and (lvl1_triggers_counter /= lvl1_tag_i)then
+        lvl1_error_bus_i <= '1';
     end if;
   end if;
-end if;
 end process LVL1_CHECK_TAG;
-  
+  LVL1_ERROR_BUS <= '0';--lvl1_error_bus_i;
   
 -----------------------------------------------------------------------------
 -- LVL2
@@ -305,7 +292,7 @@ lvl2_fifo_we_in <= lvl2_trigger_counter(0) and lvl2_trigger_counter(1);
   lvl2_fifo_full <= '0' when fifo_counter < x"e" else '1';
   lvl2_fifo_not_empty <= '1' when fifo_counter > x"0" else '0';
 --  LVL2_BUSY_BUS <= LVL2_BUSY or lvl2_fifo_full;
-    LVL2_BUSY_BUS <= lvl2_fifo_full;
+    LVL2_BUSY_BUS <= lvl2_fifo_full;-- or lvl2_error_bus_i;
   SEND_LVL2_TRIGGER_FSM : process (CLK,RESET)  
   begin
     if rising_edge(CLK) then
@@ -342,5 +329,28 @@ lvl2_fifo_we_in <= lvl2_trigger_counter(0) and lvl2_trigger_counter(1);
     end case;   
   end process SEND_LVL2_TRIGGER_PROC;
   LVL2_TRIGGER <= lvl2_trigger_i;
+   LVL2_COUNT_TRIGGERS: process (CLK, RESET, lvl2_trigger_bus_pulse_synch)
+   begin 
+     if rising_edge(CLK) then
+       if RESET = '1' then 
+          lvl2_triggers_counter <= x"00";
+       elsif LVL2_TRB_ACK = '1' then
+         lvl2_triggers_counter <= lvl2_triggers_counter +1;
+       else
+         lvl2_triggers_counter <= lvl2_triggers_counter;
+       end if;
+     end if;
+   end process LVL2_COUNT_TRIGGERS;
+ LVL2_CHECK_TAG: process (CLK, RESET, lvl2_trigger_i,lvl2_triggers_counter,lvl2_fifo_data_out )
+ begin  
+   if rising_edge(CLK) then
+     if RESET = '1' then
+       lvl2_error_bus_i <= '0';
+     elsif lvl2_trigger_i = '1' and (lvl2_triggers_counter /= lvl2_fifo_data_out(7 downto 0))then
+         lvl2_error_bus_i <= '1';
+     end if;
+   end if;
+ end process LVL2_CHECK_TAG;
+   LVL2_ERROR_BUS <= '0';--lvl2_error_bus_i;
 end dtu_interface;
 
index f5b09395a1d2284c3fe468800e5f5b594da66769..5df2a9463fa8b33e0531d0ba371c8d687542dc9f 100755 (executable)
@@ -38,34 +38,17 @@ entity etrax_interface is
     FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
     FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
     FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_06        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_07        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_06        : out   std_logic_vector(31 downto 0);
+    FPGA_REGISTER_07        : out   std_logic_vector(31 downto 0);
     FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
     FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_10        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_11        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_12        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_13        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_14        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_15        : in    std_logic_vector(31 downto 0);
-    FPGA_REGISTER_16        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_17        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_18        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_19        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_20        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_21        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_22        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_23        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_24        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_25        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_26        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_27        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_28        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_29        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_30        : out   std_logic_vector(31 downto 0);
-    FPGA_REGISTER_31        : out   std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
     EXTERNAL_RESET          : out   std_logic;
     LVL2_VALID              : in    std_logic
+  --  DEBUG_REGISTER_OO       : out   std_logic_vector(31 downto 0)
     );
 end etrax_interface;
 architecture etrax_interface of etrax_interface is
@@ -93,7 +76,6 @@ architecture etrax_interface of etrax_interface is
   signal saved_data : std_logic_vector(31 downto 0);
   signal saved_data_fpga : std_logic_vector(31 downto 0);
   
-  signal data_to_etrax_valid : std_logic;
   signal fpga_register_00_i : std_logic_vector(31 downto 0);
   signal fpga_register_01_i : std_logic_vector(31 downto 0);
   signal fpga_register_02_i : std_logic_vector(31 downto 0);
@@ -104,45 +86,29 @@ architecture etrax_interface of etrax_interface is
   signal fpga_register_07_i : std_logic_vector(31 downto 0);
   signal fpga_register_08_i : std_logic_vector(31 downto 0);
   signal fpga_register_09_i : std_logic_vector(31 downto 0);
-  signal fpga_register_10_i : std_logic_vector(31 downto 0);
-  signal fpga_register_11_i : std_logic_vector(31 downto 0);
-  signal fpga_register_12_i : std_logic_vector(31 downto 0);
-  signal fpga_register_13_i : std_logic_vector(31 downto 0);
-  signal fpga_register_14_i : std_logic_vector(31 downto 0);
-  signal fpga_register_15_i : std_logic_vector(31 downto 0);
-  signal fpga_register_16_i : std_logic_vector(31 downto 0);
-  signal fpga_register_17_i : std_logic_vector(31 downto 0);
-  signal fpga_register_18_i : std_logic_vector(31 downto 0);
-  signal fpga_register_19_i : std_logic_vector(31 downto 0);
-  signal fpga_register_20_i : std_logic_vector(31 downto 0);
-  signal fpga_register_21_i : std_logic_vector(31 downto 0);
-  signal fpga_register_22_i : std_logic_vector(31 downto 0);
-  signal fpga_register_23_i : std_logic_vector(31 downto 0);
-  signal fpga_register_24_i : std_logic_vector(31 downto 0);
-  signal fpga_register_25_i : std_logic_vector(31 downto 0);
-  signal fpga_register_26_i : std_logic_vector(31 downto 0);
-  signal fpga_register_27_i : std_logic_vector(31 downto 0);
-  signal fpga_register_28_i : std_logic_vector(31 downto 0);
-  signal fpga_register_29_i : std_logic_vector(31 downto 0);
-  signal fpga_register_30_i : std_logic_vector(31 downto 0);
-  signal fpga_register_31_i : std_logic_vector(31 downto 0);
-  signal counter_for_test : std_logic_vector(16 downto 0);
+  signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0B_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0C_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0D_i : std_logic_vector(31 downto 0);
   signal saved_external_data : std_logic_vector(31 downto 0);
-  signal debug_reg_00 : std_logic_vector(3 downto 0);
-  signal debug_reg_01 : std_logic_vector(3 downto 0);
-  signal debug_reg_02 : std_logic_vector(3 downto 0);
-  signal debug_reg_03 : std_logic_vector(3 downto 0);
-  signal etrax_data_pulse_0 : std_logic;
-  signal etrax_data_pulse_1 : std_logic;
-  signal etrax_data_pulse_2 : std_logic;
-  signal etrax_data_pulse_3: std_logic;
-  signal debug_reg_04 : std_logic_vector(15 downto 0);
   signal etrax_is_ready_to_read_i : std_logic;
-  signal pulse_clock : std_logic;
   signal lvl2_not_valid_pulse : std_logic;
   signal counter_for_pulses : std_logic_vector(2 downto 0);
+  signal internal_reset_i : std_logic;
 
 begin
+    MAKE_RESET: process (CLK, ETRAX_DATA_BUS_C(16),ETRAX_DATA_BUS_C(17))
+    begin 
+      if rising_edge(CLK) then 
+        if (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then  
+          internal_reset_i <= '1';
+        elsif ETRAX_DATA_BUS_C(16)='0' and ETRAX_DATA_BUS_C(17)='0' then
+          internal_reset_i <= '0';
+        else
+          internal_reset_i <= '0';
+        end if;
+      end if;
+    end process MAKE_RESET;
   ETRAX_TRIGG_PULSER      : edge_to_pulse
     port map (
       clock     => CLK,
@@ -155,14 +121,12 @@ begin
       en_clk    => DATA_VALID,--'1',
       signal_in => ETRAX_DATA_BUS_C(17),
       pulse     => etrax_is_ready_to_read_i);
-  MAKE_PULSES: process (CLK, RESET)
+  MAKE_PULSES: process (CLK, internal_reset_i)
   begin  
     if rising_edge(CLK) then 
-      if RESET = '1' then
+      if internal_reset_i = '1' then 
         counter_for_pulses <= "000";
-   --     pulse_clock <= '0';
       else
---        pulse_clock <= not pulse_clock;
        counter_for_pulses <= counter_for_pulses + 1; 
       end if;
     end if;
@@ -171,19 +135,8 @@ begin
     port map (
       clock     => CLK,
       en_clk    => '1',
---      signal_in => pulse_clock,
       signal_in => counter_for_pulses(2),
       pulse     => lvl2_not_valid_pulse);
---   ETRAX_READY_CLOCKED : process (CLK, RESET,ETRAX_DATA_BUS_C(16),ETRAX_DATA_BUS_C(17))
---   begin  
---     if rising_edge(CLK) then  
---       if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
---         ETRAX_RW_STATE_currentstate <= IDLE;
---       else
---         ETRAX_RW_STATE_currentstate <= ETRAX_RW_STATE_nextstate;
---       end if;
---     end if;
---   end process ETRAX_FPGA_COMUNICATION_CLOCK;
     
   ETRAX_IS_READY_TO_READ <= etrax_is_ready_to_read_i  or (DATA_VALID and lvl2_not_valid_pulse  and LVL2_VALID);
   RW_FINISHED_PULSER       : edge_to_pulse
@@ -192,92 +145,48 @@ begin
       en_clk    => '1',
       signal_in => EXTERNAL_VALID,
       pulse     => rw_operation_finished_pulse);
-  DELAY_COUNTER     : up_counter_17bit
-    port map (
-      CLK       => CLK,
-      UP        => etrax_trigger_pulse,
-      CLR       => RESET,
-      QOUT      => counter_for_test
-      );
-  TDC_TRST <= not fpga_register_18_i(0);--etrax_trigger_pulse and ETRAX_DATA_BUS_C(17);
-  TDC_RESET <= fpga_register_17_i(0);
-  EXTERNAL_RESET <= ETRAX_DATA_BUS_C(16) and ETRAX_DATA_BUS_C(17);
+  TDC_TRST <= not fpga_register_06_i(2);--etrax_trigger_pulse and ETRAX_DATA_BUS_C(17);
+  TDC_RESET <= fpga_register_06_i(1);
+  EXTERNAL_RESET <= internal_reset_i;--ETRAX_DATA_BUS_C(16) and ETRAX_DATA_BUS_C(17);
   ETRAX_BUS_BUSY <= '0' when ETRAX_RW_STATE_currentstate = IDLE else '1';
   REGISTERS: process (CLK)
   begin  
     if rising_edge(CLK) then  
---     if RESET = '1' then
---         fpga_register_16_i <= (others => '0');
---         fpga_register_17_i <= (others => '0');
---         fpga_register_18_i <= (others => '0');
---         fpga_register_19_i <= (others => '0');
---         fpga_register_10_i <= (others => '0');
---         fpga_register_20_i <= (others => '0');
---         fpga_register_21_i <= (others => '0');
---         fpga_register_22_i <= (others => '0');
---         fpga_register_23_i <= (others => '0');
---         fpga_register_24_i <= (others => '0');
---         fpga_register_25_i <= (others => '0');
---         fpga_register_26_i <= (others => '0');
---         fpga_register_27_i <= (others => '0');
---         fpga_register_28_i <= (others => '0');
---         fpga_register_29_i <= (others => '0');
---         fpga_register_30_i <= (others => '0');
---         fpga_register_31_i <= (others => '0');
---     else
---         fpga_register_00_i <= FPGA_REGISTER_00;
+--     if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
          fpga_register_01_i <= FPGA_REGISTER_01;
          fpga_register_02_i <= FPGA_REGISTER_02;
          fpga_register_03_i <= FPGA_REGISTER_03;
          fpga_register_04_i <= FPGA_REGISTER_04;
          fpga_register_05_i <= FPGA_REGISTER_05;
-         fpga_register_06_i <= FPGA_REGISTER_06;
-         fpga_register_07_i <= FPGA_REGISTER_07;
+         FPGA_REGISTER_06   <= fpga_register_06_i;  --this used for TDCjtag enable(0)
+         FPGA_REGISTER_07   <= fpga_register_07_i;
          fpga_register_08_i <= FPGA_REGISTER_08;
          fpga_register_09_i <= FPGA_REGISTER_09;
-         fpga_register_10_i <= FPGA_REGISTER_10;
-         fpga_register_11_i <= FPGA_REGISTER_11;
-         fpga_register_12_i <= FPGA_REGISTER_12;
-         fpga_register_13_i <= FPGA_REGISTER_13;
-         fpga_register_14_i <= FPGA_REGISTER_14;
-         fpga_register_15_i <= FPGA_REGISTER_15;
-         FPGA_REGISTER_16   <= fpga_register_16_i;  --this used for TDCjtag enable(0)
-         FPGA_REGISTER_17   <= fpga_register_17_i;  --this is used for TDC reset(0)
-         FPGA_REGISTER_18   <= fpga_register_18_i;  --this is used for jatgTDC
-                                                    --reset
-         FPGA_REGISTER_19   <= fpga_register_19_i;
-         FPGA_REGISTER_20   <= fpga_register_20_i;  --enable TDC clock
-         FPGA_REGISTER_21   <= fpga_register_21_i;
-         FPGA_REGISTER_22   <= fpga_register_22_i;
-         FPGA_REGISTER_23   <= fpga_register_23_i;
-         FPGA_REGISTER_24   <= fpga_register_24_i;
-         FPGA_REGISTER_25   <= fpga_register_25_i;
-         FPGA_REGISTER_26   <= fpga_register_26_i;
-         FPGA_REGISTER_27   <= fpga_register_27_i;
-         FPGA_REGISTER_28   <= fpga_register_28_i;
-         FPGA_REGISTER_29   <= fpga_register_29_i;
-         FPGA_REGISTER_30   <= fpga_register_30_i;
-         FPGA_REGISTER_31   <= fpga_register_31_i;
---     end if;
+         fpga_register_0A_i <= FPGA_REGISTER_0A;
+         fpga_register_0B_i <= FPGA_REGISTER_0B;
+         fpga_register_0c_i <= FPGA_REGISTER_0C;
+         fpga_register_0d_i <= FPGA_REGISTER_0D;
      end if;
    end process REGISTERS;
-  ETRAX_FPGA_COMUNICATION_CLOCK : process (CLK, RESET,ETRAX_DATA_BUS_C(16),ETRAX_DATA_BUS_C(17))
+    --     DEBUG_REGISTER_OO(7 downto 0) <= fpga_register_00_i(7 downto 0);
+   --    FPGA_REGISTER_23(7 downto 0)  <=  fpga_register_00_i(7 downto 0);
+  ETRAX_FPGA_COMUNICATION_CLOCK : process (CLK, internal_reset_i)
   begin  
     if rising_edge(CLK) then  
-      if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+      if internal_reset_i = '1' then --(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
         ETRAX_RW_STATE_currentstate <= IDLE;
       else
         ETRAX_RW_STATE_currentstate <= ETRAX_RW_STATE_nextstate;
       end if;
     end if;
   end process ETRAX_FPGA_COMUNICATION_CLOCK;
-  ETRAX_FPGA_COMUNICATION: process (ETRAX_RW_STATE_currentstate,etrax_trigger_pulse,saved_rw_mode(15),rw_operation_finished_pulse)
+  ETRAX_FPGA_COMUNICATION: process (ETRAX_RW_STATE_currentstate,data_valid,etrax_trigger_pulse,saved_rw_mode(15),rw_operation_finished_pulse)
   begin
     fpga_register_00_i <= x"00000001";
     case ETRAX_RW_STATE_currentstate is
       when IDLE         =>
         fpga_register_00_i <= x"00000001";
-        if etrax_trigger_pulse = '1' then
+        if etrax_trigger_pulse = '1' and DATA_VALID ='0' then
           ETRAX_RW_STATE_nextstate   <= SAVE_ADDRESS_1;
         else
           ETRAX_RW_STATE_nextstate   <= IDLE;
@@ -356,15 +265,13 @@ begin
         else
           ETRAX_RW_STATE_nextstate   <= SEND_DATA_2;
         end if;
-      when others        =>
-        ETRAX_RW_STATE_nextstate     <= IDLE;
     end case;
   end process ETRAX_FPGA_COMUNICATION;
 
-  REGISTER_ETRAX_BUS: process (CLK, RESET,ETRAX_RW_STATE_currentstate)
+  REGISTER_ETRAX_BUS: process (CLK, internal_reset_i, ETRAX_RW_STATE_currentstate)
   begin 
     if rising_edge(CLK) then 
-      if RESET = '1' then
+      if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
         saved_rw_mode <= (others => '0');
         saved_address <= (others => '0');
         saved_data <= (others => '0');
@@ -380,20 +287,17 @@ begin
         saved_data(31 downto 16) <= ETRAX_DATA_BUS_C(15 downto 0);
       elsif ETRAX_RW_STATE_currentstate = SAVE_DATA_2  and etrax_trigger_pulse = '1' then
         saved_data(15 downto 0) <= ETRAX_DATA_BUS_C(15 downto 0);
-      else
-        saved_rw_mode <= saved_rw_mode;
-        saved_address <= saved_address;
-        saved_data <= saved_data;
       end if;
     end if;
   end process REGISTER_ETRAX_BUS;
   EXTERNAL_ADDRESS <= saved_address;
   EXTERNAL_MODE    <= saved_rw_mode(15 downto 0);
   EXTERNAL_DATA_OUT <= saved_data;
-  EXTERNAL_DATA_LOGIC: process (CLK, RESET)
+  EXTERNAL_DATA_LOGIC: process (CLK, internal_reset_i)
    begin 
      if rising_edge(CLK) then  
-       if RESET = '1' then 
+       if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+         EXTERNAL_ACK  <= '0';
        elsif ETRAX_RW_STATE_currentstate = SEND_EXTERNAL_TRIGGER and saved_rw_mode(15) = '1' then
          EXTERNAL_ACK  <= '1';
        elsif ETRAX_RW_STATE_currentstate = SEND_EXTERNAL_TRIGGER and saved_rw_mode(15) = '0' then
@@ -403,48 +307,42 @@ begin
        end if;
      end if;
    end process EXTERNAL_DATA_LOGIC;
-    ETRAX_DATA_BUS_CHOOSE : process (CLK, RESET,ETRAX_RW_STATE_currentstate, DATA_VALID)
+    ETRAX_DATA_BUS_CHOOSE : process (CLK, internal_reset_i,ETRAX_RW_STATE_currentstate, DATA_VALID)
     begin
       if rising_edge(CLK) then
-        if RESET = '1' then
+        if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
           ETRAX_DATA_BUS_B(16 downto 0) <= "0"& x"0000";--(others => 'Z');
-          elsif ETRAX_RW_STATE_currentstate = SEND_DATA_1 then
+        elsif ETRAX_RW_STATE_currentstate = SEND_DATA_1 then
             ETRAX_DATA_BUS_B(15 downto 0) <= saved_data_fpga(31 downto 16);--fpga_register_07_i(15 downto 0);--
             ETRAX_DATA_BUS_B(16) <= '1';
-      --      ETRAX_DATA_BUS_B(17) <= '0';
-          elsif ETRAX_RW_STATE_currentstate = SEND_DATA_2 or ETRAX_RW_STATE_currentstate = SEND_VALID then
+        elsif ETRAX_RW_STATE_currentstate = SEND_DATA_2 or ETRAX_RW_STATE_currentstate = SEND_VALID then
             ETRAX_DATA_BUS_B(15 downto 0) <=  saved_data_fpga(15 downto 0);--fpga_register_07_i(15 downto 0);--
             ETRAX_DATA_BUS_B(16) <= '1';
-      --      ETRAX_DATA_BUS_B(17) <= '0';
-          elsif DATA_VALID = '1' then
+        elsif DATA_VALID = '1' then
             ETRAX_DATA_BUS_B(15 downto 0) <= DATA_BUS(15 downto 0);
-     --       ETRAX_DATA_BUS_B(17) <= CLK;
             ETRAX_DATA_BUS_B(16) <= '1' and not(LVL2_VALID); 
         else
-          ETRAX_DATA_BUS_B(15 downto 0) <= fpga_register_07_i(15 downto 0); 
+          ETRAX_DATA_BUS_B(15 downto 0) <= fpga_register_06_i(15 downto 0); 
           ETRAX_DATA_BUS_B(16) <= '0';
         end if;
       end if;
     end process ETRAX_DATA_BUS_CHOOSE;
-   
-  ETRAX_DATA_BUS_B(17) <= CLK when DATA_VALID = '1' else '0';
-   
-  TDC_JAM_SIGNALS : process (CLK, RESET, DATA_VALID, fpga_register_16_i(0))
+-- ETRAX_DATA_BUS_B(17) <= CLK when DATA_VALID = '1' else '0'; -trigger
+   ETRAX_DATA_BUS_B(17) <= '1';
+  TDC_JAM_SIGNALS : process (CLK, internal_reset_i, DATA_VALID, fpga_register_06_i(0))
   begin
     if rising_edge(CLK) then
-      if RESET = '1' then
+      if internal_reset_i = '1' then
         TDC_TMS                        <= '1';
         TDC_TCK                        <= '1';
         TDC_TDI                        <= '1';
         ETRAX_DATA_BUS_C               <= (others => 'Z');
-      elsif fpga_register_16_i(0) = '1' then
---        ETRAX_DATA_BUS_C               <= (others => 'Z');
+      elsif fpga_register_06_i(0) = '1' then
         TDC_TMS                        <= ETRAX_DATA_BUS_C(1);
         TDC_TCK                        <= ETRAX_DATA_BUS_C(2);
         TDC_TDI                        <= ETRAX_DATA_BUS_C(3);
         ETRAX_DATA_BUS_C(0)            <= TDC_TDO;
         ETRAX_DATA_BUS_C(17 downto 1) <= (others => 'Z');
---        ETRAX_DATA_BUS_C(13 downto 0)  <= (others => 'Z');
       elsif DATA_VALID = '1' then
         ETRAX_DATA_BUS_C(15 downto 0) <= DATA_BUS(31 downto 16);
         ETRAX_DATA_BUS_C(16) <= 'Z';
@@ -460,12 +358,11 @@ begin
       end if;
     end if;
   end process TDC_JAM_SIGNALS;
-  DATA_SOURCE_SELECT : process (CLK,RESET,saved_rw_mode,saved_address)
+  DATA_SOURCE_SELECT : process (CLK,internal_reset_i,saved_rw_mode,saved_address)
   begin
     if rising_edge(CLK) then
-      if RESET ='1' or (ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then
-        fpga_register_16_i                          <= x"00000000";
-        fpga_register_19_i                          <= (others => '0');
+      if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then
+         fpga_register_06_i                          <= x"00000000";
       else
         case saved_rw_mode(7 downto 0) is
           when "00000000"        =>
@@ -481,53 +378,25 @@ begin
                 when x"00000007" => saved_data_fpga <= fpga_register_07_i;
                 when x"00000008" => saved_data_fpga <= fpga_register_08_i;
                 when x"00000009" => saved_data_fpga <= fpga_register_09_i;
-                when x"00000010" => saved_data_fpga <= fpga_register_10_i;
-                when x"00000011" => saved_data_fpga <= fpga_register_11_i;
-                when x"00000012" => saved_data_fpga <= fpga_register_12_i;
-                when x"00000013" => saved_data_fpga <= fpga_register_13_i;
-                when x"00000014" => saved_data_fpga <= fpga_register_14_i;
-                when x"00000015" => saved_data_fpga <= fpga_register_15_i;
-                when x"00000016" => saved_data_fpga <= fpga_register_16_i;
-                when x"00000017" => saved_data_fpga <= fpga_register_17_i;
-                when x"00000018" => saved_data_fpga <= fpga_register_18_i;
-                when x"00000019" => saved_data_fpga <= fpga_register_19_i;
-                when x"00000020" => saved_data_fpga <= fpga_register_20_i;
-                when x"00000021" => saved_data_fpga <= fpga_register_21_i;
-                when x"00000022" => saved_data_fpga <= fpga_register_22_i;
-                when x"00000023" => saved_data_fpga <= fpga_register_23_i;
-                when x"00000024" => saved_data_fpga <= fpga_register_24_i;
-                when x"00000025" => saved_data_fpga <= fpga_register_25_i;
-                when x"00000026" => saved_data_fpga <= fpga_register_26_i;
-                when x"00000027" => saved_data_fpga <= fpga_register_27_i;
-                when x"00000028" => saved_data_fpga <= fpga_register_28_i;
-                when x"00000029" => saved_data_fpga <= fpga_register_29_i;
-                when x"00000030" => saved_data_fpga <= fpga_register_30_i;
-                when x"00000031" => saved_data_fpga <= fpga_register_31_i;
+                when x"0000000A" => saved_data_fpga <= fpga_register_0A_i;
+                when x"0000000B" => saved_data_fpga <= fpga_register_0B_i;
+                when x"0000000C" => saved_data_fpga <= fpga_register_0C_i;
+                when x"0000000D" => saved_data_fpga <= fpga_register_0D_i;                                                                        
                 when others      => saved_data_fpga <= x"deadface";
               end case;
             elsif saved_rw_mode(15) = '0' and ETRAX_RW_STATE_currentstate = WAIT_FOR_DATA then
               case saved_address(31 downto 0) is
-                when x"00000016" => fpga_register_16_i <= saved_data;
-                when x"00000017" => fpga_register_17_i <= saved_data;
-                when x"00000018" => fpga_register_18_i <= saved_data;
-                when x"00000019" => fpga_register_19_i <= saved_data;
-                when x"00000020" => fpga_register_20_i <= saved_data;
-                when x"00000021" => fpga_register_21_i <= saved_data;
-                when x"00000022" => fpga_register_22_i <= saved_data;
-                when x"00000023" => fpga_register_23_i <= saved_data;
-                when x"00000024" => fpga_register_24_i <= saved_data;
-                when x"00000025" => fpga_register_25_i <= saved_data;
-                when x"00000026" => fpga_register_26_i <= saved_data;
-                when x"00000027" => fpga_register_27_i <= saved_data;
-                when x"00000028" => fpga_register_28_i <= saved_data;
-                when x"00000029" => fpga_register_29_i <= saved_data;
-                when x"00000030" => fpga_register_30_i <= saved_data;
-                when x"00000031" => fpga_register_31_i <= saved_data;
+                when x"00000006" => fpga_register_06_i <= saved_data;
+                when x"00000007" => fpga_register_07_i <= saved_data;
                 when others      => null;
               end case;
             end if;
           when "00000001"        =>     --DSP write read
             saved_data_fpga                            <= saved_external_data;
+          when x"02"        =>
+            saved_data_fpga                            <= saved_external_data;
+          when x"03"        =>
+            saved_data_fpga                            <= saved_external_data;
           when others            =>     --ADDON board write read
             saved_data_fpga                            <= x"deadface";
         end case;
diff --git a/impact_batch_b.txt b/impact_batch_b.txt
new file mode 100644 (file)
index 0000000..96bd906
--- /dev/null
@@ -0,0 +1,6 @@
+setMode -bs
+setMode -bs
+setCable -port stapl -file "../trb_v2b_fpga.stapl"
+addDevice -p 1 -file "trb_v2b_fpga.bit"
+Program -p 1 -defaultVersion 0
+quit
\ No newline at end of file
diff --git a/lvl1_buffer.ngc b/lvl1_buffer.ngc
new file mode 100644 (file)
index 0000000..263ee62
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$63b\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?1:30?4(79o1:<7GAPTV9TWI@WLG[XTQ[HSGPL9?=878=7<>5IORVP?VUGNUNA]ZV_UJQAVNXIZYN^Y26:1<12>772@D[YY4_RNE\AHVSQV^C^H]G_OGDEQC;13:5=h5>0;KMTPR=X[ELSK[CL^VKV@UO400;2?;4118JJUSS2YXDKRHZLM]WLWCT@VKX_H\[<883:73<990BB][[:QPLCZ@RDEU_D_K\H^LFCDRB400;2<h4118JJUSS2yxdkRkbpu{\pmtb{a626=0=6:33>LHW]]0{~biPelrw}ZrozlycSl}|esv?=?69:?1:<7GAPTV9twi`Wlg{xtQ{hsgplZhboh~n044?>0g855<NFY__6}|`g^dvhiYs`{oxd1750?06?46=AGZ^X7~}of]eqijX|axn\7feQnsrgqp9?=878>7<>5IORVP?vugnUmyabPtipfwmYimnk\7fi1750?3`?46=G\^[YY4KECWD[WBXXG^Y044?>0a855<H]]Z^X7JJBTE\SWYWF]X757>11b924?IR\Y__6_JPD@VB[FIRF]626=0>c:33>JSSX\^1[_QKAUC\GJSI\531<3?6;029KPRW]]0omyoPcnwmp9?=878?7<>5OTVSQQ<cmk\7flS\7fjPpovq[ujr{8Uy044?>0g855<H]]Z^X7jjbte\vaYwf}xT~1750?3f?46=G\^[YY4kecwd[wbXxg~ySz26:1<10>772F__\XZ5dd`vcZquWyd\7f~R~cur3\s9?=878?7<>5OTVSQQ<cmk\7flSz|Ppovq[ujr{;U|044?>0g855<H]]Z^X7jjbte\swYwf}xT~1750?3f?46=G\^[YY4kecwd[rtXxg~ySz26:1<2g>772F__\XZ5re]geqgXkf\7fex1750?3`?46=G\^[YY4xr^fbpdYdg|d\7f044?>06850<NFY__6LJKR@>20?699=1:97GAPTV9EABUJ5;?6=0>;331?64=AGZ^X7JFA=12>586:2996D@_UU8GMG:493:5=?5<2;KMTPR=L@D7?<4?>00877<NFY__6iga<2394;743:81CXZ_UU8GKD:493:5=>5<2;MVPUSS2MEI0>?50?37?64=G\^[YY4KOTV?74<768>0??4@UURVP?bh}}68=7>11:625>2=AGZ^X7JFP@>0>58692>1EC^ZT;FJTG:4294:<6:5IORVP?QBI591<3??;58JJUSS2^OI0>4?>0080?IR\Y__6IA_A=194;753=0DYY^ZT;FLTG:4294m79xcx52eb4730$;;=68=;7;7?3?>>812867?0808=32<1?<246OKDSC?4;?<IMNYM1??>89B@ATF48;556OKDSC?578>3HNO^L2>3?`8EABUI5;?6=06;@FGVD:6<720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;><IMNYM1918:CG@WG;0720MIJ]A=;=<>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?06;@FGVG:6;7h0MIJ]B=37>58>3HNO^O2>4?:8EABUJ5;546OKDS@?6;><IMNYN1=18:CG@WD;<720MIJ]B=7=<>GCL[H7:364AEFQF91902KOH_L38?:8EABUJ535o6OCMNQW[@KW\Ph0MAC@SU]EQIJ>3HYRBNQ]EF18FP4?3K_XSD@IO09@e>EF[JKOID@Na:ABWFGCM@DIn6MNSBCGAJSSIk1HM^MNDDMVPG4<KL80OD:4CMIB0>EKCK90OA\6;BMNILRSMM=0O_KNTDF2?A`<LLH^KR\NM^GNTQ_b3MOIYJQ]AL]EQIJa3MOIYJQ]AL]Q@ZCIn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b?AIR\59:6=07;EMVP9566>1OCXZ33?58@JSS4=4<7IAZT=7=3>BH]]6=2:5KOTV?3;1<LF__0508;EMVP9?9=2OF\YW<;DLB7>CIJ;1M>85IAMQF7>@CK=1MHNK<;GFS0>@CXL>0JK<?4:DVHI3<Nhfxi<5H3:EM@4=N:2C;>6G>2:K16>O402CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@0?LHQ9;1BB[K]T^AOOZBHIVXNK<<4IOTFVQYDDBUOCOQ]EF18MJD53EC=7AANDDF4?II@AJKG86BZT068HPR5<2F^X>:4LTV71>KRPJSh7@oeosTfvvohfj1Feca}Vdppmjh53G;87C??4:L2452<F8:986@>0218J4733G;:;95A10:7?K761:1E=?:4N0030>H6:8>0B<<=4:L2662<F88?86@>2468J441<2D:>::4N00;0>H6:090B<=;;O3041=I9:;?7C?<259M56533G;8895A1277?K74>=1E=>9;;O30<1=I9:387C?;4:L2052<F8>:86@>4368J424<2D:89:4N0660>H6<?>0B<:84:L20=2<F8>2?6@>559M50633G;>995A1447?K72?=1E=86;;O36=6=I9?>0B<8?4:L2242<F8<986@>6268J403;2D:;>5A1918J4?53G887C<?3:L156=I:;90B?=<;O077>H5=:1E>;=4N350?K4?;2D95>5A3118J6743G99?6@<329M715<F:?87C=92:L66>H1:2D<>6@73:L;16=I0>90B57=;O;0?K?6;2D2?>5A9418J<143G33j6@M_CWPTLHXX[E[_:5AEUULVN2<FFDN=6A=;NI;?JPBZOFD[<5_4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K<;QPFe>VUGNUNA]ZV159SVJAXMDZ_URZGRDQK8586<2ZYCJQJMQVZ[QNUMZB7=3?;;QPLCZCJX]STXE\JSI>1:42<X[ELSHC_TX]WLWCT@595=95_RNE\AHVSQV^C^H]G<5<20>VUGNUNA]ZV_UJQAVN;=7;?7]\@G^GNTQ_X\AXN_E29>068TWI@WLG[XTQ[HSGPL9199=1[^BIPELRW]ZROZLYC050>6:RQKBYBEY^RSYF]ERJ?=?699=1[^BIPELRW]ZROZLYC040>b:RQKBYBEY^RSYF]ERJ\EVUBZ]6;2<l4PSMD[@KW\PU_D_K\H^CPW@TS484:n6^]OF]FIUR^W]BYI^FPARQFVQ:568h0\_AH_DOSP\YS@[OXDRO\SDPW8686j2ZYCJQJMQVZ[QNUMZBTM^]JRU>7:4d<X[ELSHC_TX]WLWCT@VKX_H\[<4<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y29>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0:0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]632<j4PSMD[@KW\PU_D_K\H^CPW@TS400;2<l4PSMD[@KW\PU_D_K\H^CPW@TS404:n6^]OF]FIUR^W]BYI^FPNDEBP@:768h0\_AH_DOSP\YS@[OXDR@JG@VF8486j2ZYCJQJMQVZ[QNUMZBTBHINTD>1:4d<X[ELSHC_TX]WLWCT@VDNKLZJ<2<2f>VUGNUNA]ZV_UJQAVNXFLMJXH2;>0`8TWI@WLG[XTQ[HSGPLZHBOH^N080>b:RQKBYBEY^RSYF]ERJ\J@AF\L6=2<l4PSMD[@KW\PU_D_K\H^LFCDRB4>4:n6^]OF]FIUR^W]BYI^FPNDEBP@:?68n0\_AH_DOSP\YS@[OXDR@JG@VF8<<768h0\_AH_DOSP\YS@[OXDR@JG@VF8<8>3YXDKRHZLM30?UTHOVL^@AQ[HSGPL9699:1[^BIPFTNO[QNUMZB7=3?<;QPLCZ@RDEU_D_K\H=0=56=WZFMTJXBC_UJQAVN;;7;87]\@G^DVHIYS@[OXD1:1129SVJAXN\FGSYF]ERJ?1;743YXDKRHZLM]WLWCT@5<5=>5_RNE\BPJKW]BYI^F37?30?UTHOVL^@AQ[HSGPL9>99<1[^BIPFTNO[QNUMZB757>1129SVJAXN\FGSYF]ERJ?=;7f3YXDKRHZLM]WLWCT@VKX_H\[<1<2e>VUGNUMYABPTIPFWMYF[ZOYX1?11`9SVJAXN\FGSYF]ERJ\EVUBZ]692<o4PSMD[CSKDV^C^H]G_@QPAWR;;7;j7]\@G^DVHIYS@[OXDRO\SDPW8186i2ZYCJQIUMN\PMTB[AUJ_^K]T=7=5d=WZFMTJXBC_UJQAVNXIZYN^Y29>0c8TWI@WO_G@RZGRDQK[DUTM[^7;3?n;QPLCZ@RDEU_D_K\H^CPW@TS414:o6^]OF]EQIJX\AXN_EQNSRGQP9?=87;j7]\@G^DVHIYS@[OXDRO\SDPW8<86i2ZYCJQIUMN\PMTB[AUEIJO[E=2=5d=WZFMTJXBC_UJQAVNXFLMJXH2>>0c8TWI@WO_G@RZGRDQK[KC@I]O7>3?n;QPLCZ@RDEU_D_K\H^LFCDRB4:4:m6^]OF]EQIJX\AXN_EQAEFCWA9299h1[^BIPFTNO[QNUMZBTBHINTD>6:4g<X[ELSK[CL^VKV@UOWGOLMYK36?3b?UTHOVL^@AQ[HSGPLZHBOH^N0:0>a:RQKBYA]EFTXE\JSI]MABGSM525=n5_RNE\BPJKW]BYI^FPNDEBP@:>294:m6^]OF]EQIJX\AXN_EQAEFCWA9?992[=7_OBB04e?WGJWLR_IC]FOO]Bb>TFEVOSXH@\INL\F3=ULVIGG<>4RE]GEQGXKF_EX1>1119Q@ZBF\HUHCX@[<0<24>TCWMK_MRM@UOV?6;773[NTHLZN_BMVJQ:468:0^IQKAUC\GJSI\5>5==5]D^FBPDYDG\D_080>0:PG[AGSIVIDYCZ36?33?WBXLH^JSNAZNU>4:46<ZMUOMYOPCNWMP9>99;1YHRJNT@]@KPHS400;2<>4RE]GEQGXKF_EX1715:PG[@Hd3[OJHRYFDUJ\Ef=UMHNT[DJ[H^@5?WC@KLK=7_KHCD@0?WUS92Y37^OYEECWE45<[@GTOBBCIRKLJZEOMJA=7^AZRBG4?VTQIEUJ;6]]V@N\F1=T[[K?7^]]B59W]UCb3\:$kh`Par,ecdu>3\DOI_HCOVc8QVCUWHFBM^m4URGQ[SOTAKFN96XNLHF0?SED12\BIZQ[YQG5?RCF494=7ZKN<0<5?RCF4;437ZKN<283:3=PMH682;5XEC>3:3=PMK6:2;5XEC>1:==PMK686=09;VGA868e3^XBXHQIISQWg>QUA]OTABJJ_@a8SWOSMVGDHHQMd:UQMQCX_@N_DROk;VPJP@YPAM^CSO84WS]BGN0<_[UH@F??;VP\@DRFWJE^BY2?>028SWYCI]KTOB[AT=3=55=PZVNJXLQLOTLW878682]YSIO[A^ALQKR;;7;;7Z\PD@VB[FIRF]6?2<>4WS]GEQGXKF_EX1;1119TVZBF\HUHCX@[<7<24>QUWMK_MRM@UOV?3;773^XTHLZN_BMVJQ:?6880[_QKAUC\GJSI\531<3??;VP\@DRFWJE^BY26>49TVZCI9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON=2RD^NW9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ773QUHC_KPIODL[IOT\?1ShoQFdg9[`mYWz`g]i\7f}foo33?]bjWDkac\7fXjrrklj46<PmgTAd``rWgqwliik2kgab}{_dosp|d<iegd\7fyQiumn5?ggdc|zo7oolktr\idtlm{90oaek;ecweZeh}g~#<$j4d`vb[firf}":%i5kauc\gjsi|!8"h6jnt`]`kphs :#o7io{a^alqkr/< n0hlzn_bmvjq.2!m1omyoPcnwmp-0.l2njxlQlotlw,2/c3mk\7fmRm`uov+<,b<lh~jSnaznu*:-a=ci}kTob{at=2=b>bf|hUhcx`{<883:2=cj`~n~\7f?7;egaqbYulVzex\7fQ\7fltq2[w:76820hhlzg^pg[uhszVzgy~?Pr=3=5==cmk\7flS\7fjPpovq[ujr{8Uy0?0>8:fffpaXzmU{by|Ppmwp5Zt;;7;37ikmuf]q`Zvi|{U{`x}>_s>7:4><llh~kR|k_qlwvZvk}z;T~1;1199gags`W{nT|cz}_qnvw4Yu4?4:46jjbte\vaYwf}xT|a{|1^p?3;7?3moiyjQ}d^rmpwYwd|y:S\7f27>0c8``droVxoS}`{r^roqv7Xz531<3?7;egaqbYulVzex\7fQ\7fltq2[w:>6880hhlzg^pg[uhszVx7<3?=;egaqbYulVzex\7fQ}<0<26>bbj|mT~iQ\7fnup\v9499;1oio{h_sf\tkruW{682<<4dd`vcZtcWyd\7f~R|34?31?ace}nUyhR~ats]q8086:2nnnxiPre]sjqtXz5<5=?5kecwd[wbXxg~yS\7f28>008``droVxoS}`{r^p?<;733moiyjQ}d^rmpwYu400;2<<4dd`vcZtcWyd\7f~R|39?31?ace}nUyhR~ats]t8586:2nnnxiPre]sjqtX\7f5;5=?5kecwd[wbXxg~ySz2=>008``droVxoS}`{r^u?7;753moiyjQ}d^rmpwYp4=4:>6jjbte\vaYwf}xT{1;1139gags`W{nT|cz}_v>5:44<llh~kR|k_qlwvZq;?7;97ikmuf]q`Zvi|{U|050>4:fffpaXzmU{by|Pw=;94;753moiyjQ}d^rmpwYp404:46jjbte\swYwf}xT|a{|1^u?4;7?3moiyjQxr^rmpwYwd|y:Sz2>>0:8``droV}yS}`{r^roqv7X\7f585=55kecwd[rtXxg~yS}bzs0]t868602nnnxiPws]sjqtXxe\7fx=Ry34?3;?ace}nU|~R~ats]shpu6W~6>2<64dd`vcZquWyd\7f~R~cur3\s909911oio{h_vp\tkruWyf~\7f<Qx<6<2<>bbj|mT{\7fQ\7fnup\tist9V}743?n;egaqbYpzVzex\7fQ\7fltq2[r:>294:46jjbte\swYwf}xT|a{|1^u?=;7?3moiyjQxr^rmpwYwd|y9Sz2?>0:8``droV}yS}`{r^roqv4X\7f5;5=55kecwd[rtXxg~yS}bzs3]t878602nnnxiPws]sjqtXxe\7fx>Ry33?3;?ace}nU|~R~ats]shpu5W~6?2<64dd`vcZquWyd\7f~R~cur0\s939911oio{h_vp\tkruWyf~\7f?Qx<7<2<>bbj|mT{\7fQ\7fnup\tist:V}7;3?7;egaqbYpzVzex\7fQ\7fltq1[r:?68k0hhlzg^uq[uhszVzgy~<Pw=;94;7?3moiyjQxr^rmpwYwd|y9Sz26>008``droV}yS}`{r^p?4;753moiyjQxr^rmpwYu484:>6jjbte\swYwf}xT~1<1139gags`W~xT|cz}_s>0:44<llh~kRy}_qlwvZt;<7;97ikmuf]tvZvi|{Uy080>2:fffpaX\7f{U{by|Pr=4=57=cmk\7flSz|Ppovq[w:06880hhlzg^uq[uhszVx743?;;egaqbYpzVzex\7fQ}<883:44<llh~kRy}_qlwvZt;17;97ikmuf]tvZvi|{U|0=0>2:fffpaX\7f{U{by|Pw=3=57=cmk\7flSz|Ppovq[r:56880hhlzg^uq[uhszV}7?3?=;egaqbYpzVzex\7fQx<5<26>bbj|mT{\7fQ\7fnup\s9399;1oio{h_vp\tkruW~6=2<<4dd`vcZquWyd\7f~Ry37?31?ace}nU|~R~ats]t8=86<2nnnxiPws]sjqtX\7f531<3?=;egaqbYpzVzex\7fQx<8<5?aoi 9#=7iga(0+4?aoi 8:";6jfn)32-2=cag":>$94dhl+56/03mce$<:&7:fjj-72!>1oec&>6(58`lh/9>#<7iga(0:*3>bnf!;2%;5kio*1-2=cag"9<$94dhl+64/03mce$?<&7:fjj-44!>1oec&=4(58`lh/:<#<7iga(34*3>bnf!8<%:5kio*1<,1<l`d#>4'9;ekm,6/03mce$>>&7:fjj-56!?1oec&;)79gmk.2!?1oec&9)79gmk.0!?1oec&7)79gmk.>!?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8394dhl?50803mce0<817:fjj9706>1oec2>8?58`lh;904=7iga<0<4?aoi4;:5;6jfn=02:2=cag69>394dhl?66803mce0?:17:fjj9426>1oec2=6?58`lh;:>4<7iga<3:=3>bnf5822;5kio>1:2=cag68<374dhl?74<76>1oec2<1?48`lh;;7<0hd`34?48`lh;=7<0hd`36?48`lh;?7<0hd`38?48`lh;17=0hb{{(1+4?air|!;"46j`uu*24,><lf\7f\7f$<?&8:flqq.6: 20hb{{(01*<>bh}}":8$64dnww,43.02ndyy&>6(:8`jss 8="46j`uu*2<,><lf\7f\7f$<7&7:flqq.5!11ocxz'21+;?air|!8:%55kotv+67/?3me~x%<<)99gkpr/:=#37iazt)06-==cg|~#>;'7;emvp-40!11ocxz'29+;?air|!82%:5kotv+7,><lf\7f\7f$>>&8:flqq.49 =0hb{{(5+4?air|!?";6j`uu*5-2=cg|~#;$94dnww,=/03me~x%7&7:flqq:7611ocxz311<;?air|5;:255kotv?578?3me~x1?<>99gkpr;9=437iazt=36:==cg|~7=;07;emvp970611ocxz319<;?air|5;22:5kotv?5;><lf\7f\7f0?>18:flqq:59720hb{{<30=<>bh}}69?364dnww872902ndyy2=5?:8`jss4;<546j`uu>13;><lf\7f\7f0?618:flqq:517=0hb{{<3<;?air|59;2l5kotv?74<7611ocxz330<4?air|595;6j`uu>7:2=cg|~79394dnww83803me~x1917:flqq:?6>1ocxz39?:8aaoa:gmo96kbpu{1<>`nnfUlick}aumq[s5X;%*Hc\7fkheo":*4(7;`Ub|>?9;gkekZabflxjxb|Pv2]0[du33o\7fg`o5cvm3\fp`am{887axc1^`vbccu'}g{#Rmh/mto5Zdrnooy"ci`249ori7Xj|lmi\7fQiigm\c`hbzh~d~Rx<_2]{wqY602dloo{fle4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<1<5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=34=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56>;0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;:7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?39>;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0>0Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=6=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939?81ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2:?4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7:3Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?52?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<6<\vq0b3geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8092dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9>9W{~<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYk}}6;2RGAV^25b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>2:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8781n2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:46?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6>2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0;09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f28>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<9<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>::24<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8<8Xz}<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz30?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz32?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;;7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz36?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;?7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz38?46?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17<87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ?629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[4043geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U9:>5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_240?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3>:1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS88<;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]526=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW><87ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ7629mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[<133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2::4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=32=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y9;;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:21<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;103geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682R|{759mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8180?2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs783Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939?>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~414<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?]qp2d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYk}}6;2RGAV^241>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu484<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<3<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4:4<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<5<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<4<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<7<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4>4<96``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<9<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu404<46``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<\vq0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=2=2g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz31?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1<16c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7?38m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5>5:o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;=7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop909>k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?3;0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=:=2g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz39?4:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=86;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[70>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_24:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS986;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[30>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_64:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS586;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494=i6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5;<5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2R|{6d9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>638jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp3c<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;163geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4<=6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1709mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>^pw2`=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:27<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7=:7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y8j;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir05081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQcuu>3:ZOI^V:=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0?09f:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2<>7d8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<5<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>6:3`<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8381n2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:06?l0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu414=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{622:<4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey040Pru46?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;87<>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz31?46?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;:7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz33?46?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;<7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz35?46?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;>7<>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz37?46?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07<>7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz39?40?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY7>:1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<8<;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]126=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:<87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ;629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[0043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U=:>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_640?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>:1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS49;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>3:22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<0<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=0=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir09087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?0;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az808Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}909W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;07Uyx:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQcuu>3:ZOI^V:<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4;4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<2<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4=4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<4<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4?4<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<6<41>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu414<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu404T~y8m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5:5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;97<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop949>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?7;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=6=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz35?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1816c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7;38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|525:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;17<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[50>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_04:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[10>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_44:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW><27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=0>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_857?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:76>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848Xz}=?7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>658jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}949W{~<86``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw33?54?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:46Vx\7f;95aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<43>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx::4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=32=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5<5S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?3;103geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6<2R|{759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=80?2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t6`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]oqq:76VCEZR>85:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8480=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0?085:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8680=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey09085:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8080=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0;085:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8280=2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey05085:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8<8002dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey040Pru4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1>16c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|585:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;;7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop929>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?1;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=4=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz37?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1616c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~75386;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW9<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[40>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_34:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS>86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW=<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[00>3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_74:?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS:86;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW1<27ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[<543geyajka.gnahn)JimnTmazPre]geqgXkf\7fexRgPaefpqg6789;;?>5aosodak(adkf`#@okd^copZtcWmk\7fmRm`uov\mZgclz\7fi<=>?1310?kiuenoe"kbmlj-NeabXie~T~iQkauc\gjsi|VcTmij|uc234573;:1ec\7fcheo,ehgjl'DkohRoct^pg[agsiVidyczPi^cg`vse89:;=;==;omqibci&ofi`f!Baef\eirXzmUomyoPcnwmpZoXimnxyo>?01006>hhzdmnb#hcbmi,IdbcWhf\7fS\7fjPd`vb[firf}UbSljkst`34563;;1ec\7fcheo,ehgjl'DkohRoct^pg[agsiVidyczPi^cg`vse89:;:><4nnpnc`h)nehgg"Cnde]bhqYulVnjxlQlotlw[lYflmy~n=>?0914?kiuenoe"kbmlj-NeabXie~T~iQkauc\gjsi|VcTmij|uc2345Ydq5;5>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az858502dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSnw31?0;?kiuenoe"kbmlj-NwpdX|dzT|cz}_s]gmc`Xkp692?64nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]`}959:11ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<5<1<>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWjs793<7;omqibci&ofi`f!Bst`\phvXxg~yS\7fQkigd\g|:16;20bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQly=5=6==ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir050=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss494956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww848512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSa{{<3<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0>0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss4=4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww808512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSa{{<7<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0:0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss414956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww8<8512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<1<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey0<0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu4;4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq868512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<5<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey080=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu4?4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq828512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<9<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey040>1:llvhabf'lgnae N0425>hhzdmnb#hcbmi,J41692dd~`ijn/dofim(F82:=6``rlefj+`kjea$B<7>1:llvhabf'lgnae N3225>hhzdmnb#hcbmi,J77692dd~`ijn/dofim(F;8:=6``rlefj+`kjea$B?=>1:llvhabf'lgnae N3625>hhzdmnb#hcbmi,J73402dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U{by|Pgb]{kw6789UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZvi|{UloRv`r1235ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>=_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt7899T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2341YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>?5^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89:=S_k|umv27==ig{glic ilcnh+fjlefn$ou }dy-N|jtXxg~ySjmPxnp3451XZly~`y?<8:llvhabf'lgnae cminka)dp'xot"Cwos]sjqtXojUsc\7f>?09]Qavsk|88?7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP0368jjtjold%jalck.aoohic'jr%~iv POVQ[GY6:=1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNR<=4:llvhabf'lgnae cminka)dp'xot"^ATS]A[6433geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHT8?:4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]661=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV<986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_607?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX0;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ62`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;<?l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw6789;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4566:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123544f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0101f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>=13c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<><m;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt78999>l5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234175i2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}01266g=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJVrd~=>?500b?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=8<0:llvhabf'lgnae cminka)dp'xot"^ATS]A[}iu89:==<Q\W113?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=8>1^QT566<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?0732[VQ5:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123274f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0151f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>813c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<5<m;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt7892:>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb>3:70<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWni7=3<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8785>2dd~`ijn/dofim(keafci!lx/pg|*vi|{Ulo1=1279mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cf:36;<0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjm35?05?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad4?49:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=5=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj632?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?=;423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS=<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[4423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS?<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[6423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS9<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[0423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS;<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[2423geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhS5<:;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[<4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<30?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq87=3<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;:7827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?7;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<34?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8793<6;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4;>7827ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?3;4>3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<38?0:?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq8753<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X8;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]26==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R<=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W:837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\07><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q:299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V<946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[24?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P83:8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U2>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{858502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv31?0;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq692?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|959:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<5<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr793<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}:16;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=5=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs050=8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~;178<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y]362=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y5:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw_204?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqU?>:5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{[0403geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSuQ9269mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fW>8<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y];62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS4<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:;>o5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{kw67888i7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_ymq4565:k1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQwos23464e3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSua}01276g=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsc\7f>?040a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWqey<=>92c9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7fg{:;<:<m;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}iu89:3?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=>PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw6788UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1236ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=><_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt789>T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#y}x.O{kwYwf}xTknQwos2340YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&~xs#@v`r^rmpwY`kVrd~=>?6^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-sw~(EqeyS}`{r^e`[}iu89:<S_k|umv27==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp345>XZly~`y?=4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[5433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT=?:4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]161=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV9986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_507?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX=;>0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ9259mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ15<2dd~`ijn/dofim(keafci!lx/uq|*VI\[UIS5<;;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\=7g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?010a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=>>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;=?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw6788;9m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4565:k1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123644f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?0111f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=><23c8jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY\7fg{:;<9<m;omqibci&ofi`f!lljol`*e\7f&~xs#]@[R^@\|jt789>:>l5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567=;h0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos234075i2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012575=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?603\WR6482dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012554YT_89;7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp345069VY\>?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678?89m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4560:k1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123344f3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01:1f>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEWqey<=>71348jjtjold%jalck.aoohic'jr%{\7fv povq[be;878=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<0<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k585>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>0:70<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWni783<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8085>2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo181279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:06;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm38?05?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZad404996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^211>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV;996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^011>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV9996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^611>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV?996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^411>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV=996``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^:11>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kV3956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1858512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<0<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90?0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54:4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1818512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<4<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr90;0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~54>4956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z18=8512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv=<8<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S=<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X9;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]16==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R==8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W=837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0\17><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q9299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:V=946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z1[=4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<P93:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp5:5>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{848502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv32?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq682?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|929:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<4<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7:3<7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}:06;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx=:=6==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs040=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X8;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^313>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT>?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z55?2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRvP4358jjtjold%jalck.aoohic'jr%{\7fv povq[beXpV?9;6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z\271<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtR9=7:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~X0;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx^;1f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?03`8jjtjold%jalck.aoohic'jr%{\7fv povq[beXpfx;<=?=b:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~hz9:;>?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|jt78999n6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^zlv567<;h0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPxnp34535j2dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv`r12327d<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTtb|?0151f>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrd~=>?80d8jjtjold%jalck.pg[agsiVidyczPi^22b>hhzdmnb#hcbmi,vaYci}kTob{at^k\54`<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ46n2dd~`ijn/dofim(zmUomyoPcnwmpZoX;8l0bb|bgdl-bidkc&xoSio{a^alqkrXaV>:j6``rlefj+`kjea$~iQkauc\gjsi|VcT9<h4nnpnc`h)nehgg"|k_ecweZeh}g~TeR8>f:llvhabf'lgnae re]geqgXkf\7fexRgP70d8jjtjold%jalck.pg[agsiVidyczPi^:2b>hhzdmnb#hcbmi,vaYci}kTob{at^k\=76<ffxfkh`!fm`oo*twzlgdh"\NM^PG[@H6:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp959;l1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nemmdmf)dil;$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(mxij\7fh mif,nhu]3U'gbh#cly358jjtjold%jalck.vkvhic'hogcjgl/bcf5*p64949;6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0>2:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&|:0?0=7:llvhabf'lgnae tipnka)fmeelen!lad3,r4:46;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="x><5<1b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil;$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0]7[dhc89:;=?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}6;2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}6:2?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}692?j4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.abvw\7fim}682>k4nnpnc`h)nehgg"zgrlmg+dckgnch#noj2.grgdub&kcl"`b\7f[1_-bvr)eocy\7fy=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ3^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk=/ds`evc)j`m%aa~T2\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!jqbcpa+dno'gg|V=R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#h\7flarg-fla)eezP8P bie,ng|403geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=1>1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7;978<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!y1=0=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'\7f;7?3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5929:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#{?P0^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!y1^3\ekb789::>k5aosodak(adkf`#yf}mnf,e`jho`i$olk=/w3\6Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5Z5Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7X<Vkeh=>?000g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz30?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz31?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz32?0g?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)di{xrbhz33?1f?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv0f>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(mxij\7fh mif,nhu]6U'gbh#cly2`8jjtjold%jalck.vkvhic'hlgcjgl/bce5*cvkhyn"ogh.lns_7[)e`n%anw<b:llvhabf'lgnae tipnka)fneelen!lag3,atef{l$iej blqY0Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.grgdub&kcl"`b\7f[5_-ilb)ejs9;6``rlefj+`kjea$xe|boe-bbii`aj%hmk? v0>3:71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:0<0=7:llvhabf'lgnae tipnka)fneelen!lag3,r4:56;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm="x><2<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~86?2?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi1.t2[5Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag3,r4Y6Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce5*p6W;Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec7(~8U8Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga9&|:S9Qnne234575l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8585l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8485l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8785l2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$ol|}yogw8684m2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$i|mnsd,amb(jdyQ;Q#h|t/oemwus;k1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#h\7flarg-fla)eezP=P bie,ng|5e3geyajka.gnahn)s`{gdh"oilnejg*efn;%n}no|e/`jc+kkxR8V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'l{hm~k!bhe-iiv\;T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)byjkxi#lfg/oot^2Z&dco"`mv269mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+s7;878<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1=3=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;7>3<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf3-u5959:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?34?0e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb7)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`5'\7f;T=Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn;%}=R<Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl9#{?P3^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj?!y1^6\ekb789::>55aosodak(adkf`#yf}mnf,aii`aj%NA]ZV_OMMV@A5j2dd~`ijn/dofim(|axfci!jlnejg*CJX]STBB@]EF]j571<ffxfkh`!fm`oo*rozdeo#hb`gha,VDKXMDZ_URg=a:llvhabf'lgnae tipnka)bdfmbo"m>/bcqv|hb|5:5>l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:66;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm\7f|vndv?6;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<2<0f>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX4X(a{}$fjd||t2:8jjtjold%jalck.vkvhic'lfdkdm c0-fufgtm'hbk#ccpZ3^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h="k~c`qf*go`&df{W?S!mhf-if\7f402dd~`ijn/dofim(|axfci!jlnejg*e6'l{hm~k!bhe-iiv\;T$fei bcx1;?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,atef{l$iej blqY7Y+knl'ghu?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=2=61=ig{glic ilcnh+qnuefn$iaahib-`5*p6484986``rlefj+`kjea$xe|boe-fhjank&i:#{?32?07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b3,r4:46;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=1:12b9mkwk`mg$m`obd/ujqijb(meelen!l1.t2[5Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m>/w3\5Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n? v0]1[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1^1\ekb789::>n5aosodak(adkf`#yf}mnf,aii`aj%h="x>_5]bja6789;9m6``rlefj+`kjea$xe|boe-fhjank&i9#no}rxlfp969:h1ec\7fcheo,ehgjl'}byabj emmdmf)d:&ij~\7fwaeu>2:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)di{xrbhz32?0b?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,gdtuqgo\7f0>0<b:llvhabf'lgnae tipnka)bdfmbo"m=/ds`evc)j`m%aa~T0\,ewq(jn`xxx>64nnpnc`h)nehgg"zgrlmg+`jho`i$o?!jqbcpa+dno'gg|V?R.lkg*he~;11ec\7fcheo,ehgjl'}byabj emmdmf)d:&ozol}j.ckd*hjwS;W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+`wdizo%ndi!mmrX7X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n< epabw`(ean$f`}U;]/oj`+kdq;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=1>1259mkwk`mg$m`obd/ujqijb(meelen!l2.t28485<2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;7>3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>0:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q95>5>n5aosodak(adkf`#yf}mnf,aii`aj%h>"x>_1]bja6789;9o6``rlefj+`kjea$xe|boe-fhjank&i9#{?P1^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j8$z<Q=_`lg45679;i0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=R=Paof34566:j1ec\7fcheo,ehgjl'}byabj emmdmf)d:&|:S9Qnne234575<2dd~`ijn/dofim(|axfci!jlnejg*ehey;dyy<;;omqibci&ofi`f!{hsol`*ckgnch#nabp3mvp71<ffxfkh`!fm`oo*rozdeo#kb`gha,BPJKWGEE^HI=a:llvhabf'lgnae tipnka)adfmbo"HZLM]MKKTBOVc:>;5aosodak(adkf`#yf}mnf,bii`aj%YM@QIUMN\m7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>3:7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>2:7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>1:7b<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ij~\7fwaeu>0:6c<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ozol}j.ckd*hjwS9W%j~z!mgkqwq5e3geyajka.gnahn)s`{gdh"hcofk`+fijx8%n}no|e/`jc+kkxR;V"`gk.laz7g=ig{glic ilcnh+qnuefn$jaahib-`khv6'l{hm~k!bhe-iiv\:T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)byjkxi#lfg/oot^5Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX0X(jam$fot<8;omqibci&ofi`f!{hsol`*`kgnch#nabp0-u5969:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?31?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9585>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~>/w3?7;403geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=1:12g9mkwk`mg$m`obd/ujqijb(neelen!lolr2+s7X8Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt4)q9V;Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv6'\7f;T>Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx8%}=R=Paof34566:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz:#{?P4^cm`567888o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;878o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;978o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;:78o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;;79n7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V>R.gqw*h`nzz~8n6``rlefj+`kjea$xe|boe-ehjank&ida}< epabw`(ean$f`}U>]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W?S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!ilnejg*ehey8$i|mnsd,amb(jdyQ8Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS=W%adj!mb{13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(~86;2?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t28485?2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<2=>358jjtjold%jalck.vkvhic'ofdkdm cnos6*p64:49;6``rlefj+`kjea$xe|boe-ehjank&ida}< v0>7:7`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw:&|:S=Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey8$z<Q>_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{>"x>_3]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}< v0]0[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f2.t2[1Yffm:;<=?=6:llvhabf'lgnae tipnka)adfmbo"m`mq]eqij6:?1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdzTjxbc2328jjtjold%jalck.vntZvi|{UySigif=2=65=ig{glic ilcnh+rvumdeo#_OB_VP\AK76?2dd~`ijn/kmfim(zmUy\7fyQib0c8jjtjold%eclck.pg[wusW`d\7fS=?7;omqibci&`di`f!}d^pppZtbo8=0bb|bgdl-mkdkc&}yS\7f}{_g`2<>hhzdmnb#gabmi,swYu{}Uyij86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]7U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY2Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U7d3geyajka.lgnfim(|dzT~lcPre]fj4b<ffxfkh`!mdoahn)seyUym`Q}d^gm5==h~lxm`byn;qplcZcjx}s:86~}of]fiur~W}byi~f'0(37?uthoVof|ywPtipfwm.6!8>0|\7fah_dosp|Ys`{oxd%<&159svjaXmdz\7fuRzgrdqk,6/6<2zycjQjmqvz[qnumzb#8$?;;qplcZcjx}sTxe|jsi*6-42<x{elShc\7ftx]wlwct`!<"=95\7frne\ahvsqV~c~h}g(6+20>vugnUna}zv_ujqavn/0 ;?7}|`g^gntq\7fX|axn\7fe&6)068twi`Wlg{xtQ{hsgpl9699=1{~biPelrw}Zrozlyc0<0>4:rqkbYbey~rSyf}erj?6;733yxdkRkbpu{\pmtb{a682<:4psmd[`kw|pU\7fd\7fk|h=6=51=wzfmTi`~{y^vkv`uo4<4:86~}of]fiur~W}byi~f36?37?uthoVof|ywPtipfwm:068>0|\7fah_dosp|Ys`{oxd161179svjaXmdz\7fuRzgrdqk8<<768>0|\7fah_dosp|Ys`{oxd1711c9svjaXmdz\7fuRzgrdqk[dutm{~#<$?m;qplcZcjx}sTxe|jsi]bwvcu|!;"=o5\7frne\ahvsqV~c~h}g_`qpawr/: ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-5.9k1{~biPelrw}ZrozlycSl}|esv+0,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)7*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'6(3a?uthoVof|ywPtipfwmYf{zoyx%9&1c9svjaXmdz\7fuRzgrdqk[dutm{~#4$?m;qplcZcjx}sTxe|jsi]bwvcu|!3"=o5\7frne\ahvsqV~c~h}g_`qpawr;87;i7}|`g^gntq\7fX|axn\7feQnsrgqp9799k1{~biPelrw}ZrozlycSl}|esv?6;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=1=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz34?3a?uthoVof|ywPtipfwmYf{zoyx1;11c9svjaXmdz\7fuRzgrdqk[dutm{~7:3?m;qplcZcjx}sTxe|jsi]bwvcu|5=5=o5\7frne\ahvsqV~c~h}g_`qpawr;07;o7}|`g^gntq\7fX|axn\7feQnsrgqp9?=87;i7}|`g^gntq\7fX|axn\7feQnsrgqp9?99k1{~biPelrw}ZrozlycSckhaug+4,7e3yxdkRkbpu{\pmtb{aUeijo{e)3*5g=wzfmTi`~{y^vkv`uoWgolmyk'2(3a?uthoVof|ywPtipfwmYimnk\7fi%=&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#8$?m;qplcZcjx}sTxe|jsi]mabgsm!?"=o5\7frne\ahvsqV~c~h}g_ogdeqc/> ;i7}|`g^gntq\7fX|axn\7feQaefcwa-1.9k1{~biPelrw}ZrozlycSckhaug+<,7e3yxdkRkbpu{\pmtb{aUeijo{e);*5g=wzfmTi`~{y^vkv`uoWgolmyk30?3a?uthoVof|ywPtipfwmYimnk\7fi1?11c9svjaXmdz\7fuRzgrdqk[kc`i}o7>3?m;qplcZcjx}sTxe|jsi]mabgsm595=o5\7frne\ahvsqV~c~h}g_ogdeqc;<7;i7}|`g^gntq\7fX|axn\7feQaefcwa9399k1{~biPelrw}ZrozlycSckhaug?2;7e3yxdkRkbpu{\pmtb{aUeijo{e=5=5g=wzfmTi`~{y^vkv`uoWgolmyk38?3g?uthoVof|ywPtipfwmYimnk\7fi1750?3a?uthoVof|ywPtipfwmYimnk\7fi1719:rqkbYa}ef:?6~}of]eqijX|axn\7fe&?)018twi`Wo\7fg`Rzgrdqk,4/6;2zycjQiumn\pmtb{a"9%<=4psmd[cskdV~c~h}g(2+27>vugnUmyabPtipfwm.3!890|\7fah_gwohZrozlyc$8'>3:rqkbYa}efTxe|jsi*5-45<x{elSk{cl^vkv`uo >#:?6~}of]eqijX|axn\7fe&7)018twi`Wo\7fg`Rzgrdqk,</6;2zycjQiumn\pmtb{a6;2<=4psmd[cskdV~c~h}g<0<27>vugnUmyabPtipfwm:56890|\7fah_gwohZrozlyc0>0>3:rqkbYa}efTxe|jsi>7:45<x{elSk{cl^vkv`uo4<4:?6~}of]eqijX|axn\7fe29>018twi`Wo\7fg`Rzgrdqk8286;2zycjQiumn\pmtb{a632<;4psmd[cskdV~c~h}g<883:45<x{elSk{cl^vkv`uo404:m6~}of]eqijX|axn\7feQnsrgqp-6.9h1{~biPftno[qnumzbTm~}jru*2-4g<x{elSk{cl^vkv`uoWhyxi\7fz'2(3b?uthoVl~`aQ{hsgplZgt{lx\7f$>'>a:rqkbYa}efTxe|jsi]bwvcu|!>"=l5\7frne\bpjkW}byi~fParqfvq.2!8k0|\7fah_gwohZrozlycSl}|esv+2,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(6+2e>vugnUmyabPtipfwmYf{zoyx%6&1`9svjaXn|fgSyf}erj\evubz}"2%<o4psmd[cskdV~c~h}g_`qpawr;87;j7}|`g^dvhiYs`{oxdRo|sdpw8486i2zycjQiumn\pmtb{aUj\7f~k}t=0=5d=wzfmTjxbc_ujqavnXizyn~y2<>0c8twi`Wo\7fg`Rzgrdqk[dutm{~783?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4<4:m6~}of]eqijX|axn\7feQnsrgqp9099h1{~biPftno[qnumzbTm~}jru>4:4g<x{elSk{cl^vkv`uoWhyxi\7fz38?3`?uthoVl~`aQ{hsgplZgt{lx\7f044?>0c8twi`Wo\7fg`Rzgrdqk[dutm{~753?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 9#:m6~}of]eqijX|axn\7feQaefcwa-7.9h1{~biPftno[qnumzbTbhintd*1-4g<x{elSk{cl^vkv`uoWgolmyk'3(3b?uthoVl~`aQ{hsgplZhboh~n$9'>a:rqkbYa}efTxe|jsi]mabgsm!?"=l5\7frne\bpjkW}byi~fPndebp`.1!8k0|\7fah_gwohZrozlycSckhaug+3,7f3yxdkRhzlm]wlwct`Vdnklzj(9+2e>vugnUmyabPtipfwmYimnk\7fi%7&1`9svjaXn|fgSyf}erj\j`af|l6;2<o4psmd[cskdV~c~h}g_ogdeqc;97;j7}|`g^dvhiYs`{oxdR`jg`vf8786i2zycjQiumn\pmtb{aUeijo{e=1=5d=wzfmTjxbc_ujqavnXflmjxh2;>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o793?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb4?4:m6~}of]eqijX|axn\7feQaefcwa9199h1{~biPftno[qnumzbTbhintd>;:4e<x{elSk{cl^vkv`uoWgolmyk39;2=5d=wzfmTjxbc_ujqavnXflmjxh26>79q`Zekc8:0~iQkauc\gjsi|!:"==5}d^fbpdYdg|d\7f$<'>0:pg[agsiVidycz'2(33?wbXlh~jSnaznu*0-46<zmUomyoPcnwmp-2.991yhRjnt`]`kphs <#:<6|k_ecweZeh}g~#:$??;sf\`drfWje~by&8)028vaYci}kTob{at):*55=ulVnjxlQlotlw,</682xoSio{a^alqkr;87;;7\7fjPd`vb[firf}6:2<>4re]geqgXkf\7fex1<1119q`Zbf|hUhcx`{<2<24>tcWmk\7fmRm`uov?0;773{nThlzn_bmvjq:268:0~iQkauc\gjsi|5<5==5}d^fbpdYdg|d\7f0:0>0:pg[agsiVidycz38?31?wbXlh~jSnaznu>:>58682xoSio{a^alqkr;17?0~iQjn79q`Ztt|:1y\7fy94sckwawt33zxxx45znegqbiip=2|j`dj9;vp\efm13~xToae>0:uq[agsiVidycz'0(33?rtXlh~jSnaznu*2-46<\7f{UomyoPcnwmp-4.991|~Rjnt`]`kphs :#:<6y}_ecweZeh}g~#8$??;vp\`drfWje~by&:)028swYci}kTob{at)4*55=pzVnjxlQlotlw,2/682}ySio{a^alqkr/0 ;;7z|Pd`vb[firf}"2%<>4ws]geqgXkf\7fex1>1119tvZbf|hUhcx`{<0<24>quWmk\7fmRm`uov?6;773~xThlzn_bmvjq:468:0{\7fQkauc\gjsi|5>5==5xr^fbpdYdg|d\7f080>0:uq[agsiVidycz36?33?rtXlh~jSnaznu>4:46<\7f{UomyoPcnwmp9>99;1|~Rjnt`]`kphs400;2<>4ws]geqgXkf\7fex1715:uq[`h13~xT~~z>1:Áȹ¸À¹ßÅε˱±ß¸²¸f?ÔĸØÅ´ÜɶÇϼÄÇ~DEv699;0LMv<ab8E>1<6sZ<j6>o9:4827727lk0:=kh={o0`7?7<f;i?695+2b396gg<uZ<26>o9:4827727lk0:=kh=;R3`2?5fm3:1=><;0e`954`a;2Y=57=ne;2956438mh1=<hi6:f0eg<7280:w^8n:2c5>0<6;;>;ho4>1gd1?!4en39j46x[28294?7=9388nv]9a;1b2?3=9:8?<il510de6>"51j0:o:5Y2b096~s6km0:7x?le;28y!45n3997o=nb;2914<42<;pD?76;[7f>6}6m38j6?85}%0:1?5fj2.9nk4<a99Y6f6=:r9j47=n8;\7fj2c>=831d:hm50;&174<1n?1e>>>50:9l2`d=83.9?<49f79m666=921d:ho50;&174<1n?1e>>>52:9l2`?=83.9?<49f79m666=;21d:h650;&174<1n?1e>>>54:9l2`1=83.9?<49f79m666==21d:h850;&174<1n?1e>>>56:9l2`3=83.9?<49f79m666=?21d:h:50;&174<1n?1e>>>58:9l2`5=83.9?<49f79m666=121b;<850;9l7dg=831d?l950;9j356=831b:ko50;9l72c=83.9?<4<9e9m666=821d?:j50;&174<41m1e>>>51:9l72d=83.9?<4<9e9m666=:21d?:o50;&174<41m1e>>>53:9l72?=83.9?<4<9e9m666=<21d?:650;&174<41m1e>>>55:9l721=83.9?<4<9e9m666=>21d?:850;&174<41m1e>>>57:9l723=83.9?<4<9e9m666=021d?::50;&174<41m1e>>>59:9l725=83.9?<4<9e9m666=i21d?:<50;&174<41m1e>>>5b:9l726=83.9?<4<9e9m666=k21d?;h50;&174<41m1e>>>5d:9l73c=83.9?<4<9e9m666=m21d?;j50;&174<41m1e>>>5f:9l73e=83.9?<4<9e9m666=9910c>8m:18'667=;0n0b?=?:038?j51i3:1(?=>:2;g?k4483;976a<6883>!449392h6`=31827>=h;?21<7*=3080=a=i:::1=954o244>5<#::;1?4j4n313>43<3f9387>5$312>6?c3g88<7?9;:m0<6<72-88=7=6d:l175<6?21d?5<50;&174<41m1e>>>51998k6>6290/>>?538f8j75728307b=70;29 7562:3o7c<<0;3b?>i4?o0;6)<<1;1:`>h5;90:n65`36a94?"5;8085i5a22295f=<g:=:6=4+22397<b<f;9;6<j4;n152?6=,;9:6>7k;o004?7b32e8:84?:%005?5>l2d9?=4>f:9j76b=83.9?<4<5b9m666=821b?>m50;&174<4=j1e>>>51:9j76g=83.9?<4<5b9m666=:21b?>750;&174<4=j1e>>>53:9j76>=83.9?<4<5b9m666=<21b?>950;&174<4=j1e>>>55:9j760=83.9?<4<5b9m666=>21b?>;50;&174<4=j1e>>>57:9j762=83.9?<4<5b9m666=021b?>=50;&174<4=j1e>>>59:9j764=83.9?<4<5b9m666=i21b?>?50;&174<4=j1e>>>5b:9j77`=83.9?<4<5b9m666=k21b??k50;&174<4=j1e>>>5d:9j77b=83.9?<4<5b9m666=m21b??m50;&174<4=j1e>>>5f:9j77d=83.9?<4<5b9m666=9910e><n:18'667=;<i0b?=?:038?l5513:1(?=>:27`?k4483;976g<2983>!44939>o6`=31827>=n;;=1<7*=30801f=i:::1=954i205>5<#::;1?8m4n313>43<3`9??7>5$312>63d3g88<7?9;:k007<72-88=7=:c:l175<6?21b?9?50;&174<4=j1e>>>51998m627290/>>?534a8j75728307d=<f;29 7562:?h7c<<0;3b?>o4;l0;6)<<1;16g>h5;90:n65f32`94?"5;8089n5a22295f=<a:9;6=4+223970e<f;9;6<j4;h111?6=,;9:6>;l;o004?7b32c8>94?:%005?52k2d9?=4>f:9j2`4=831i>4l50;394?6|@;327)<65;0:f>i5:l0;66sm16294?7=83:pD?76;%0:1?7082e::k4?::\7fa1a<72k;1?7o>{I0:=>\2m3;=wn4>0;3f>a<6n3l1>l4=6;32>40=m3;=6<h52`82a?b=:?0:=7??:g8f>f<z,;3>6>ok;%3`0?71m2.9nk4<a99'67>=:;i0c?m::188m3`>2900e;8l:18'667=>><0b?=?:198m30e290/>>?56648j7572810e;8n:18'667=>><0b?=?:398m30>290/>>?56648j7572:10e;87:18'667=>><0b?=?:598m300290/>>?56648j7572<10e;89:18'667=>><0b?=?:798m302290/>>?56648j7572>10e;8;:18'667=>><0b?=?:998m304290/>>?56648j7572010c;o=:188m3`d2900c;hj:188m3e6290/>>?56b`8j7572910e;m?:18'667=>jh0b?=?:098m3da290/>>?56b`8j7572;10e;lj:18'667=>jh0b?=?:298m3dc290/>>?56b`8j7572=10e;ll:18'667=>jh0b?=?:498m3de290/>>?56b`8j7572?10e;ln:18'667=>jh0b?=?:698m3d>290/>>?56b`8j7572110e;l7:18'667=>jh0b?=?:898m3`?2900c;8=:188k3062900e?m7:188k3cd290/>>?56g48j7572910c;km:18'667=>o<0b?=?:098k3cf290/>>?56g48j7572;10c;k6:18'667=>o<0b?=?:298k3c?290/>>?56g48j7572=10c;k8:18'667=>o<0b?=?:498k3c1290/>>?56g48j7572?10c;k::18'667=>o<0b?=?:698k3c3290/>>?56g48j7572110c;k<:18'667=>o<0b?=?:898m3>6290/>>?569`8j7572910e;6?:18'667=>1h0b?=?:098m31a290/>>?569`8j7572;10e;9j:18'667=>1h0b?=?:298m31c290/>>?569`8j7572=10e;9l:18'667=>1h0b?=?:498m31e290/>>?569`8j7572?10e;9n:18'667=>1h0b?=?:698m31>290/>>?569`8j7572110e;97:18'667=>1h0b?=?:898m2712900c:>n:18'667=?8>0b?=?:198k26>290/>>?57068j7572810c:>7:18'667=?8>0b?=?:398k260290/>>?57068j7572:10c:>9:18'667=?8>0b?=?:598k262290/>>?57068j7572<10c:>;:18'667=?8>0b?=?:798k264290/>>?57068j7572>10c:>=:18'667=?8>0b?=?:998k266290/>>?57068j7572010c>on:188k6g02900e:>?:188m3gd290/>>?56c48j7572910e;om:18'667=>k<0b?=?:098m3gf290/>>?56c48j7572;10e;o6:18'667=>k<0b?=?:298m3g?290/>>?56c48j7572=10e;o8:18'667=>k<0b?=?:498m3g1290/>>?56c48j7572?10e;o::18'667=>k<0b?=?:698m3g3290/>>?56c48j7572110e;o<:18'667=>k<0b?=?:898m7e>2900e:?8:188k3`e2900c;hi:188k3`c2900e;hn:188k61b290/>>?538f8j7572910c>9k:18'667=;0n0b?=?:098k61e290/>>?538f8j7572;10c>9n:18'667=;0n0b?=?:298k61>290/>>?538f8j7572=10c>97:18'667=;0n0b?=?:498k610290/>>?538f8j7572?10c>99:18'667=;0n0b?=?:698k612290/>>?538f8j7572110c>9;:18'667=;0n0b?=?:898k614290/>>?538f8j7572h10c>9=:18'667=;0n0b?=?:c98k617290/>>?538f8j7572j10c>8i:18'667=;0n0b?=?:e98k60b290/>>?538f8j7572l10c>8k:18'667=;0n0b?=?:g98k60d290/>>?538f8j75728:07b=9b;29 7562:3o7c<<0;32?>i4>h0;6)<<1;1:`>h5;90:>65`37;94?"5;8085i5a222956=<g:<36=4+22397<b<f;9;6<:4;n153?6=,;9:6>7k;o004?7232e8494?:%005?5>l2d9?=4>6:9l7=5=83.9?<4<9e9m666=9>10c>6=:18'667=;0n0b?=?:0:8?j5?93:1(?=>:2;g?k4483;276a<8183>!449392h6`=3182e>=h;>l1<7*=3080=a=i:::1=o54o25`>5<#::;1?4j4n313>4e<3f9<=7>5$312>6?c3g88<7?k;:m023<72-88=7=6d:l175<6m21d?;;50;&174<41m1e>>>51g98m65c290/>>?534a8j7572910e>=l:18'667=;<i0b?=?:098m65f290/>>?534a8j7572;10e>=6:18'667=;<i0b?=?:298m65?290/>>?534a8j7572=10e>=8:18'667=;<i0b?=?:498m651290/>>?534a8j7572?10e>=::18'667=;<i0b?=?:698m653290/>>?534a8j7572110e>=<:18'667=;<i0b?=?:898m655290/>>?534a8j7572h10e>=>:18'667=;<i0b?=?:c98m64a290/>>?534a8j7572j10e><j:18'667=;<i0b?=?:e98m64c290/>>?534a8j7572l10e><l:18'667=;<i0b?=?:g98m64e290/>>?534a8j75728:07d==a;29 7562:?h7c<<0;32?>o4:00;6)<<1;16g>h5;90:>65f33:94?"5;8089n5a222956=<a:8<6=4+223970e<f;9;6<:4;h112?6=,;9:6>;l;o004?7232c88>4?:%005?52k2d9?=4>6:9j714=83.9?<4<5b9m666=9>10e>:>:18'667=;<i0b?=?:0:8?l5383:1(?=>:27`?k4483;276g<3g83>!44939>o6`=3182e>=n;:o1<7*=30801f=i:::1=o54i21a>5<#::;1?8m4n313>4e<3`98<7>5$312>63d3g88<7?k;:k060<72-88=7=:c:l175<6m21b??:50;&174<4=j1e>>>51g98k7e12900e?m8:188m3b1290/>>?56d28j7572910e;j::18'667=>l:0b?=?:098m3b3290/>>?56d28j7572;10e;j<:18'667=>l:0b?=?:298m3b5290/>>?56d28j7572=10e;j>:18'667=>l:0b?=?:498m3b7290/>>?56d28j7572?10e;mi:18'667=>l:0b?=?:698m3eb290/>>?56d28j7572110e;mk:18'667=>l:0b?=?:898k7b4290/>>?52e78j7572910c?j=:18'667=:m?0b?=?:098k7b6290/>>?52e78j7572;10c?j?:18'667=:m?0b?=?:298k7ea290/>>?52e78j7572=10c?mj:18'667=:m?0b?=?:498k7ec290/>>?52e78j7572?10c?ml:18'667=:m?0b?=?:698k7ee290/>>?52e78j7572110c?mn:18'667=:m?0b?=?:898k7b12900e;79:18'667=>h:0b?=?:198m3?2290/>>?56`28j7572810e;7;:18'667=>h:0b?=?:398m3?4290/>>?56`28j7572:10e;7=:18'667=>h:0b?=?:598m3?6290/>>?56`28j7572<10e;7?:18'667=>h:0b?=?:798m3>a290/>>?56`28j7572>10e;6j:18'667=>h:0b?=?:998m3>c290/>>?56`28j7572010e;k=:188f7dc290:6=4?{%0:1?7082B9nn5G28;8k40a2900qo<66;29ba<6jl0:jiuG28;8 7?22:k27W;j:01x5f<6l3;<6<6518827?7228k1=?4>b;0:>42=?38>6o4n:88;>3<z,>;26:?7;n4f>5<<g?n1<75f29594?=h=k0;66g=8b83>>i1k3:17b8i:188k7gd2900c<8<:188m7d>2900c?78:188k0g=831b>:l50;9l67b=831d>4o50;9l53b=831d>>=50;9j6db=831d>8;50;9l74>=83.9?<4<169m666=821d?<850;&174<49>1e>>>51:9l743=83.9?<4<169m666=:21d?<:50;&174<49>1e>>>53:9l745=83.9?<4<169m666=<21d?<<50;&174<49>1e>>>55:9l747=83.9?<4<169m666=>21d?<>50;&174<49>1e>>>57:9l75`=83.9?<4<169m666=021d?=k50;&174<49>1e>>>59:9l775=83.9?<4<239m666=821d???50;&174<4:;1e>>>51:9l776=83.9?<4<239m666=:21d?<h50;&174<4:;1e>>>53:9l74c=83.9?<4<239m666=<21d?<j50;&174<4:;1e>>>55:9l74e=83.9?<4<239m666=>21d?<l50;&174<4:;1e>>>57:9l74g=83.9?<4<239m666=021d?<750;&174<4:;1e>>>59:9l6`7=83.9?<4=e19m666=821d>ih50;&174<5m91e>>>51:9l6ac=83.9?<4=e19m666=:21d>ij50;&174<5m91e>>>53:9l6ae=83.9?<4=e19m666=<21d>il50;&174<5m91e>>>55:9l6ag=83.9?<4=e19m666=>21d>i750;&174<5m91e>>>57:9l6a>=83.9?<4=e19m666=021d>i950;&174<5m91e>>>59:9l6`e=83.9?<4=ec9m666=821d>ho50;&174<5mk1e>>>51:9l6`?=83.9?<4=ec9m666=:21d>h650;&174<5mk1e>>>53:9l6`1=83.9?<4=ec9m666=<21d>h850;&174<5mk1e>>>55:9l6`3=83.9?<4=ec9m666=>21d>h:50;&174<5mk1e>>>57:9l6`5=83.9?<4=ec9m666=021d>h<50;&174<5mk1e>>>59:9l6c1=83.9?<4=f79m666=821d>k;50;&174<5n?1e>>>51:9l6c2=83.9?<4=f79m666=:21d>k=50;&174<5n?1e>>>53:9l6c4=83.9?<4=f79m666=<21d>k?50;&174<5n?1e>>>55:9l6c6=83.9?<4=f79m666=>21d>hh50;&174<5n?1e>>>57:9l6`c=83.9?<4=f79m666=021d>hj50;&174<5n?1e>>>59:9l<0<72-88=76;;o004?6<3f286=4+2239<1=i:::1=65`8383>!44932?7c<<0;08?j>6290/>>?5859m666=;21d4=4?:%005?>33g88<7:4;n5e>5<#::;1495a22291>=h?l0;6)<<1;:7?k4483<07b9k:18'667=0=1e>>>57:9l3f<72-88=76;;o004?><3f=i6=4+2239<1=i:::1565`2c:94?"5;809n:5a22294>=h:k<1<7*=3081f2=i:::1=65`2c794?"5;809n:5a22296>=h:k>1<7*=3081f2=i:::1?65`2c194?"5;809n:5a22290>=h:k81<7*=3081f2=i:::1965`2c394?"5;809n:5a22292>=h:k:1<7*=3081f2=i:::1;65`2`d94?"5;809n:5a2229<>=h:ho1<7*=3081f2=i:::1565`31094?"5;808<<5a22294>=h;9:1<7*=308044=i:::1=65`2gd94?"5;808<<5a22296>=h:oo1<7*=308044=i:::1?65`2gf94?"5;808<<5a22290>=h:oi1<7*=308044=i:::1965`2g`94?"5;808<<5a22292>=h:ok1<7*=308044=i:::1;65`2g;94?"5;808<<5a2229<>=h:o21<7*=308044=i:::1565`7`83>!4493=27c<<0;28?j1?290/>>?5789m666=921d;:4?:%005?1>3g88<7<4;n55>5<#::;1;45a22297>=h?<0;6)<<1;5:?k4483>07b9;:18'667=?01e>>>55:9l36<72-88=796;o004?0<3f=96=4+22393<=i:::1;65`7083>!4493=27c<<0;:8?j17290/>>?5789m666=121d?=j50;&174<48j1e>>>50:9l75d=83.9?<4<0b9m666=921d?=o50;&174<48j1e>>>52:9l75?=83.9?<4<0b9m666=;21d?=650;&174<48j1e>>>54:9l751=83.9?<4<0b9m666==21d?=850;&174<48j1e>>>56:9l753=83.9?<4<0b9m666=?21d?=:50;&174<48j1e>>>58:9l755=83.9?<4<0b9m666=121d=8l50;&174<6=h1e>>>50:9l50?=83.9?<4>5`9m666=921d=8950;&174<6=h1e>>>52:9l500=83.9?<4>5`9m666=;21d=8;50;&174<6=h1e>>>54:9l502=83.9?<4>5`9m666==21d=8=50;&174<6=h1e>>>56:9l504=83.9?<4>5`9m666=?21d=8?50;&174<6=h1e>>>58:9l506=83.9?<4>5`9m666=121d=9h50;&174<6=h1e>>>5a:9l51c=83.9?<4>5`9m666=j21d=9m50;&174<6=h1e>>>5c:9l51d=83.9?<4>5`9m666=l21d=9o50;&174<6=h1e>>>5e:9l51?=83.9?<4>5`9m666=n21d=9650;&174<6=h1e>>>51198k420290/>>?514c8j75728;07b?;6;29 75628?j7c<<0;31?>i6<<0;6)<<1;36e>h5;90:?65`15694?"5;80:9l5a222951=<g8>86=4+223950g<f;9;6<;4;n356?6=,;9:6<;n;o004?7132e::<4?:%005?72i2d9?=4>7:9l536=83.9?<4>5`9m666=9110c<;i:18'667=9<k0b?=?:0;8?j72m3:1(?=>:07b?k4483;j76a>5e83>!4493;>m6`=3182f>=h9<i1<7*=30821d=i:::1=n54o07;>5<#::;1=8o4n313>4b<3f;?h7>5$312>43f3g88<7?j;:m207<72-88=7?:a:l175<6n21d>5850;&174<50<1e>>>50:9l6=2=83.9?<4=849m666=921d>5=50;&174<50<1e>>>52:9l6=4=83.9?<4=849m666=;21d>5?50;&174<50<1e>>>54:9l6=6=83.9?<4=849m666==21d>:h50;&174<50<1e>>>56:9l62c=83.9?<4=849m666=?21d>:j50;&174<50<1e>>>58:9l62e=83.9?<4=849m666=121b>9750;&174<5<11e>>>50:9j611=83.9?<4=499m666=921b>9850;&174<5<11e>>>52:9j613=83.9?<4=499m666=;21b>9:50;&174<5<11e>>>54:9j615=83.9?<4=499m666==21b>9<50;&174<5<11e>>>56:9j617=83.9?<4=499m666=?21b>9>50;&174<5<11e>>>58:9j66`=83.9?<4=499m666=121bi?4?:%005?c63g88<7>4;hg3>5<#::;1i<5a22295>=nll0;6)<<1;g2?k4483807djk:18'667=m81e>>>53:9j`f<72-88=7k>;o004?2<3`ni6=4+2239a4=i:::1965fd`83>!4493o:7c<<0;48?lb>290/>>?5e09m666=?21bh54?:%005?c63g88<764;hf4>5<#::;1i<5a2229=>=nl?0;6)<<1;g2?k4483k07dj::18'667=m81e>>>5b:9j`6<72-88=7k>;o004?e<3`n96=4+2239a4=i:::1h65fd083>!4493o:7c<<0;g8?lb7290/>>?5e09m666=n21bok4?:%005?c63g88<7??;:k`a?6=,;9:6h?4n313>47<3`io6=4+2239a4=i:::1=?54iba94?"5;80n=6`=31827>=nkk0;6)<<1;g2?k4483;?76gla;29 7562l;0b?=?:078?lc>290/>>?5e09m666=9?10eh650;&174<b92d9?=4>7:9ja2<72-88=7k>;o004?7?32cn:7>5$312>`7<f;9;6<74;hg6>5<#::;1i<5a22295d=<al>1<7*=308f5>h5;90:n65fe283>!4493o:7c<<0;3`?>ocn3:1(?=>:d38j75728n07dj;:18'667=m81e>>>51d98mf?=83.9?<4j1:l175<6n21b>:850;&174<5?<1e>>>50:9j622=83.9?<4=749m666=921b>:=50;&174<5?<1e>>>52:9j624=83.9?<4=749m666=;21b>:?50;&174<5?<1e>>>54:9j626=83.9?<4=749m666==21b>;h50;&174<5?<1e>>>56:9j63c=83.9?<4=749m666=?21b>;j50;&174<5?<1e>>>58:9j63e=83.9?<4=749m666=121b>;l50;&174<5>h1e>>>50:9j63?=83.9?<4=6`9m666=921b>;650;&174<5>h1e>>>52:9j631=83.9?<4=6`9m666=;21b>;850;&174<5>h1e>>>54:9j633=83.9?<4=6`9m666==21b>;:50;&174<5>h1e>>>56:9j635=83.9?<4=6`9m666=?21b>;<50;&174<5>h1e>>>58:9j637=83.9?<4=6`9m666=121b>;>50;&174<5=o1e>>>50:9j60c=83.9?<4=5g9m666=921b>8j50;&174<5=o1e>>>52:9j60e=83.9?<4=5g9m666=;21b>8l50;&174<5=o1e>>>54:9j60g=83.9?<4=5g9m666==21b>8750;&174<5=o1e>>>56:9j60>=83.9?<4=5g9m666=?21b>8950;&174<5=o1e>>>58:9j600=83.9?<4=5g9m666=121b>8:50;&174<5=:1e>>>50:9j604=83.9?<4=529m666=921b>8?50;&174<5=:1e>>>52:9j606=83.9?<4=529m666=;21b>9h50;&174<5=:1e>>>54:9j61c=83.9?<4=529m666==21b>9j50;&174<5=:1e>>>56:9j61e=83.9?<4=529m666=?21b>9l50;&174<5=:1e>>>58:9j61g=83.9?<4=529m666=121b>>k50;&174<5;m1e>>>50:9j66e=83.9?<4=3e9m666=921b>>l50;&174<5;m1e>>>52:9j66g=83.9?<4=3e9m666=;21b>>750;&174<5;m1e>>>54:9j66>=83.9?<4=3e9m666==21b>>950;&174<5;m1e>>>56:9j660=83.9?<4=3e9m666=?21b>>;50;&174<5;m1e>>>58:9j662=83.9?<4=3e9m666=121i>oj50;394?6|,;3>6<9?;I0ag>N5101d=;h50;9~f7db290:6=4?{%0:1?4>j2B9nn5G28;8k74b2900qo8:9;290?6=8r.9584>6`9K6ge<@;327E;l;%34a?7<a<l1<75f6c83>>o5;;0;66a=7683>>{e><i1<7;50;2x 7?228<i7E<mc:J1=<=O=j1/=:k51:k6b?6=3`<?6=44i7`94?=n::81<75`26594?=zj??i6=4;:183\7f!4>=3;=m6F=bb9K6<?<@<i0(<9j:09j1c<722c=n7>5;h006?6=3f8<;7>5;|`51d<72<0;6=u+287953d<@;hh7E<69:J6g>"6?l0:7d;i:188m32=831b:o4?::k177<722e9;:4?::\7fa0<0=83?1<7>t$3;6>40e3A8io6F=989'52c=<2c>j7>5;h47>5<<a?h1<75f22094?=h:>=1<75rb5;6>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn976:186>5<7s-8297?99:J1ff=O:030(<9j:09j1c<722c=87>5;h4;>5<<a?h1<75`26594?=zj=3<6=4::183\7f!4>=3;=56F=bb9K6<?<,8=n6<5f5g83>>o1<3:17d87:188m3d=831d>:950;9~f1??290>6=4?{%0:1?7112B9nn5G28;8 41b281b9k4?::k50?6=3`<36=44i7`94?=h:>=1<75rb5;`>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn97n:186>5<7s-8297?99:J1ff=O:030(<9j:09j1c<722c=87>5;h4;>5<<a?h1<75`26594?=zj=3i6=4::183\7f!4>=3;=56F=bb9K6<?<,8=n6<5f5g83>>o1<3:17d87:188m3d=831d>:950;9~f1?c290>6=4?{%0:1?7112B9nn5G28;8 41b281b9k4?::k50?6=3`<36=44i7`94?=h:>=1<75rb5;f>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn98i:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi8:>50;694?6|,;3>6<ml;I0ag>N5101b:84?::k;2?6=3`83h7>5;n01f?6=3th?4<4?:283>5}#:0?1>ol4H3``?M4>12c=97>5;h3`1?6=3f89n7>5;|`737<72=0;6=u+28795fe<@;hh7E<69:k51?6=3`2=6=44i3:g>5<<g;8i6=44}c6;6?6=;3:1<v*=9481fg=O:ki0D?76;h46>5<<a8i>6=44o30a>5<<uk><87>54;294~"51<0:on5G2ca8L7?>3`<>6=44i9494?=n:1n1<75`23`94?=zj=286=4<:183\7f!4>=38in6F=bb9K6<?<a??1<75f1b794?=h:;h1<75rb555>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm49694?5=83:p(?7::3`a?M4ek2B9545f6483>>o6k<0;66a=2c83>>{e<>21<7:50;2x 7?228ih7E<mc:J1=<=n><0;66g76;29?l4?l3:17b<=b;29?xd30<0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;7`83>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:76;297?6=8r.9584=bc9K6ge<@;327d8::188m4e22900c?<m:188yg20k3:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f1>029086=4?{%0:1?4ej2B9nn5G28;8m33=831b=n;50;9l67d=831vn99j:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi85650;194?6|,;3>6?lm;I0ag>N5101b:84?::k2g0<722e9>o4?::\7fa0=?=8391<7>t$3;6>7de3A8io6F=989j20<722c:o84?::m16g<722wi8;850;794?6|,;3>6<86;I0ag>N5101/=:k51:k6b?6=3`<?6=44i7:94?=n>k0;66a=7683>>{e<??1<7;50;2x 7?228<i7E<mc:J1=<=#9>o186g:f;29?l032900e;l50;9j664=831d>:950;9~f10>290>6=4?{%0:1?7112B9nn5G28;8 41b281b9k4?::k50?6=3`<36=44i7`94?=h:>=1<75rb544>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn987:186>5<7s-8297?99:J1ff=O:030(<9j:09j1c<722c=87>5;h4;>5<<a?h1<75`26594?=zj=<h6=4::183\7f!4>=3;=56F=bb9K6<?<,8=n6<5f5g83>>o1<3:17d87:188m3d=831d>:950;9~f10f290>6=4?{%0:1?7112B9nn5G28;8 41b281b9k4?::k50?6=3`<36=44i7`94?=h:>=1<75rb54a>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn98k:186>5<7s-8297?99:J1ff=O:030(<9j:09j1c<722c=87>5;h4;>5<<a?h1<75`26594?=zj=<n6=4::183\7f!4>=3;=56F=bb9K6<?<,8=n6<5f5g83>>o1<3:17d87:188m3d=831d>:950;9~f15a290?6=4?{%0:1?7dk2B9nn5G28;8m33=831b4;4?::k1<a<722e9>o4?::\7fa016=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`714<72:0;6=u+28796gd<@;hh7E<69:k51?6=3`;h97>5;n01f?6=3th?8?4?:583>5}#:0?1=nm4H3``?M4>12c=97>5;h:5>5<<a;2o6=44o30a>5<<uk>>>7>53;294~"51<09no5G2ca8L7?>3`<>6=44i0a6>5<<g;8i6=44}c670?6=<3:1<v*=9482gf=O:ki0D?76;h46>5<<a1<1<75f29f94?=h:;h1<75rb570>5<4290;w)<65;0af>N5jj1C>474i7794?=n9j?1<75`23`94?=zj=>=6=4;:183\7f!4>=3;ho6F=bb9K6<?<a??1<75f8783>>o50m0;66a=2c83>>{e<<>1<7=50;2x 7?22;hi7E<mc:J1=<=n><0;66g>c483>>i5:k0;66sm45:94?2=83:p(?7::0a`?M4ek2B9545f6483>>o?>3:17d<7d;29?j45j3:17pl;5483>6<729q/>4;52c`8L7dd3A8256g95;29?l7d=3:17b<=b;29?xd3<h0;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg22>3:1?7>50z&1=0<5jk1C>om4H3;:?l022900e<m::188k74e2900qo:;c;290?6=8r.9584>cb9K6ge<@;327d8::188m=0=831b>5j50;9l67d=831vn9;8:180>5<7s-8297<mb:J1ff=O:030e;;50;9j5f3=831d>?l50;9~f12b290?6=4?{%0:1?7dk2B9nn5G28;8m33=831b4;4?::k1<a<722e9>o4?::\7fa00>=8391<7>t$3;6>7de3A8io6F=989j20<722c:o84?::m16g<722wi88750;194?6|,;3>6?lm;I0ag>N5101b:84?::k2g0<722e9>o4?::\7fa050=83?1<7>t$3;6>40>3A8io6F=989'52c=92c>j7>5;h47>5<<a?21<75f6c83>>i5?>0;66sm41794?3=83:p(?7::04a?M4ek2B9545+16g90>o2n3:17d8;:188m3d=831b>><50;9l621=831vn9>6:186>5<7s-8297?99:J1ff=O:030(<9j:09j1c<722c=87>5;h4;>5<<a?h1<75`26594?=zj=:<6=4::183\7f!4>=3;=56F=bb9K6<?<,8=n6<5f5g83>>o1<3:17d87:188m3d=831d>:950;9~f16?290>6=4?{%0:1?7112B9nn5G28;8 41b281b9k4?::k50?6=3`<36=44i7`94?=h:>=1<75rb52`>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn9>n:186>5<7s-8297?99:J1ff=O:030(<9j:09j1c<722c=87>5;h4;>5<<a?h1<75`26594?=zj=:i6=4::183\7f!4>=3;=56F=bb9K6<?<,8=n6<5f5g83>>o1<3:17d87:188m3d=831d>:950;9~f16c290>6=4?{%0:1?7112B9nn5G28;8 41b281b9k4?::k50?6=3`<36=44i7`94?=h:>=1<75rb52f>5<2290;w)<65;35=>N5jj1C>474$05f>4=n=o0;66g94;29?l0?2900e;l50;9l621=831vn>ji:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi?h>50;694?6|,;3>6<ml;I0ag>N5101b:84?::k;2?6=3`83h7>5;n01f?6=3th8j<4?:283>5}#:0?1>ol4H3``?M4>12c=97>5;h3`1?6=3f89n7>5;|`0a7<72=0;6=u+28795fe<@;hh7E<69:k51?6=3`2=6=44i3:g>5<<g;8i6=44}c1e6?6=;3:1<v*=9481fg=O:ki0D?76;h46>5<<a8i>6=44o30a>5<<uk9n87>54;294~"51<0:on5G2ca8L7?>3`<>6=44i9494?=n:1n1<75`23`94?=zj:l86=4<:183\7f!4>=38in6F=bb9K6<?<a??1<75f1b794?=h:;h1<75rb2g5>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm3g694?5=83:p(?7::3`a?M4ek2B9545f6483>>o6k<0;66a=2c83>>{e;l21<7:50;2x 7?228ih7E<mc:J1=<=n><0;66g76;29?l4?l3:17b<=b;29?xd4n<0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl<e`83>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo=i6;297?6=8r.9584=bc9K6ge<@;327d8::188m4e22900c?<m:188yg5bk3:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f6`029086=4?{%0:1?4ej2B9nn5G28;8m33=831b=n;50;9l67d=831vn>kj:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi?k650;194?6|,;3>6?lm;I0ag>N5101b:84?::k2g0<722e9>o4?::\7fa7c?=8391<7>t$3;6>7de3A8io6F=989j20<722c:o84?::m16g<722wi8>;50;794?6|,;3>6<86;I0ag>N5101/=:k51:k6b?6=3`<?6=44i7:94?=n>k0;66a=7683>>{e<:<1<7;50;2x 7?228<27E<mc:J1=<=#9>o1=6g:f;29?l032900e;650;9j2g<722e9;:4?::\7fa061=83?1<7>t$3;6>40>3A8io6F=989'52c=92c>j7>5;h47>5<<a?21<75f6c83>>i5?>0;66sm42:94?3=83:p(?7::04:?M4ek2B9545+16g95>o2n3:17d8;:188m3>=831b:o4?::m132<722wi8>750;794?6|,;3>6<86;I0ag>N5101/=:k51:k6b?6=3`<?6=44i7:94?=n>k0;66a=7683>>{e<:k1<7;50;2x 7?228<27E<mc:J1=<=#9>o1=6g:f;29?l032900e;650;9j2g<722e9;:4?::\7fa06d=83?1<7>t$3;6>40>3A8io6F=989'52c=92c>j7>5;h47>5<<a?21<75f6c83>>i5?>0;66sm42a94?3=83:p(?7::04:?M4ek2B9545+16g95>o2n3:17d8;:188m3>=831b:o4?::m132<722wi8>j50;794?6|,;3>6<86;I0ag>N5101/=:k51:k6b?6=3`<?6=44i7:94?=n>k0;66a=7683>>{e<:o1<7;50;2x 7?228<27E<mc:J1=<=#9>o1=6g:f;29?l032900e;650;9j2g<722e9;:4?::\7fa05`=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`755<72=0;6=u+28795fe<@;hh7E<69:k51?6=3`2=6=44i3:g>5<<g;8i6=44}c615?6=;3:1<v*=9481fg=O:ki0D?76;h46>5<<a8i>6=44o30a>5<<uk>:>7>54;294~"51<0:on5G2ca8L7?>3`<>6=44i9494?=n:1n1<75`23`94?=zj=896=4<:183\7f!4>=38in6F=bb9K6<?<a??1<75f1b794?=h:;h1<75rb537>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm43194?5=83:p(?7::3`a?M4ek2B9545f6483>>o6k<0;66a=2c83>>{e<8<1<7:50;2x 7?228ih7E<mc:J1=<=n><0;66g76;29?l4?l3:17b<=b;29?xd3:=0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;1983>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:=5;297?6=8r.9584=bc9K6ge<@;327d8::188m4e22900c?<m:188yg26i3:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f14129086=4?{%0:1?4ej2B9nn5G28;8m33=831b=n;50;9l67d=831vn9?l:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi8?950;194?6|,;3>6?lm;I0ag>N5101b:84?::k2g0<722e9>o4?::\7fa04c=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`76=<72:0;6=u+28796gd<@;hh7E<69:k51?6=3`;h97>5;n01f?6=3th?>44?:283>5}#:0?1>ol4H3``?M4>12c=97>5;h3`1?6=3f89n7>5;|`0`0<72<0;6=u+287953?<@;hh7E<69:&23`<63`?m6=44i7694?=n>10;66g9b;29?j40?3:17pl<d783>0<729q/>4;517;8L7dd3A8256*>7d82?l3a2900e;:50;9j2=<722c=n7>5;n043?6=3th8h:4?:483>5}#:0?1=;74H3``?M4>12.:;h4>;h7e>5<<a?>1<75f6983>>o1j3:17b<87;29?xd4l10;684?:1y'6<3=9?30D?ll;I0:=>"6?l0:7d;i:188m32=831b:54?::k5f?6=3f8<;7>5;|`0`<<72<0;6=u+287953?<@;hh7E<69:&23`<63`?m6=44i7694?=n>10;66g9b;29?j40?3:17pl<d`83>0<729q/>4;517;8L7dd3A8256*>7d82?l3a2900e;:50;9j2=<722c=n7>5;n043?6=3th8ho4?:483>5}#:0?1=;74H3``?M4>12.:;h4>;h7e>5<<a?>1<75f6983>>o1j3:17b<87;29?xd4lj0;684?:1y'6<3=9?30D?ll;I0:=>"6?l0:7d;i:188m32=831b:54?::k5f?6=3f8<;7>5;|`0`a<72<0;6=u+287953?<@;hh7E<69:&23`<63`?m6=44i7694?=n>10;66g9b;29?j40?3:17pl<dd83>0<729q/>4;517;8L7dd3A8256*>7d82?l3a2900e;:50;9j2=<722c=n7>5;n043?6=3th8mk4?:583>5}#:0?1=nm4H3``?M4>12c=97>5;h:5>5<<a;2o6=44o30a>5<<uk9i<7>54;294~"51<0:on5G2ca8L7?>3`<>6=44i9494?=n:1n1<75`23`94?=zj:i:6=4<:183\7f!4>=38in6F=bb9K6<?<a??1<75f1b794?=h:;h1<75rb2`1>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm3b094?5=83:p(?7::3`a?M4ek2B9545f6483>>o6k<0;66a=2c83>>{e;k>1<7:50;2x 7?228ih7E<mc:J1=<=n><0;66g76;29?l4?l3:17b<=b;29?xd4k:0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl<b783>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo=l4;297?6=8r.9584=bc9K6ge<@;327d8::188m4e22900c?<m:188yg5e03:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f6e229086=4?{%0:1?4ej2B9nn5G28;8m33=831b=n;50;9l67d=831vn>ln:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi?n850;194?6|,;3>6?lm;I0ag>N5101b:84?::k2g0<722e9>o4?::\7fa7ge=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`0g2<72:0;6=u+28796gd<@;hh7E<69:k51?6=3`;h97>5;n01f?6=3th8nh4?:583>5}#:0?1=nm4H3``?M4>12c=97>5;h:5>5<<a;2o6=44o30a>5<<uk9h47>53;294~"51<09no5G2ca8L7?>3`<>6=44i0a6>5<<g;8i6=44}c1`=?6=;3:1<v*=9481fg=O:ki0D?76;h46>5<<a8i>6=44o30a>5<<uk<;;7>54;294~"51<0:on5G2ca8L7?>3`<>6=44i9494?=n:1n1<75`23`94?=zj?:36=4;:183\7f!4>=3;ho6F=bb9K6<?<a??1<75f8783>>o50m0;66a=2c83>>{e>931<7:50;2x 7?228ih7E<mc:J1=<=n><0;66g76;29?l4?l3:17b<=b;29?xd18h0;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg07j3:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f37f290?6=4?{%0:1?7dk2B9nn5G28;8m33=831b4;4?::k1<a<722e9>o4?::\7fa24d=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`55f<72=0;6=u+28795fe<@;hh7E<69:k51?6=3`2=6=44i3:g>5<<g;8i6=44}c42`?6=<3:1<v*=9482gf=O:ki0D?76;h46>5<<a1<1<75f29f94?=h:;h1<75rb73f>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm61094?2=83:p(?7::04b?M4ek2B9545+16g90>o2n3:17d8m:188m7552900c?98:188yg04:3:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f354290?6=4?{%0:1?7dk2B9nn5G28;8m33=831b4;4?::k1<a<722e9>o4?::\7fa262=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`570<72=0;6=u+28795fe<@;hh7E<69:k51?6=3`2=6=44i3:g>5<<g;8i6=44}c402?6=<3:1<v*=9482gf=O:ki0D?76;h46>5<<a1<1<75f29f94?=h:;h1<75rb766>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm65494?2=83:p(?7::0a`?M4ek2B9545f6483>>o?>3:17d<7d;29?j45j3:17pl94683>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo8;8;290?6=8r.9584>cb9K6ge<@;327d8::188m=0=831b>5j50;9l67d=831vn;:6:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi:?j50;694?6|,;3>6<8n;I0ag>N5101/=:k51:k6b?6=3`<i6=44i311>5<<g;=<6=44}c7a<?6=<3:1<v*=9482gf=O:ki0D?76;h46>5<<a1<1<75f29f94?=h:;h1<75rb4`:>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm5cc94?2=83:p(?7::0a`?M4ek2B9545f6483>>o?>3:17d<7d;29?j45j3:17pl:bc83>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo;mc;290?6=8r.9584>cb9K6ge<@;327d8::188m=0=831b>5j50;9l67d=831vn8mm:187>5<7s-8297?lc:J1ff=O:030e;;50;9j<3<722c94i4?::m16g<722wi9nm50;694?6|,;3>6<ml;I0ag>N5101b:84?::k;2?6=3`83h7>5;n01f?6=3th>oi4?:583>5}#:0?1=nm4H3``?M4>12c=97>5;h:5>5<<a;2o6=44o30a>5<<uk?hi7>54;294~"51<0:on5G2ca8L7?>3`<>6=44i9494?=n:1n1<75`23`94?=zj<im6=4;:183\7f!4>=3;ho6F=bb9K6<?<a??1<75f8783>>o50m0;66a=2c83>>{e=mo1<7:50;2x 7?228ih7E<mc:J1=<=n><0;66g76;29?l4?l3:17b<=b;29?xd2lo0;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg3b83:187>50z&1=0<6kj1C>om4H3;:?l022900e5850;9j6=b=831d>?l50;9~f0c6290?6=4?{%0:1?7dk2B9nn5G28;8m33=831b4;4?::k1<a<722e9>o4?::\7fa1`4=83>1<7>t$3;6>4ed3A8io6F=989j20<722c3:7>5;h0;`?6=3f89n7>5;|`6b4<72=0;6=u+28795fe<@;hh7E<69:k51?6=3`2=6=44i3:g>5<<g;8i6=44}c7e6?6=<3:1<v*=9482gf=O:ki0D?76;h46>5<<a1<1<75f29f94?=h:;h1<75rb4d0>5<3290;w)<65;3`g>N5jj1C>474i7794?=n0?0;66g=8e83>>i5:k0;66sm5g694?2=83:p(?7::0a`?M4ek2B9545f6483>>o?>3:17d<7d;29?j45j3:17pl:f483>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:j1;290?6=8r.9584>699K6ge<@;327)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`7a5<72=0;6=u+287953><@;hh7E<69:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e<ml1<7:50;2x 7?228<37E<mc:J1=<=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn9jj:187>5<7s-8297?98:J1ff=O:030(<9j:09j1c<722c=47>5;h4a>5<<g;=<6=44}c6g`?6=<3:1<v*=94822==O:ki0D?76;%34a?7<a<l1<75f6983>>o1j3:17b<87;29?xd3lj0;694?:1y'6<3=9?20D?ll;I0:=>"6?l0:7d;i:188m3>=831b:o4?::m132<722wi8il50;694?6|,;3>6<87;I0ag>N5101/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb5fb>5<3290;w)<65;35<>N5jj1C>474$05f>4=n=o0;66g98;29?l0e2900c?98:188yg2c13:187>50z&1=0<6>11C>om4H3;:?!70m3;0e8h50;9j2=<722c=n7>5;n043?6=3th?h54?:583>5}#:0?1=;64H3``?M4>12.:;h4>;h7e>5<<a?21<75f6c83>>i5?>0;66sm50g94?2=83:p(?7::04;?M4ek2B9545+16g95>o2n3:17d87:188m3d=831d>:950;9~f07c290?6=4?{%0:1?7102B9nn5G28;8 41b281b9k4?::k5<?6=3`<i6=44o354>5<<uk?:o7>54;294~"51<0::55G2ca8L7?>3-;<i7?4i4d94?=n>10;66g9b;29?j40?3:17pl:1c83>1<729q/>4;517:8L7dd3A8256*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa14g=83>1<7>t$3;6>40?3A8io6F=989'52c=92c>j7>5;h4;>5<<a?h1<75`26594?=zj<;26=4;:183\7f!4>=3;=46F=bb9K6<?<,8=n6<5f5g83>>o103:17d8m:188k7102900qo;>8;290?6=8r.9584>699K6ge<@;327)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`652<72=0;6=u+287953><@;hh7E<69:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e=8<1<7:50;2x 7?228<37E<mc:J1=<=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn8?::187>5<7s-8297?98:J1ff=O:030(<9j:09j1c<722c=47>5;h4a>5<<g;=<6=44}c706?6=<3:1<v*=94822==O:ki0D?76;I7`?!70m3;0e8h50;9j2=<722c=n7>5;n043?6=3th>?<4?:583>5}#:0?1=;64H3``?M4>12B>o6*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa166=83>1<7>t$3;6>40?3A8io6F=989K1f=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn8<i:187>5<7s-8297?98:J1ff=O:030D8m4$05f>4=n=o0;66g98;29?l0e2900c?98:188yg35m3:187>50z&1=0<6>11C>om4H3;:?M3d3-;<i7?4i4d94?=n>10;66g9b;29?j40?3:17pl:2e83>1<729q/>4;517:8L7dd3A8256F:c:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e=;i1<7:50;2x 7?228<37E<mc:J1=<=O=j1/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb40a>5<3290;w)<65;35<>N5jj1C>474H4a8 41b281b9k4?::k5<?6=3`<i6=44o354>5<<uk?9m7>54;294~"51<0::55G2ca8L7?>3A?h7)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`66<<72=0;6=u+287953><@;hh7E<69:J6g>"6?l0:7d;i:188m3>=831b:o4?::m132<722wi9=o50;694?6|,;3>6<87;I0ag>N5101/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb42:>5<3290;w)<65;35<>N5jj1C>474$05f>4=n=o0;66g98;29?l0e2900c?98:188yg3703:187>50z&1=0<6>11C>om4H3;:?!70m3;0e8h50;9j2=<722c=n7>5;n043?6=3th><:4?:583>5}#:0?1=;64H3``?M4>12.:;h4>;h7e>5<<a?21<75f6c83>>i5?>0;66sm51494?2=83:p(?7::04;?M4ek2B9545+16g95>o2n3:17d87:188m3d=831d>:950;9~f062290?6=4?{%0:1?7102B9nn5G28;8 41b281b9k4?::k5<?6=3`<i6=44o354>5<<uk?;87>54;294~"51<0::55G2ca8L7?>3-;<i7?4i4d94?=n>10;66g9b;29?j40?3:17pl:0283>1<729q/>4;517:8L7dd3A8256*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa154=83>1<7>t$3;6>40?3A8io6F=989'52c=92c>j7>5;h4;>5<<a?h1<75`26594?=zj<::6=4;:183\7f!4>=3;=46F=bb9K6<?<,8=n6<5f5g83>>o103:17d8m:188k7102900qo;;e;290?6=8r.9584>699K6ge<@;327)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`60a<72=0;6=u+287953><@;hh7E<69:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e==i1<7:50;2x 7?228<37E<mc:J1=<=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn8:m:187>5<7s-8297?98:J1ff=O:030(<9j:09j1c<722c=47>5;h4a>5<<g;=<6=44}c77e?6=<3:1<v*=94822==O:ki0D?76;%34a?7<a<l1<75f6983>>o1j3:17b<87;29?xd2<00;694?:1y'6<3=9?20D?ll;I0:=>"6?l0:7d;i:188m3>=831b:o4?::m132<722wi99650;694?6|,;3>6<87;I0ag>N5101/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb464>5<3290;w)<65;35<>N5jj1C>474$05f>4=n=o0;66g98;29?l0e2900c?98:188yg33>3:187>50z&1=0<6>11C>om4H3;:?!70m3;0e8h50;9j2=<722c=n7>5;n043?6=3th>884?:583>5}#:0?1=;64H3``?M4>12.:;h4>;h7e>5<<a?21<75f6c83>>i5?>0;66sm59`94?2=83:p(?7::04;?M4ek2B9545+16g95>o2n3:17d87:188m3d=831d>:950;9~f0>f290?6=4?{%0:1?7102B9nn5G28;8 41b281b9k4?::k5<?6=3`<i6=44o354>5<<uk?357>54;294~"51<0::55G2ca8L7?>3-;<i7?4i4d94?=n>10;66g9b;29?j40?3:17pl:8983>1<729q/>4;517:8L7dd3A8256*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa1=1=83>1<7>t$3;6>40?3A8io6F=989'52c=92c>j7>5;h4;>5<<a?h1<75`26594?=zj<2=6=4;:183\7f!4>=3;=46F=bb9K6<?<,8=n6<5f5g83>>o103:17d8m:188k7102900qo;75;290?6=8r.9584>699K6ge<@;327)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`6<1<72=0;6=u+287953><@;hh7E<69:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e=191<7:50;2x 7?228<37E<mc:J1=<=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn86=:187>5<7s-8297?98:J1ff=O:030(<9j:09j1c<722c=47>5;h4a>5<<g;=<6=44}c7:b?6=<3:1<v*=94822==O:ki0D?76;I7`?!70m3;0e8h50;9j2=<722c=n7>5;n043?6=3th>5h4?:583>5}#:0?1=;64H3``?M4>12B>o6*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa1<b=83>1<7>t$3;6>40?3A8io6F=989K1f=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn87l:187>5<7s-8297?98:J1ff=O:030D8m4$05f>4=n=o0;66g98;29?l0e2900c?98:188yg3>j3:187>50z&1=0<6>11C>om4H3;:?M3d3-;<i7?4i4d94?=n>10;66g9b;29?j40?3:17pl:9`83>1<729q/>4;517:8L7dd3A8256F:c:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e=031<7:50;2x 7?228<37E<mc:J1=<=O=j1/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb4;;>5<3290;w)<65;35<>N5jj1C>474H4a8 41b281b9k4?::k5<?6=3`<i6=44o354>5<<uk?2;7>54;294~"51<0::55G2ca8L7?>3A?h7)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`6=3<72=0;6=u+287953><@;hh7E<69:J6g>"6?l0:7d;i:188m3>=831b:o4?::m132<722wi9:950;694?6|,;3>6<87;I0ag>N5101/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb455>5<3290;w)<65;35<>N5jj1C>474$05f>4=n=o0;66g98;29?l0e2900c?98:188yg30=3:187>50z&1=0<6>11C>om4H3;:?!70m3;0e8h50;9j2=<722c=n7>5;n043?6=3th>;94?:583>5}#:0?1=;64H3``?M4>12.:;h4>;h7e>5<<a?21<75f6c83>>i5?>0;66sm56194?2=83:p(?7::04;?M4ek2B9545+16g95>o2n3:17d87:188m3d=831d>:950;9~f015290?6=4?{%0:1?7102B9nn5G28;8 41b281b9k4?::k5<?6=3`<i6=44o354>5<<uk?<=7>54;294~"51<0::55G2ca8L7?>3-;<i7?4i4d94?=n>10;66g9b;29?j40?3:17pl:7183>1<729q/>4;517:8L7dd3A8256*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa13`=83>1<7>t$3;6>40?3A8io6F=989'52c=92c>j7>5;h4;>5<<a?h1<75`26594?=zj<<n6=4;:183\7f!4>=3;=46F=bb9K6<?<,8=n6<5f5g83>>o103:17d8m:188k7102900qo:l4;297?6=8r.9584=bc9K6ge<@;327d8::188m4e22900c?<m:188yg2ei3:1?7>50z&1=0<6k01C>om4H3;:?!70m39=7d?82;29?l70;3:17b<=b;29?xd3k:0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;b183>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:m9;297?6=8r.9584>c89K6ge<@;327)?8e;15?l70:3:17d?83;29?j45j3:17pl;c383>6<729q/>4;52c`8L7dd3A8256g95;29?l7d=3:17b<=b;29?xd3io0;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg2e03:1?7>50z&1=0<6k01C>om4H3;:?!70m39=7d?82;29?l70;3:17b<=b;29?xd3k80;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;ad83>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:m7;297?6=8r.9584>c89K6ge<@;327)?8e;15?l70:3:17d?83;29?j45j3:17pl;c183>6<729q/>4;52c`8L7dd3A8256g95;29?l7d=3:17b<=b;29?xd3im0;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg2e>3:1?7>50z&1=0<6k01C>om4H3;:?!70m39=7d?82;29?l70;3:17b<=b;29?xd3jo0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;ab83>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:m5;297?6=8r.9584>c89K6ge<@;327)?8e;15?l70:3:17d?83;29?j45j3:17pl;bd83>6<729q/>4;52c`8L7dd3A8256g95;29?l7d=3:17b<=b;29?xd3ik0;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg2e<3:1?7>50z&1=0<6k01C>om4H3;:?!70m39=7d?82;29?l70;3:17b<=b;29?xd3jm0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;a`83>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:m3;297?6=8r.9584>c89K6ge<@;327)?8e;15?l70:3:17d?83;29?j45j3:17pl;bb83>6<729q/>4;52c`8L7dd3A8256g95;29?l7d=3:17b<=b;29?xd3i00;694?:1y'6<3=9ji0D?ll;I0:=>o1=3:17d69:188m7>c2900c?<m:188yg2e:3:1?7>50z&1=0<6k01C>om4H3;:?!70m39=7d?82;29?l70;3:17b<=b;29?xd3jk0;6>4?:1y'6<3=:kh0D?ll;I0:=>o1=3:17d?l5;29?j45j3:17pl;a983>1<729q/>4;51ba8L7dd3A8256g95;29?l>12900e?6k:188k74e2900qo:m1;297?6=8r.9584>c89K6ge<@;327)?8e;15?l70:3:17d?83;29?j45j3:17pl:b383>1<729q/>4;517:8L7dd3A8256*>7d82?l3a2900e;650;9j2g<722e9;:4?::\7fa1g7=83>1<7>t$3;6>40?3A8io6F=989'52c=92c>j7>5;h4;>5<<a?h1<75`26594?=zj<h;6=4;:183\7f!4>=3;=46F=bb9K6<?<,8=n6<5f5g83>>o103:17d8m:188k7102900qo;nf;290?6=8r.9584>699K6ge<@;327)?8e;38m0`=831b:54?::k5f?6=3f8<;7>5;|`6e`<72=0;6=u+287953><@;hh7E<69:&23`<63`?m6=44i7:94?=n>k0;66a=7683>>{e=hn1<7:50;2x 7?228<37E<mc:J1=<=#9>o1=6g:f;29?l0?2900e;l50;9l621=831vn8ol:187>5<7s-8297?98:J1ff=O:030(<9j:09j1c<722c=47>5;h4a>5<<g;=<6=44}c7bf?6=<3:1<v*=94822==O:ki0D?76;%34a?7<a<l1<75f6983>>o1j3:17b<87;29?xd2ih0;694?:1y'6<3=9?20D?ll;I0:=>"6?l0:7d;i:188m3>=831b:o4?::m132<722wi9l750;694?6|,;3>6<87;I0ag>N5101/=:k51:k6b?6=3`<36=44i7`94?=h:>=1<75rb77g>5<d:3:1<v*=94813==O:ki0D?76;[7f>f}5838:6?=52581g?4c2;o1>k4=7;0;>66=;80vb<?::19m561=82.94h4>669'6<7=:2.:;k4<;%3;4?5<,82:6>5+19097>"60:087)?74;18 4>22:1/=5853:&2<2<43-;347=4$0::>6=#91k1?6*>8c80?!7?k390(<6k:29'5=c=;2.:4k4<;%3:4?5<,83:6>5+18097>"61:087)?64;18 4?22:1/=4853:&2=2<43-;247=4$0;:>6=#90k1?6*>9c80?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1`097>"6i:087)?n4;18 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4=;%3`4?4<,8==6>5+16597>"6?1087)?89;18 41f2:1/=:l53:&2g7<6>>1/=n=51758 71>2;8j7)<8a;01e>h5010:>6`=88826>"50o09>l5+16a97>"6?m087)<n8;0b3>"5i009m:5a2`c957=i:hh1=?5+28096>o183:17d8>:188m30=831b::4?::k221<722c94l4?::k1<g<722c::84?::k1=6<722c9594?::m56?6=3f<86=44i5294?"5;808j6`=3183?>o4m3:1(?=>:2d8j7572810e>j50;&174<4n2d9?=4=;:k0g?6=,;9:6>h4n313>6=<a:h1<7*=3080b>h5;90?76g;9;29 7562:l0b?=?:498m1>=83.9?<4<f:l175<132c?;7>5$312>6`<f;9;6:54i5494?"5;808j6`=318;?>o3=3:1(?=>:2d8j7572010e9:50;&174<4n2d9?=4n;:k77?6=,;9:6>h4n313>g=<a=81<7*=3080b>h5;90h76g;1;29 7562:l0b?=?:e98m6g=83.9?<4<f:l175<b32c><7>5$312>1`<f;9;6=54i5g94?"5;80?j6`=3182?>o3l3:1(?=>:5d8j7572;10e9m50;&174<3n2d9?=4<;:k7f?6=,;9:69h4n313>1=<a<31<7*=3087b>h5;90>76g:8;29 7562=l0b?=?:798m01=83.9?<4;f:l175<032c>:7>5$312>1`<f;9;6554i4794?"5;80?j6`=318:?>o2<3:1(?=>:5d8j7572h10e8=50;&174<3n2d9?=4m;:k66?6=,;9:69h4n313>f=<a<;1<7*=3087b>h5;90o76g;a;29 7562=l0b?=?:d98md6=83.9?<46f:l175<732c2i7>5$312><`<f;9;6<54i8a94?"5;802j6`=3181?>o>j3:1(?=>:8d8j7572:10e4o50;&174<>n2d9?=4;;:k:=?6=,;9:64h4n313>0=<a021<7*=308:b>h5;90=76g67;29 75620l0b?=?:698m<0=83.9?<46f:l175<?32c297>5$312><`<f;9;6454i8694?"5;802j6`=318b?>o>;3:1(?=>:8d8j7572k10e4?50;&174<>n2d9?=4l;:k:4?6=,;9:64h4n313>a=<a1l1<7*=308:b>h5;90n76g7e;29 75620l0b?=?:g98m=b=83.9?<46f:l175<6821b4n4?:%005??a3g88<7?>;:k;f?6=,;9:64h4n313>44<3`2j6=4+2239=c=i:::1=>54i9;94?"5;802j6`=31820>=n010;6)<<1;;e?k4483;>76gn7;29 75620l0b?=?:048?lg1290/>>?59g9m666=9>10el;50;&174<>n2d9?=4>8:9je1<72-88=77i;o004?7>32cj?7>5$312><`<f;9;6<o4;hc1>5<#::;15k5a22295g=<ah;1<7*=308:b>h5;90:o65f9e83>!44933m7c<<0;3g?>o>:3:1(?=>:8d8j75728o07d68:18'667=1o1e>>>51g98mf7=83.9?<4l0:l175<732cij7>5$312>f6<f;9;6<54icf94?"5;80h<6`=3181?>oek3:1(?=>:b28j7572:10eol50;&174<d82d9?=4;;:kae?6=,;9:6n>4n313>0=<ak31<7*=308`4>h5;90=76gm8;29 7562j:0b?=?:698mg1=83.9?<4l0:l175<?32ci:7>5$312>f6<f;9;6454ic794?"5;80h<6`=318b?>oe<3:1(?=>:b28j7572k10eo<50;&174<d82d9?=4l;:ka5?6=,;9:6n>4n313>a=<ak:1<7*=308`4>h5;90n76gnf;29 7562j:0b?=?:g98mdc=83.9?<4l0:l175<6821bmi4?:%005?e73g88<7?>;:kbg?6=,;9:6n>4n313>44<3`ki6=4+2239g5=i:::1=>54i`c94?"5;80h<6`=31820>=ni00;6)<<1;a3?k4483;>76gl8;29 7562j:0b?=?:048?le0290/>>?5c19m666=9>10en850;&174<d82d9?=4>8:9jg0<72-88=7m?;o004?7>32ch87>5$312>f6<f;9;6<o4;ha0>5<#::;1o=5a22295g=<aj81<7*=308`4>h5;90:o65fbd83>!4493i;7c<<0;3g?>oe;3:1(?=>:b28j75728o07do7:18'667=k91e>>>51g98m`c=83.9?<4jd:l175<732cno7>5$312>`b<f;9;6<54id`94?"5;80nh6`=3181?>obi3:1(?=>:df8j7572:10ek=50;&174<a:2d9?=4?;:ke5?6=,;9:6k<4n313>4=<ao:1<7*=308e6>h5;90976gjf;29 7562o80b?=?:298m7g6290/>>?52`28j7572910e?7i:18'667=:h:0b?=?:098m7?b290/>>?52`28j7572;10e?7k:18'667=:h:0b?=?:298m7g1290/>>?52`78j7572910e?o;:18'667=:h?0b?=?:098m7g4290/>>?52`78j7572;10e?o=:18'667=:h?0b?=?:298k46c290/>>?511a8j7572910c<>m:18'667=99i0b?=?:098k46>290/>>?511a8j7572;10c<>7:18'667=99i0b?=?:298k460290/>>?511a8j7572=10c<>9:18'667=99i0b?=?:498k462290/>>?511a8j7572?10c<>;:18'667=99i0b?=?:698k464290/>>?511a8j7572110c<>=:18'667=99i0b?=?:898k466290/>>?511a8j7572h10c<>?:18'667=99i0b?=?:c98kcc=83.9?<4>0b9m666=k21dji4?:%005?77k2d9?=4k;:meg?6=,;9:6<>l;o004?c<3fli6=4+223955e<f;9;6k54ogc94?"5;80:<n5a222955=<go31<7*=30824f=i:::1=<54og:94?"5;80:<n5a222957=<go=1<7*=30824f=i:::1=>54og494?"5;80:<n5a222951=<go?1<7*=30824f=i:::1=854o037>5<#::;1==m4n313>40<3f;:?7>5$312>46d3g88<7?8;:m257<72-88=7??c:l175<6021d=<?50;&174<68j1e>>>51898k477290/>>?511a8j75728k07b??f;29 75628:h7c<<0;3a?>i68l0;6)<<1;33g>h5;90:o65`11c94?"5;80:<n5a22295a=<gol1<7*=30824f=i:::1=h54og694?"5;80:<n5a22295c=<g88m6=4+223957c<f;9;6=54o00g>5<#::;1=?k4n313>4=<g88i6=4+223957c<f;9;6?54o00b>5<#::;1=?k4n313>6=<g8826=4+223957c<f;9;6954o00;>5<#::;1=?k4n313>0=<g88<6=4+223957c<f;9;6;54o005>5<#::;1=?k4n313>2=<g88>6=4+223957c<f;9;6554o007>5<#::;1=?k4n313><=<g8886=4+223957c<f;9;6l54o001>5<#::;1=?k4n313>g=<g88;6=4+223957c<f;9;6n54o03e>5<#::;1=?k4n313>a=<g8;n6=4+223957c<f;9;6h54o03g>5<#::;1=?k4n313>c=<g8;h6=4+223957c<f;9;6<>4;n32f?6=,;9:6<<j;o004?7632e:=l4?:%005?75m2d9?=4>2:9l54?=83.9?<4>2d9m666=9:10c<?7:18'667=9;o0b?=?:068?j76?3:1(?=>:00f?k4483;>76a>3783>!4493;9i6`=31822>=h9:?1<7*=30826`=i:::1=:54o017>5<#::;1=?k4n313>4><3f;8?7>5$312>44b3g88<7?6;:m277<72-88=7?=e:l175<6i21d=>?50;&174<6:l1e>>>51c98k457290/>>?513g8j75728i07b?=c;29 756288n7c<<0;3g?>i6:80;6)<<1;31a>h5;90:i65`10494?"5;80:>h5a22295c=<g89h6=4+223956d<f;9;6=54o01b>5<#::;1=>l4n313>4=<g8926=4+223956d<f;9;6?54o01;>5<#::;1=>l4n313>6=<g8>:6=4+2239516<f;9;6=54o01e>5<#::;1=9>4n313>4=<g89n6=4+2239516<f;9;6?54o01g>5<#::;1=9>4n313>6=<uk<>i7>5c383>5}#:0?1>:64H3``?M4>12P>i7mt21815?442;>1>n4=d;0f>7`=:>0947=?:239yk76=3:0b<=8:19'6=c=9?=0(?7>:39'52`=;2.:4=4<;%3;5?5<,8296>5+19197>"60=087)?75;18 4>12:1/=5953:&2<=<43-;357=4$0:b>6=#91h1?6*>8b80?!7?l390(<6j:29'5=`=;2.:5=4<;%3:5?5<,8396>5+18197>"61=087)?65;18 4?12:1/=4953:&2==<43-;257=4$0;b>6=#90h1?6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8k96>5+1`197>"6i=087)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=:2.:o=4=;%342?5<,8=<6>5+16:97>"6?0087)?8a;18 41e2:1/=n<51758 4e428<<7)<89;01e>"5?h09>l5a29:957=i:131=?5+29d967g<,8=h6>5+16f97>"5i109m:5+2`;96d1<f;kj6<<4n3ca>44<,;396?5f6183>>o193:17d89:188m31=831b=;:50;9j6=g=831b>5l50;9j533=831b>4=50;9j6<2=831d:?4?::m57?6=3`>;6=4+22397c=i:::1<65f3d83>!44939m7c<<0;38?l5c290/>>?53g9m666=:21b?n4?:%005?5a3g88<7=4;h1a>5<#::;1?k5a22290>=n<00;6)<<1;1e?k4483?07d:7:18'667=;o1e>>>56:9j02<72-88=7=i;o004?1<3`>=6=4+22397c=i:::1465f4483>!44939m7c<<0;;8?l23290/>>?53g9m666=i21b8>4?:%005?5a3g88<7l4;h61>5<#::;1?k5a2229g>=n<80;6)<<1;1e?k4483n07d=n:18'667=;o1e>>>5e:9j15<72-88=7:i;o004?6<3`>n6=4+22390c=i:::1=65f4e83>!4493>m7c<<0;08?l2d290/>>?54g9m666=;21b8o4?:%005?2a3g88<7:4;h7:>5<#::;18k5a22291>=n=10;6)<<1;6e?k4483<07d;8:18'667=<o1e>>>57:9j13<72-88=7:i;o004?><3`?>6=4+22390c=i:::1565f5583>!4493>m7c<<0;c8?l34290/>>?54g9m666=j21b9?4?:%005?2a3g88<7m4;h72>5<#::;18k5a2229`>=n<h0;6)<<1;6e?k4483o07do?:18'667=1o1e>>>50:9j=`<72-88=77i;o004?7<3`3h6=4+2239=c=i:::1>65f9c83>!44933m7c<<0;18?l?f290/>>?59g9m666=<21b544?:%005??a3g88<7;4;h;;>5<#::;15k5a22292>=n1>0;6)<<1;;e?k4483=07d79:18'667=1o1e>>>58:9j=0<72-88=77i;o004??<3`3?6=4+2239=c=i:::1m65f9283>!44933m7c<<0;`8?l?6290/>>?59g9m666=k21b5=4?:%005??a3g88<7j4;h:e>5<#::;15k5a2229a>=n0l0;6)<<1;;e?k4483l07d6k:18'667=1o1e>>>51198m=e=83.9?<46f:l175<6921b4o4?:%005??a3g88<7?=;:k;e?6=,;9:64h4n313>45<3`226=4+2239=c=i:::1=954i9:94?"5;802j6`=31821>=ni>0;6)<<1;;e?k4483;=76gn6;29 75620l0b?=?:058?lg2290/>>?59g9m666=9110el:50;&174<>n2d9?=4>9:9je6<72-88=77i;o004?7f32cj>7>5$312><`<f;9;6<l4;hc2>5<#::;15k5a22295f=<a0n1<7*=308:b>h5;90:h65f9383>!44933m7c<<0;3f?>o??3:1(?=>:8d8j75728l07dm>:18'667=k91e>>>50:9jfc<72-88=7m?;o004?7<3`ho6=4+2239g5=i:::1>65fbb83>!4493i;7c<<0;18?lde290/>>?5c19m666=<21bnl4?:%005?e73g88<7;4;h`:>5<#::;1o=5a22292>=nj10;6)<<1;a3?k4483=07dl8:18'667=k91e>>>58:9jf3<72-88=7m?;o004??<3`h>6=4+2239g5=i:::1m65fb583>!4493i;7c<<0;`8?ld5290/>>?5c19m666=k21bn<4?:%005?e73g88<7j4;h`3>5<#::;1o=5a2229a>=nio0;6)<<1;a3?k4483l07doj:18'667=k91e>>>51198mdb=83.9?<4l0:l175<6921bmn4?:%005?e73g88<7?=;:kbf?6=,;9:6n>4n313>45<3`kj6=4+2239g5=i:::1=954i`;94?"5;80h<6`=31821>=nk10;6)<<1;a3?k4483;=76gl7;29 7562j:0b?=?:058?le1290/>>?5c19m666=9110en;50;&174<d82d9?=4>9:9jg1<72-88=7m?;o004?7f32ch?7>5$312>f6<f;9;6<l4;ha1>5<#::;1o=5a22295f=<ako1<7*=308`4>h5;90:h65fb283>!4493i;7c<<0;3f?>of03:1(?=>:b28j75728l07dkj:18'667=mm1e>>>50:9jaf<72-88=7kk;o004?7<3`oi6=4+2239aa=i:::1>65fe`83>!4493oo7c<<0;18?l`4290/>>?5f39m666=821bj<4?:%005?`53g88<7?4;hd3>5<#::;1j?5a22296>=nmo0;6)<<1;d1?k4483907d<n1;29 7562;k;7c<<0;28?l4>n3:1(?=>:3c3?k4483;07d<6e;29 7562;k;7c<<0;08?l4>l3:1(?=>:3c3?k4483907d<n6;29 7562;k>7c<<0;28?l4f<3:1(?=>:3c6?k4483;07d<n3;29 7562;k>7c<<0;08?l4f:3:1(?=>:3c6?k4483907b??d;29 75628:h7c<<0;28?j77j3:1(?=>:02`?k4483;07b??9;29 75628:h7c<<0;08?j7703:1(?=>:02`?k4483907b??7;29 75628:h7c<<0;68?j77>3:1(?=>:02`?k4483?07b??5;29 75628:h7c<<0;48?j77<3:1(?=>:02`?k4483=07b??3;29 75628:h7c<<0;:8?j77:3:1(?=>:02`?k4483307b??1;29 75628:h7c<<0;c8?j7783:1(?=>:02`?k4483h07bhj:18'667=99i0b?=?:b98kcb=83.9?<4>0b9m666=l21djn4?:%005?77k2d9?=4j;:mef?6=,;9:6<>l;o004?`<3flj6=4+223955e<f;9;6<>4;nd:>5<#::;1==m4n313>47<3fl36=4+223955e<f;9;6<<4;nd4>5<#::;1==m4n313>45<3fl=6=4+223955e<f;9;6<:4;nd6>5<#::;1==m4n313>43<3f;:87>5$312>46d3g88<7?9;:m256<72-88=7??c:l175<6?21d=<<50;&174<68j1e>>>51998k476290/>>?511a8j75728307b?>0;29 75628:h7c<<0;3b?>i68o0;6)<<1;33g>h5;90:n65`11g94?"5;80:<n5a22295f=<g8:j6=4+223955e<f;9;6<j4;nde>5<#::;1==m4n313>4c<3fl?6=4+223955e<f;9;6<h4;n31b?6=,;9:6<<j;o004?6<3f;9h7>5$312>44b3g88<7?4;n31f?6=,;9:6<<j;o004?4<3f;9m7>5$312>44b3g88<7=4;n31=?6=,;9:6<<j;o004?2<3f;947>5$312>44b3g88<7;4;n313?6=,;9:6<<j;o004?0<3f;9:7>5$312>44b3g88<794;n311?6=,;9:6<<j;o004?><3f;987>5$312>44b3g88<774;n317?6=,;9:6<<j;o004?g<3f;9>7>5$312>44b3g88<7l4;n314?6=,;9:6<<j;o004?e<3f;:j7>5$312>44b3g88<7j4;n32a?6=,;9:6<<j;o004?c<3f;:h7>5$312>44b3g88<7h4;n32g?6=,;9:6<<j;o004?7732e:=o4?:%005?75m2d9?=4>1:9l54g=83.9?<4>2d9m666=9;10c<?6:18'667=9;o0b?=?:018?j7603:1(?=>:00f?k4483;?76a>1683>!4493;9i6`=31821>=h9:<1<7*=30826`=i:::1=;54o016>5<#::;1=?k4n313>41<3f;887>5$312>44b3g88<7?7;:m276<72-88=7?=e:l175<6121d=><50;&174<6:l1e>>>51`98k456290/>>?513g8j75728h07b?<0;29 756288n7c<<0;3`?>i6:j0;6)<<1;31a>h5;90:h65`13394?"5;80:>h5a22295`=<g8;=6=4+223957c<f;9;6<h4;n30g?6=,;9:6<=m;o004?6<3f;8m7>5$312>45e3g88<7?4;n30=?6=,;9:6<=m;o004?4<3f;847>5$312>45e3g88<7=4;n375?6=,;9:6<:?;o004?6<3f;8j7>5$312>4273g88<7?4;n30a?6=,;9:6<:?;o004?4<3f;8h7>5$312>4273g88<7=4;|`6bc<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6b`<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6ba<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6bf<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6bg<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6`<<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6`=<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6`2<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6`3<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6`0<72:0;6=u+28795f?<@;hh7E<69:&23`<33`;<>7>5;h347?6=3f89n7>5;|`6af<72<0;6=u+28795fd<@;hh7E<69:&23`<4?2c:;?4?::k236<722c:;94?::k230<722e9>o4?::\7fa1`d=83?1<7>t$3;6>4ee3A8io6F=989'52c=;>1b=:<50;9j525=831b=::50;9j523=831d>?l50;9~f0cf290>6=4?{%0:1?7dj2B9nn5G28;8 41b2:=0e<9=:188m4142900e<9;:188m4122900c?<m:188yg3b13:197>50z&1=0<6kk1C>om4H3;:?!70m39<7d?82;29?l70;3:17d?84;29?l70=3:17b<=b;29?xd2m10;684?:1y'6<3=9jh0D?ll;I0:=>"6?l08;6g>7383>>o6?:0;66g>7583>>o6?<0;66a=2c83>>{e=j<1<7;50;2x 7?228ii7E<mc:J1=<=#9>o1?:5f16094?=n9>91<75f16694?=n9>?1<75`23`94?=zj<i>6=4::183\7f!4>=3;hn6F=bb9K6<?<,8=n6>94i051>5<<a8=86=44i057>5<<a8=>6=44o30a>5<<uk?h87>55;294~"51<0:oo5G2ca8L7?>3-;<i7=8;h346?6=3`;<?7>5;h340?6=3`;<97>5;n01f?6=3th>o>4?:483>5}#:0?1=nl4H3``?M4>12.:;h4<7:k237<722c:;>4?::k231<722c:;84?::m16g<722wi9n<50;794?6|,;3>6<mm;I0ag>N5101/=:k5369j524=831b=:=50;9j522=831b=:;50;9l67d=831vn8;?:180>5<7s-8297?l9:J1ff=O:030(<9j:218m4152900e<9<:188k74e2900qo:j3;297?6=8r.9584>c89K6ge<@;327)?8e;10?l70:3:17d?83;29?j45j3:17pl:4583>6<729q/>4;51b;8L7dd3A8256*>7d807>o6?;0;66g>7283>>i5:k0;66sm55194?5=83:p(?7::0a:?M4ek2B9545+16g976=n9>81<75f16194?=h:;h1<75rb461>5<4290;w)<65;3`=>N5jj1C>474$05f>65<a8=96=44i050>5<<g;8i6=44}c775?6=;3:1<v*=9482g<=O:ki0D?76;%34a?543`;<>7>5;h347?6=3f89n7>5;|`605<72:0;6=u+28795f?<@;hh7E<69:&23`<4;2c:;?4?::k236<722e9>o4?::\7fa16`=8391<7>t$3;6>4e>3A8io6F=989'52c=;:1b=:<50;9j525=831d>?l50;9~f05b29086=4?{%0:1?7d12B9nn5G28;8 41b2:90e<9=:188m4142900c?<m:188yg34l3:1?7>50z&1=0<6k01C>om4H3;:?!70m3987d?82;29?l70;3:17b<=b;29?xd2;j0;6>4?:1y'6<3=9j30D?ll;I0:=>"6?l08?6g>7383>>o6?:0;66a=2c83>>{e<m=1<7=50;2x 7?228i27E<mc:J1=<=#9>o1?>5f16094?=n9>91<75`23`94?=zj=n=6=4<:183\7f!4>=3;h56F=bb9K6<?<,8=n6>=4i051>5<<a8=86=44o30a>5<<uk>o97>53;294~"51<0:o45G2ca8L7?>3-;<i7=<;h346?6=3`;<?7>5;n01f?6=3th?h94?:283>5}#:0?1=n74H3``?M4>12.:;h4<3:k237<722c:;>4?::m16g<722wi8i=50;194?6|,;3>6<m6;I0ag>N5101/=:k5329j524=831b=:=50;9l67d=831vn9j=:180>5<7s-8297?l9:J1ff=O:030(<9j:218m4152900e<9<:188k74e2900qo:k1;297?6=8r.9584>c89K6ge<@;327)?8e;10?l70:3:17d?83;29?j45j3:17pl;d183>6<729q/>4;51b;8L7dd3A8256*>7d807>o6?;0;66g>7283>>i5:k0;66sm4bd94?5=83:p(?7::0a:?M4ek2B9545+16g976=n9>81<75f16194?=h:;h1<75rb471>5<3290;w)<65;3`e>N5jj1C>474$05f>6><a8=96=44i050>5<<a8=?6=44o30a>5<<uk>n97>54;294~"51<0:ol5G2ca8L7?>3-;<i7=7;h346?6=3`;<?7>5;h340?6=3f89n7>5;|`611<72<0;6=u+28795fd<@;hh7E<69:&23`<4=2c:;?4?::k236<722c:;94?::k230<722e9>o4?::\7fa0`1=83?1<7>t$3;6>4ee3A8io6F=989'52c=;<1b=:<50;9j525=831b=::50;9j523=831d>?l50;9~f03129086=4?{%0:1?7d12B9nn5G28;8 41b2:90e<9=:188m4142900c?<m:188yg2b13:1?7>50z&1=0<6k01C>om4H3;:?!70m3987d?82;29?l70;3:17b<=b;29?xd2=10;694?:1y'6<3=9jk0D?ll;I0:=>"6?l0846g>7383>>o6?:0;66g>7583>>i5:k0;66sm4d`94?2=83:p(?7::0ab?M4ek2B9545+16g97==n9>81<75f16194?=n9>>1<75`23`94?=zj<?j6=4::183\7f!4>=3;hn6F=bb9K6<?<,8=n6>;4i051>5<<a8=86=44i057>5<<a8=>6=44o30a>5<<uk>nh7>55;294~"51<0:oo5G2ca8L7?>3-;<i7=:;h346?6=3`;<?7>5;h340?6=3`;<97>5;n01f?6=3th=9:4?:283>5}#:0?1=n74H3``?M4>12.:;h4=b:k237<722c:;>4?::m16g<722wi:8=50;794?6|,;3>6<mm;I0ag>N5101/=:k5369j524=831b=:=50;9j522=831b=:;50;9l67d=831vn;:?:186>5<7s-8297?lb:J1ff=O:030(<9j:258m4152900e<9<:188m4132900e<9::188k74e2900qo8=8;291?6=8r.9584>cc9K6ge<@;327)?8e;14?l70:3:17d?83;29?l70<3:17d?85;29?j45j3:17pl91483>0<729q/>4;51b`8L7dd3A8256*>7d803>o6?;0;66g>7283>>o6?=0;66g>7483>>i5:k0;66sm64094?3=83:p(?7::0aa?M4ek2B9545+16g972=n9>81<75f16194?=n9>>1<75f16794?=h:;h1<75rb71e>5<2290;w)<65;3`f>N5jj1C>474$05f>61<a8=96=44i050>5<<a8=?6=44i056>5<<g;8i6=44}c413?6==3:1<v*=9482gg=O:ki0D?76;%34a?503`;<>7>5;h347?6=3`;<87>5;h341?6=3f89n7>5;|`551<72<0;6=u+28795fd<@;hh7E<69:&23`<4?2c:;?4?::k236<722c:;94?::k230<722e9>o4?::\7fa207=83?1<7>t$3;6>4ee3A8io6F=989'52c=;>1b=:<50;9j525=831b=::50;9j523=831d>?l50;9~f35b290>6=4?{%0:1?7dj2B9nn5G28;8 41b2:=0e<9=:188m4142900e<9;:188m4122900c?<m:188yg05>3:197>50z&1=0<6kk1C>om4H3;:?!70m39<7d?82;29?l70;3:17d?84;29?l70=3:17b<=b;29?xd19:0;684?:1y'6<3=9jh0D?ll;I0:=>"6?l08;6g>7383>>o6?:0;66g>7583>>o6?<0;66a=2c83>>{e><:1<7;50;2x 7?228ii7E<mc:J1=<=#9>o1?:5f16094?=n9>91<75f16694?=n9>?1<75`23`94?=zj?9o6=4::183\7f!4>=3;hn6F=bb9K6<?<,8=n6>94i051>5<<a8=86=44i057>5<<a8=>6=44o30a>5<<uk<997>55;294~"51<0:oo5G2ca8L7?>3-;<i7=8;h346?6=3`;<?7>5;h340?6=3`;<97>5;n01f?6=3th==?4?:483>5}#:0?1=nl4H3``?M4>12.:;h4<7:k237<722c:;>4?::k231<722c:;84?::m16g<722wi9o=50;194?6|,;3>6<m6;I0ag>N5101/=:k52c9j524=831b=:=50;9l67d=831vn;:i:186>5<7s-8297?lb:J1ff=O:030(<9j:258m4152900e<9<:188m4132900e<9::188k74e2900qo8<c;291?6=8r.9584>cc9K6ge<@;327)?8e;14?l70:3:17d?83;29?l70<3:17d?85;29?j45j3:17pl92583>0<729q/>4;51b`8L7dd3A8256*>7d803>o6?;0;66g>7283>>o6?=0;66g>7483>>i5:k0;66sm60394?3=83:p(?7::0aa?M4ek2B9545+16g972=n9>81<75f16194?=n9>>1<75f16794?=h:;h1<75rb552>5<5290;w)<65;3`<>N5jj1C>474$05f>74<a8=96=44o30a>5<<uk><?7>52;294~"51<0:o55G2ca8L7?>3-;<i7<=;h346?6=3f89n7>5;|`730<72;0;6=u+28795f><@;hh7E<69:&23`<5:2c:;?4?::m16g<722wi8:950;094?6|,;3>6<m7;I0ag>N5101/=:k5239j524=831d>?l50;9~f11>29096=4?{%0:1?7d02B9nn5G28;8 41b2;80e<9=:188k74e2900qo:8b;296?6=8r.9584>c99K6ge<@;327)?8e;01?l70:3:17b<=b;29?xd3?m0;6?4?:1y'6<3=9j20D?ll;I0:=>"6?l09>6g>7383>>i5:k0;66sm46d94?4=83:p(?7::0a;?M4ek2B9545+16g967=n9>81<75`23`94?=zj=>:6=4=:183\7f!4>=3;h46F=bb9K6<?<,8=n6?<4i051>5<<g;8i6=44}c677?6=:3:1<v*=9482g==O:ki0D?76;%34a?453`;<>7>5;n01f?6=3th?884?:383>5}#:0?1=n64H3``?M4>12.:;h4=2:k237<722e9>o4?::\7fa011=8381<7>t$3;6>4e?3A8io6F=989'52c=:;1b=:<50;9l67d=831vn9:6:181>5<7s-8297?l8:J1ff=O:030(<9j:308m4152900c?<m:188yg23j3:1>7>50z&1=0<6k11C>om4H3;:?!70m3897d?82;29?j45j3:17pl;4e83>7<729q/>4;51b:8L7dd3A8256*>7d816>o6?;0;66a=2c83>>{e<=l1<7<50;2x 7?228i37E<mc:J1=<=#9>o1>?5f16094?=h:;h1<75rb2g2>5<5290;w)<65;3`<>N5jj1C>474$05f>74<a8=96=44o30a>5<<uk9n?7>52;294~"51<0:o55G2ca8L7?>3-;<i7<=;h346?6=3f89n7>5;|`0a0<72;0;6=u+28795f><@;hh7E<69:&23`<5:2c:;?4?::m16g<722wi?h950;094?6|,;3>6<m7;I0ag>N5101/=:k5239j524=831d>?l50;9~f6c>29096=4?{%0:1?7d02B9nn5G28;8 41b2;80e<9=:188k74e2900qo=jb;296?6=8r.9584>c99K6ge<@;327)?8e;01?l70:3:17b<=b;29?xd4mm0;6?4?:1y'6<3=9j20D?ll;I0:=>"6?l09>6g>7383>>i5:k0;66sm3dd94?4=83:p(?7::0a;?M4ek2B9545+16g967=n9>81<75`23`94?=zj=;:6=4=:183\7f!4>=3;h46F=bb9K6<?<,8=n6?<4i051>5<<g;8i6=44}c627?6=:3:1<v*=9482g==O:ki0D?76;%34a?453`;<>7>5;n01f?6=3th?=84?:383>5}#:0?1=n64H3``?M4>12.:;h4=2:k237<722e9>o4?::\7fa041=8381<7>t$3;6>4e?3A8io6F=989'52c=:;1b=:<50;9l67d=831vn9?6:181>5<7s-8297?l8:J1ff=O:030(<9j:308m4152900c?<m:188yg26j3:1>7>50z&1=0<6k11C>om4H3;:?!70m3897d?82;29?j45j3:17pl;1e83>7<729q/>4;51b:8L7dd3A8256*>7d816>o6?;0;66a=2c83>>{e<8l1<7<50;2x 7?228i37E<mc:J1=<=#9>o1>?5f16094?=h:;h1<75rb2`2>5<5290;w)<65;3`<>N5jj1C>474$05f>74<a8=96=44o30a>5<<uk9i?7>52;294~"51<0:o55G2ca8L7?>3-;<i7<=;h346?6=3f89n7>5;|`0f0<72;0;6=u+28795f><@;hh7E<69:&23`<5:2c:;?4?::m16g<722wi?o950;094?6|,;3>6<m7;I0ag>N5101/=:k5239j524=831d>?l50;9~f6d>29096=4?{%0:1?7d02B9nn5G28;8 41b2;80e<9=:188k74e2900qo=mb;296?6=8r.9584>c99K6ge<@;327)?8e;01?l70:3:17b<=b;29?xd4jm0;6?4?:1y'6<3=9j20D?ll;I0:=>"6?l09>6g>7383>>i5:k0;66sm3cd94?4=83:p(?7::0a;?M4ek2B9545+16g967=n9>81<75`23`94?=zj=2j6=4=:183\7f!4>=3;h46F=bb9K6<?<,8=n6?<4i051>5<<g;8i6=44}c66e?6=:3:1<v*=9482g==O:ki0D?76;%34a?453`;<>7>5;n01f?6=3th8jl4?:383>5}#:0?1=n64H3``?M4>12.:;h4=2:k237<722e9>o4?::\7fa07g=8381<7>t$3;6>4e?3A8io6F=989'52c=:;1b=:<50;9l67d=831vn>mn:181>5<7s-8297?l8:J1ff=O:030(<9j:308m4152900c?<m:188yg0183:187>50z&1=0<6kh1C>om4H3;:?!70m3;=:6g>7383>>o6?:0;66g>7583>>i5:k0;66sm4dd94?5=83:p(?7::0a:?M4ek2B9545+16g976=n9>81<75f16194?=h:;h1<75rb5d1>5<2290;w)<65;3`f>N5jj1C>474$05f>63<a8=96=44i050>5<<a8=?6=44i056>5<<g;8i6=44}c6e4?6=<3:1<v*=9482gd=O:ki0D?76;%34a?533`;<>7>5;h347?6=3`;<87>5;n01f?6=3th?j94?:483>5}#:0?1=nl4H3``?M4>12.:;h4<9:k237<722c:;>4?::k231<722c:;84?::m16g<722wi8k?50;794?6|,;3>6<mm;I0ag>N5101/=:k5349j524=831b=:=50;9j522=831b=:;50;9l67d=831vn9h9:186>5<7s-8297?lb:J1ff=O:030(<9j:278m4152900e<9<:188m4132900e<9::188k74e2900qo;:c;297?6=8r.9584>c89K6ge<@;327)?8e;10?l70:3:17d?83;29?j45j3:17pl:5g83>0<729q/>4;51b`8L7dd3A8256*>7d801>o6?;0;66g>7283>>o6?=0;66g>7483>>i5:k0;66sm54f94?2=83:p(?7::0ab?M4ek2B9545+16g971=n9>81<75f16194?=n9>>1<75`23`94?=zj<<:6=4::183\7f!4>=3;hn6F=bb9K6<?<,8=n6>74i051>5<<a8=86=44i057>5<<a8=>6=44o30a>5<<uk?>i7>55;294~"51<0:oo5G2ca8L7?>3-;<i7=:;h346?6=3`;<?7>5;h340?6=3`;<97>5;n01f?6=3th>:>4?:483>5}#:0?1=nl4H3``?M4>12.:;h4<5:k237<722c:;>4?::k231<722c:;84?::m16g<722wi:?m50;794?6|,;3>6<mm;I0ag>N5101/=:k517a8m4152900e<9<:188m4132900e<9::188k74e2900qo8?1;291?6=8r.9584>cc9K6ge<@;327)?8e;35g>o6?;0;66g>7283>>o6?=0;66g>7483>>i5:k0;66sm49294?4=83:p(?7::0a2?M4ek2B9545f16394?=h:;h1<75rb573>5<5290;w)<65;3`5>N5jj1C>474i052>5<<g;8i6=44}c1e4?6=:3:1<v*=9482g4=O:ki0D?76;h345?6=3f89n7>5;|`765<72;0;6=u+28795f7<@;hh7E<69:k234<722e9>o4?::\7fa7f6=8381<7>t$3;6>4e63A8io6F=989j527=831d>?l50;9~w3c=83;=wS8j;<632?0334>;978;;<63=?0334>;;78;;<63<?0334>;o78;;<63e?0334>;n78;;<63`?0334>;i78;;<1g1?03349o:78;;<1g3?03349o478;;<1g=?03349om78;;<1gf?03349oo78;;<1g`?03349oi78;;<7a7?45j2wx=;j50;7xZ3b<V8<o708=d;043>;1=>0:;?5263a9525<uz83;7>54z\1<2=:=k91=:=4=743>41534<;=7?82:\7fp6dc=83lnwS;m;_0bg>X51>1U9l5Q23f8Z7?f3W88?6P=549]6g><V;h=7S<m5:\1f1=Y:k90R?l=;_0a5>X5j91U>lh4^3cf?84el3;=j6395b85f>;1=h0=n63;6g851>;3?903:63;738;2>;3?=03:63;778;2>;3?103:63;7`8;2>;3?j03:63;7d8;2>;3;o0=963;418;2>;3<;03:63;458;2>;3<?03:63;498;2>;3<h03:63;4b8;2>;3<l03:63<dg851>;4m903:63<e38;2>;4m=03:63<e78;2>;4m103:63<e`8;2>;4mj03:63<ed8;2>;38o0=963;118;2>;39;03:63;158;2>;39?03:63;198;2>;39h03:63;1b8;2>;39l03:63<ag851>;4j903:63<b38;2>;4j=03:63<b78;2>;4j103:63<b`8;2>;4jj03:63<bd8;2>;18>03:639098;2>;18003:6390`8;2>;18k03:6391`8;2>;19k03:6391b8;2>;19m03:6391d8;2>;1;;03:639328;2>;1;=03:639348;2>;1;?03:639448;2>;1<?03:639468;2>;1<103:639488;2>;2j103:63:b88;2>;2jh03:63:bc8;2>;2jj03:63:cc8;2>;2kj03:63:ce8;2>;2kl03:63:cg8;2>;2ll03:63:dg8;2>;2m903:63:e08;2>;2m;03:63:f08;2>;2n;03:63:f28;2>;2n=03:63:f48;2>;1=m0=<6395e855>;1=m094l5264f96=d<5??o69>4=77g>15<5??o69<4=77g>17<5??o6>o4=77g>06<5??o68=4=77g>04<5??o68?4=77g>1g<5??o6l>4=77g><c<5??o64m4=77g><d<5??o64o4=77g><?<5??o6464=77g><1<5??o6484=77g><3<5??o64:4=77g><5<5??o64?4=77g><6<5??o65h4=77g>=c<5??o6n?4=77g>g`<5??o6oj4=77g>ge<5??o6ol4=77g>gg<5??o6o74=77g>g><5??o6o94=77g>g0<5??o6o;4=77g>g2<5??o6o<4=77g>g7<5??o6o>4=77g>d`<5??o6lk4=77g>db<5??o6lm4=77g>dd<5??o6lo4=77g>d?<5??o6n64=77g>f1<5??o6n84=77g>f3<5??o6n:4=77g>f5<5??o6n<4=77g>gc<5??o6o=4=77g>d><5??o6hk4=77g>`e<5??o6k=4=77g>c7<5??o6k>4=77g>``<5??o6?o9;<46`?4f<27=9i4=a29>20b=:h801;;j:728933b2?;01;;j:3:b?802m383n6395d874>;1=l0??6395d876>;1=l0?=6395d80e>;1=l0><6395d867>;1=l0>>6395d865>;1=l0?m6395d8b4>;1=l02i6395d8:g>;1=l02n6395d8:e>;1=l0256395d8:<>;1=l02;6395d8:2>;1=l0296395d8:0>;1=l02?6395d8:5>;1=l02<6395d8;b>;1=l03i6395d8;`>;1=l0j96395d8`5>;1=l0ij6395d8a`>;1=l0io6395d8af>;1=l0im6395d8a=>;1=l0i46395d8a3>;1=l0i:6395d8a1>;1=l0i86395d8a6>;1=l0i=6395d8a4>;1=l0jj6395d8ba>;1=l0jh6395d8bg>;1=l0jn6395d8be>;1=l0j56395d8`<>;1=l0h;6395d8`2>;1=l0h96395d8`0>;1=l0h?6395d8`6>;1=l0ii6395d8a7>;1=l0j46395d8fa>;1=l0no6395d8ff>;1=l0nm6395d8e7>;1=l0m=6395d8e4>;1=l0nj6395d81e3=:><o1>l:4=77f>7g434<>i7<n2:\7fp6=e=83?pR?6l;<46=?44:27=9n4=339>20d=::801;;n:311?xu6>:0;6;uQ6b9]535<5?:96?98;<7a7?70:27=:=4>729>257=9>90q~8i:181<~X1n27?5;494:?7=0<1<27?54494:?7=2<1<27?55494:?7=f<1<27?5l494:?7=g<1<27?5i494:?7=`<1<27?:;494:?720<1<27?:4494:?722<1<27?:5494:?72f<1<27?:l494:?72g<1<27?:i494:?72`<1<27??8494:?773<1<27??:494:?77=<1<27??4494:?77d<1<27??o494:?77f<1<27??i494:?77`<1<27=9i4=a09>20b=:0l01;;k:3;f?802l382h6395d81e4=:><o1>4h4=77f>7?b34<>i7<6d:?512<5:k1v\7f?l6:180\7f[4e127=9:4>729>27e=9>80q~<8b;290f}Y:>h01;;6:4d8933f2<l019>9:4d891622<l019>6:4d891602<l019>7:4d8916d2<l019>n:4d8916e2<l019>k:4d8916b2<l01>j::4d896b12<l01>j8:4d896b?2<l01>j6:4d896bf2<l01>jm:4d896bd2<l01>jk:4d896bb2<l01;>=:4d8906f2<l018>6:4d8906?2<l018>8:4d890612<l018>::4d890632<l018><:4d890652<l018>>:4d8902b2<l018:k:4d8902d2<l018:m:4d8902f2<l018:6:4d8902?2<l018:8:4d890212<l018:::4d890>e2<l0186n:4d890>>2<l01867:4d890>02<l01869:4d890>22<l0186;:4d890>42<l0186=:4d890?a2<l0187j:4d890?c2<l0187l:4d890?e2<l0187n:4d890?>2<l01877:4d890?02<l01879:4d890d52<l018l>:4d890d72<l018oi:4d890gb2<l018ok:4d890gd2<l018om:4d890gf2<l018o6:4d8933c2?=01;;j:758yv4fl3:18nuQ2`f8933d2<l01;;m:4d891?12<l0197::4d891?>2<l01978:4d891??2<l0197l:4d891?f2<l0197m:4d891?c2<l0197j:4d891012<l0198::4d8910>2<l01988:4d8910?2<l0198l:4d8910f2<l0198m:4d8910c2<l0198j:4d891522<l019=9:4d891502<l019=7:4d8915>2<l019=n:4d8915e2<l019=l:4d8915c2<l019=j:4d8934c2<l019k>:4d891c72<l019ji:4d891bb2<l019jk:4d891bd2<l019jm:4d891bf2<l019j6:4d891b?2<l018?j:4d8907c2<l018?l:4d8907e2<l018?n:4d8907>2<l018?7:4d890702<l018?9:4d890722<l018==:4d890562<l018=?:4d8904a2<l018<j:4d8904c2<l018<l:4d8904e2<l018<n:4d8904>2<l01898:4d890112<l0189::4d890132<l0189<:4d890152<l0189>:4d890172<l0188i:4d8900b2<l01;;k:748933b2?<0q~=>8;293~X4911699k5265891df28=970;k9;347>;2k?0:;85263:9522<5?;>6<9;;|q053<721qU?<84=46g>71034>i<769;<6a=?70:27>h44>739>1f0=9>901;<7:051?806=3;<>6s|30794?>|V:;>70;;c;043>;3io03:63;b98237=:=m21=:=4=4a6>41234<9;7?84:?551<6?=1v\7f>?;:18;\7f[56<27>8o4=769>0dc=0?168o95160890b?28=970;l5;347>;1:>0:;?526069524<uz9:?7>58z\056=:==k1>:94=5cg>=0<5=h=6<9=;<7g3?70;27>o94>749>270=9>>01;?<:057?xu49;0;65uQ3008902>2;=<70:nc;:5?82e=3;<>63:d68237=:=j>1=:=4=705>41534<:?7?82:\7fp747=832pR>?>;<77<?40?27?mo476:?7f1<6?;169i85161890e428=>708=5;340>;19;0:;95rs233>5<?sW9:<63:468132=:<hk14;524c19524<5<n=6<9=;<7`7?70;27=>84>739>244=9>80q~=?f;29<~X48o169985265891g>21<019l=:051?83c=3;<?63:c38230=:>;>1=::4=732>4133ty8<h4?:9y]75c<5<>>6?98;<6b<?>134>i=7?82:?6`0<6?;169n<51618934328=9708>1;346>{t0<0;65uQ3318Z=3<5=9n6?98;<743?0e34<>h7=j;<46a?5b34?8o7?82:?76d<6?;1v\7f5=50;:xZ6463W2870:<d;043>;1=m08h6395d80`>;2;m0:;?5252a9525<5=;m6<9=;|q;6?6=0rT8>=5Q839>06e=:>=01;;k:2a8933b2:i018=j:051?834l3;<?63;1e8237=z{1;1<76t^23e?[>634>8n7<87:?51a<4j27=9h4<b:?67c<6?;169>k51618917e28=97p}70;29<~X49l1U4=5242c9621<5??o6974=77f>1?<5<>;6<9=;<70b?70;27?=44>739~w2`=832pR>?k;_5e?824138<;6395e87<>;1=l0?463:408237=:==:1=:=4=534>4153ty<i7>58z\05f=Y?l168>652658933c2==01;;j:558902528=970;;1;347>;39<0:;?5rs6f94?>|V:;i7S9k;<603?40?27=9i4;6:?51`<3>27>8>4>739>114=9>9019?<:051?xu0k3:14vP<1`9]3f=:<:<1>:94=77g>13<5??n69;4=467>41534???7?83:?754<6?;1v\7f:l50;5xZ67>3W=i70:<5;043>;1=m0?86395d870>;2<=0:;>524329527<uz8n=7>55z\1a4=:<9o1>:94=4a5>41334<947?85:?0bd<6?;1v\7f?ji:186\7f[4cn27?<i4=769>1f0=9>801;<7:050?85bn3;<>6s|2eg94?3|V;nn70:?c;043>;2k<0:;9526359523<5:oo6<9=;|q1`a<72<qU>ij4=52a>71034?h97?82:?562<6?:16?hl51608yv4ck3:19vP=db9>05g=:>=018m;:057?805>3;<963<e88237=z{;ni6=4:{_0gf>;38009;:525b69524<5?8=6<9<;<1f3?70:2wx>io50;7xZ7bf34>;47<87:?6g6<6?=16:?;5167896c228=97p}=d883>0}Y:m3019>8:354?83d;3;<>639248236=:;l91=:<4}r0g<?6==rT9h5524149621<5<i96<9;;<410?70=278i<4>739~w7b0290>wS<k7:?740<5?>169n<51608934328=870=i0;345>{t?h0;6luQ2da8Z2g<5:nn6?98;<73e?0e34>im7?83:?51a<3m27=9h4;e:?7gc<6?;16:<;5167896ef28=97p}88;29e~X5mh1U;5523ef9621<5=h26<9<;<46`?2c34<>i7:k;<6g4?70:27?ok4>729>243=9>901>li:051?xu0?3:1mvP=e89]32=:;mi1>:94=5`;>41434<>h7:l;<46a?2d34>o=7?82:?7`5<6?:16:<:5167896dc28=97p}86;29e~X5m11U;;523e`9621<5=h<6<9<;<46`?2e34<>i7:m;<6g6?70:27?h<4>729>242=9>901>lm:051?xu0=3:1mvP=e69]30=:;mk1>:94=5`5>41434<>h7;6;<46a?3>34>o?7?82:?7`7<6?:16:<=5167896d>28=97p}84;29e~X5m?1U;9523e;9621<5=h>6<9<;<46`?3?34<>i7;7;<6g0?70:27?h>4>729>245=9>901>l8:051?xu0;3:1mvP=e49]36=:;m21>:94=5`7>41434<>h7;8;<46a?3034>o97?82:?7`1<6?:16:<<5167896d228=97p}82;29e~X5m=1U;?523e59621<5=h86<9<;<46`?3134<>i7;9;<6g2?70:27?h84>729>244=9>901>l<:051?xu093:1mvP=e29]34=:;m<1>:94=5`1>41434<>h7;:;<46a?3234>o;7?82:?7`3<6?:16:<?5167896d628=97p}80;29=~X5m;1U;=523e79621<5=h:6<9<;<46`?3334<>i7;;;<6g3?70;27==<4>729>7f6=9>;0q~<i7;292~X5n>168h?5265890`a28=870;jc;341>;1=:0:;8526529523<uz8m97>56z\1b0=:<l:1>:94=4de>41534?no7?83:?516<6?:16:9>51618yv4a<3:1:vP=f59>0a`=:>=018hj:050?83bj3;<9639538230=:>:l1=:;4}r0e7?6=>rT9j>524eg9621<5<ln6<9=;<7ff?70;27=9?4>729>26`=9>90q~<i2;292~X5n;168ij5265890`c28=870;ja;341>;1=80:;85262g9523<uz8m=7>56z\1b4=:<mi1>:94=4dg>41534?nm7?83:?514<6?:16:>k51618yv4a83:1:vP=f19>0ad=:>=018hl:050?83b13;<9639518230=:>:n1=:;4}r0fb?6=>rT9ik524ec9621<5<lh6<9=;<7f=?70;27=9=4>729>26b=9>90q~<je;292~X5ml168i75265890`e28=870;j8;341>;1<o0:;85262a9523<uz8nh7>56z\1aa=:<m21>:94=4da>41534?n47?83:?50c<6?:16:>m51618yv57:3:18vP<039>03c=:>=01;:?:057?822i3;<>6s|31294?2|V::;70:9d;043>;1<90:;?5245d9524<uz8mj7>54z\1bc=:<?i1>:94=71e>41334>?h7?82:\7fp6cc=83>pR?hj;<65f?40?27=?k4>739>01d=9>80q~<id;290~X5nm168;o52658935b28=?70:;9;346>{t:oi1<7:t^3d`?821138<;6393d8237=:<==1=:<4}r0ef?6=<rT9jo5247:9621<5?9o6<9;;<671?70:2wx>ko50;6xZ7`f34>=;7<87:?57a<6?;1689=51608yv4a13:18vP=f89>030=:>=01;=l:057?82393;<>6s|2g:94?2|V;l370:95;043>;1;j0:;?524429527<uz9;h7>55z\04a=:<0o1>:94=4g`>41334<>?7?84:?7<d<6?;1v\7f>>m:186\7f[57j27?5i4=769>1`e=9>801;;<:051?820n3;<>6s|31c94?3|V::j70:6c;043>;2mk0:;9526409522<5==o6<9=;|q04<<72<qU?=74=5;a>71034?nn7?82:?517<6?;168:l51608yv5703:19vP<099>0<g=:>=018kn:057?80293;<863;788237=z{::<6=4:{_133>;31009;:525dc9524<5??:6<9=;<643?70:2wx?=850;7xZ66134>247<87:?6a<<6?=16:8>51668911228=97p}<0483>0}Y;9?01978:354?83b13;<>639518237=:<>91=:<4}r130?6==rT8<9524849621<5<o36<9;;<47b?70<27?;<4>739~w664290>wS=?3:?7=0<5?>169h651608932a28=970:70;345>{t9<h1<7<t^07a?802m3;:n6s|14;94?4|V8?2708:e;32e>{t9<=1<7<t^074?802m3;:56s|14494?4|V8?=708:e;32<>{t9<?1<7<t^076?802m3;:;6s|14694?4|V8??708:e;302>{t9<91<7<t^070?802m3;896s|14094?4|V8?9708:e;307>{t9<;1<7<t^072?802m3;8>6s|14294?4|V8?;708:e;305>{t9=l1<7<t^06e?802m3;8<6s|15g94?4|V8>n708:e;31g>{t9=i1<7<t^06`?802m3;9=6s|15`94?4|V8>i708:e;322>{t9=k1<7<t^06b?802l3;8i6s|15;94?4|V8>2708:d;32g>{t9=21<7<t^06;?802l3;:n6s|15594?4|V8><708:d;32e>{t9=<1<7<t^065?802l3;:56s|15794?4|V8>>708:d;32<>{t9=>1<7<t^067?802l3;:;6s|15194?4|V8>8708:d;302>{t9?81<7<t^041?802l3;896s|17394?4|V8<:708:d;30`>{t9?:1<7<t^043?802l3;886s|14d94?4|V8?m708:d;307>{t9<o1<7<t^07f?802l3;8>6s|14f94?4|V8?o708:d;305>{t9<i1<7<t^07`?802l3;8<6s|14:94?4|V8?3708:d;31g>{t9=n1<7<t^06g?802l3;9=6s|15094?4|V8>9708:d;322>{t:1<1<7<t^3:5?83e:38<;6s|29694?4|V;2?70;m1;043>{t:191<7<t^3:0?83e838<;6s|29094?4|V;2970;nf;043>{t:1;1<7<t^3:2?83fm38<;6s|29294?4|V;2;70;nd;043>{t:>l1<7<t^35e?83fk38<;6s|26g94?4|V;=n70;nb;043>{t:>n1<7<t^35g?83fi38<;6s|26a94?4|V;=h70;n9;043>{tm;0;6?uQe39>20c=0j1v\7fh>50;0xZ`6<5??n65l4}rff>5<5sWnn708:e;:b?xucl3:1>vPkd:?51`<?12wxhn4?:3y]`f=:><o1455rse`94?4|Vmh01;;j:`58yvbf2909wSjn;<46a?g13tyo57>52z\g=>;1=l0j86s|d983>7}Yl116:8k5a29~wa1=838pRi94=77f>d4<uzn=6=4={_f5?802m3k:7p}k5;296~Xc=27=9h46d:\7fp`6<72;qUh>5264g9=7=z{m81<7<t^e08933b21=0q~j>:181\7f[b634<>h7km;|qg4?6=:rTo<6395e8;`>{tko0;6?uQcg9>20b=0j1v\7fnk50;0xZfc<5??o65l4}rag>5<5sWio708:d;:b?xudk3:1>vPlc:?51a<?12wxoo4?:3y]gg=:><n1455rsbc94?4|Vjk01;;k:`58yvc>2909wSk6;<46`?g13tyn47>52z\f<>;1=m0nm6s|e683>7}Ym>16:8j5a49~w`0=838pRh84=77g>d2<uzo>6=4={_g6?802l3k87p}j4;296~Xb<27=9i4n2:\7fpa6<72;qUi>5264f9e4=z{ml1<7<t^ed8933c20n0q~j;:181\7f[b334<>h77=;|q`=?6=:rTh56395e8;3>{t9jl1<7?={<0aa?45m27?:k476:?77c<?>278hk476:?74c<?>278mk476:?542<1=27==l495:?577<1=27=88495:?6f=<1=27>oo495:?6``<1=27>j<495:?7fg<1=27?m5495:?51a<6>=16:8k51768yv02i3:1>v395885f>;1=h09;:5rs77;>5<3kr7=944=769>20g=>=168=85699>053=::8019>6:7:891602?2019>7:7:8916d2?2019>n:7:8916e2?2019>k:7:8916b2?201>j::7:896b12?201>j8:7:896b?2?201>j6:7:896bf2?201>jm:7:896bd2?201>jk:7:896bb2?201;>=:311?837i3<370;?9;4;?83703<370;?7;4;?837>3<370;?5;4;?837<3<370;?3;4;?837:3<370;?1;4;?833m3<370;;d;4;?833k3<370;;b;4;?833i3<370;;9;4;?83303<370;;7;4;?833>3<370;;5;4;?83?j3<370;7a;4;?83?13<370;78;4;?83??3<370;76;4;?83?=3<370;74;4;?83?;3<370;72;4;?83>n3<370;6e;4;?83>l3<370;6c;4;?83>j3<370;6a;4;?83>13<370;68;4;?83>?3<370;66;4;?83e:3<370;m1;4;?83e83<370;nf;4;?83fm3<370;nd;4;?83fk3<370;nb;4;?83fi3<370;n9;4;?802l38286395d81=1=:>?:1=::4}r46f?6=<kq6:8m5659>20d=:>=01979:311?82>=3<370:69;4;?82>?3<370:68;4;?82>k3<370:6a;4;?82>j3<370:6d;4;?82>m3<370:96;4;?821=388>63;6885<>;3>>0=463;6985<>;3>j0=463;6`85<>;3>k0=463;6e85<>;3>l0=463;3485<>;3;?0=463;3685<>;3;10=463;3885<>;3;h0=463;3c85<>;3;j0=463;3e85<>;3;l0=46392e8177=:<l;1:5524d292==:<ml1:5524eg92==:<mn1:5524ea92==:<mh1:5524ec92==:<m31:5524e:92==:=8o1:55250f92==:=8i1:55250`92==:=8k1:55250;92==:=821:55250592==:=8<1:55250792==:=:81:55252392==:=::1:55253d92==:=;o1:55253f92==:=;i1:55253`92==:=;k1:55253;92==:=>=1:55256492==:=>?1:55256692==:=>91:55256092==:=>;1:55256292==:=?l1:55257g92==:><n1>4=4=77f>7?43ty=9n4?:3y>20e=:>=01;;m:7`8yv2?k3:1>v3;9785f>;30809>o5rs5:a>5<4s4>2978m;<65b?4?l27?4=4=2c9~w1>a2909w0:69;4a?82?<389n6s|49f94?4|5=3<6;l4=5:1>74e3ty?4h4?:3y>0<>=>k1685=523`8yv2>:3:1>v3;9b85f>;30>09>o5rs5;3>5<5s4>2m78m;<6;1?45j2wx84?50;0x91?e2?h01969:30a?xu31:0;6?u248f92g=:<121>?l4}r6:0?6=:r7?5h49b:?7<<<5:k1v\7f98i:180\7f821n389n63;71851>;3080=96s|46394?5|5==;6?6k;<6;5?7d=27?;<4=2c9~w1172908w0:80;01f>;3?;0=963;83851>{t<>91<7=t=551>7>c34>3>7?l5:?736<5:k1v\7f99=:180\7f820:389n63;75851>;30:0=96s|46794?5|5==?6?6k;<6;7?7d=27?;84=2c9~w1132908w0:84;01f>;3??0=963;85851>{t<>=1<7=t=555>7>c34>387?l5:?732<5:k1v\7f999:180\7f820>389n63;79851>;30<0=96s|46;94?5|5==36?6k;<6;1?7d=27?;44=2c9~w11?2908w0:88;01f>;3?h0=963;87851>{t<>h1<7=t=55b>7>c34>3:7?l5:?73g<5:k1v\7f99n:180\7f820i389n63;7b851>;30>0=96s|46f94?5|5==h6?6k;<6;3?7d=27?;i4=2c9~w11d2908w0:8c;01f>;3?l0=963;89851>{t<>l1<7=t=55f>7>c34>347?l5:?73c<5:k1v\7f99j:181\7f820m389n63;88851>{t<1k1<7<t=5::>4e234>3m7<=b:\7fp00e=838p1989:7`891362;8i7p};5c83>6}:<??1:o5242d96=b<5=?;6?<m;|q71c<72;q68;756c9>002=:;h0q~::d;296~;3>>0=n63;53816g=z{=?n6=4={<65<?0e34>>?7<=b:\7fp034=838p198l:7`891302;8i7p};6183>7}:<?k1:o52447967d<uz>==7>52z?72g<1j27?9;4=2c9~w1042909w0:9d;4a?8220389n6s|47694?4|5=<n6;l4=57:>74e3ty??k4?:2y>06`=:;h019:?:77891362??0q~:;1;297~;3<9094i5244395f3<5=>:6?<m;|q705<72:q689>523`891252??019;=:778yv23;3:1?v3;4381<a=:<<81=n;4=560>74e3ty?8?4?:2y>014=:;h019:;:77891342??0q~:;5;297~;3<=094i5244195f3<5=>>6?<m;|q701<72:q689:523`891212??019;;:778yv23?3:1?v3;4781<a=:<<>1=n;4=564>74e3ty?8;4?:2y>010=:;h019:7:77891322??0q~:;9;297~;3<1094i5244795f3<5=>26?<m;|q70=<72:q6896523`8912f2??019;9:778yv23j3:1?v3;4`81<a=:<<<1=n;4=56a>74e3ty?8l4?:2y>01g=:;h019:l:77891302??0q~:;d;297~;3<j094i5244595f3<5=>o6?<m;|q70f<72:q689m523`8912b2??019;7:778yv23n3:1?v3;4d81<a=:<<21=n;4=56e>74e3ty?8h4?:3y>01c=:;h019;6:778yv22i3:1>v3;5882g0=:<<k1>?l4}r1eg?6=:r7?<;49b:?0b4<5:k1v\7f>hm:180\7f827=3<i70=kf;0;`>;4n909>o5rs2de>5<5s4>;578m;<1e0?45j2wx?kj50;0x91602?h01>h=:30a?xu4nl0;6?u241:92g=:;o91>?l4}r636?6=:r7?<n49b:?0b2<5:k1v\7f9>?:181\7f827i3<i70=i5;01f>{t<9;1<7<t=52a>3d<5:l=6?<m;|q746<72;q68=j56c9>7c>=:;h0q~:?4;296~;38l0=n63<f8816g=z{:nm6=4<{<1gb?45j278i=495:?0b4<1=2wx?h?50;1x96c72;2o70=i1;3`1>;4m809>o5rs2g3>5<4s49n<7<=b:?0a7<1=278j?495:\7fp7`5=839p1>k=:3:g?85a:3;h963<e2816g=z{:o96=4<{<1f6?45j278i9495:?0b6<1=2wx?h;50;1x96c32;2o70=i3;3`1>;4m<09>o5rs2g7>5<4s49n87<=b:?0a3<1=278j9495:\7fp7`1=839p1>k9:3:g?85a<3;h963<e6816g=z{:o=6=4<{<1f2?45j278i5495:?0b0<1=2wx?h750;1x96c?2;2o70=i5;3`1>;4m009>o5rs2g;>5<4s49n47<=b:?0ad<1=278j;495:\7fp7`d=839p1>kn:3:g?85a>3;h963<ec816g=z{:oj6=4<{<1fe?45j278in495:?0b2<1=2wx?hj50;1x96cd2;2o70=i7;3`1>;4mm09>o5rs2g`>5<4s49no7<=b:?0a`<1=278j5495:\7fp7``=839p1>kj:3:g?85a03;h963<eg816g=z{:on6=4={<1fa?45j278j4495:\7fp7cg=838p1>h6:0a6?85ai389n6s|43`94?5|5=9>6;l4=52e>7>c34>9<7<=b:\7fp07e=838p19=9:7`891462;8i7p};2e83>7}:<:=1:o52430967d<uz>9i7>52z?77=<1j27?>>4=2c9~w14a2909w0:<9;4a?825<389n6s|42294?4|5=9j6;l4=506>74e3ty??<4?:3y>06d=>k168?8523`8yv24:3:1>v3;3b85f>;3:>09>o5rs510>5<5s4>8h78m;<61<?45j2wx8>:50;0x915b2?h019<6:30a?xu38o0;6>u241d967d<5=;;6;;4=502>33<uz>:=7>53z?755<50m168??51b7891762;8i7p};1183>6}:<8:1>?l4=531>33<5=896;;4}r627?6=;r7?=?4=8e9>074=9j?019?<:30a?xu39;0;6>u2400967d<5=;?6;;4=500>33<uz>:97>53z?751<50m168?=51b7891722;8i7p};1583>6}:<8>1>?l4=535>33<5=8?6;;4}r623?6=;r7?=;4=8e9>072=9j?019?8:30a?xu39?0;6>u2404967d<5=;36;;4=506>33<uz>:57>53z?75=<50m168?;51b78917>2;8i7p};1983>6}:<821>?l4=53b>33<5=8=6;;4}r62f?6=;r7?=l4=8e9>070=9j?019?m:30a?xu39h0;6>u240c967d<5=;h6;;4=504>33<uz>:h7>53z?75f<50m168?951b78917c2;8i7p};1b83>6}:<8i1>?l4=53f>33<5=836;;4}r62b?6=;r7?=h4=8e9>07>=9j?019?i:30a?xu39l0;6?u240g967d<5=826;;4}r61e?6=:r7?>44>c49>07g=:;h0q~=lb;297~;4l<0=n63<ag81<a=:;j:1>?l4}r1`g?6=:r78h;49b:?0g4<5:k1v\7f>mk:181\7f85c?3<i70=l2;01f>{t;jo1<7<t=2f;>3d<5:i86?<m;|q0gc<72;q6?i756c9>7f2=:;h0q~=k0;296~;4lh0=n63<c4816g=z{:n:6=4={<1gf?0e349h:7<=b:\7fp7a4=838p1>jl:7`896e02;8i7p}<d283>7}:;mn1:o523b:967d<uz9o87>52z?0``<1j278o44=2c9~w6ga2908w0=nf;01f>;4j90=963<c0851>{t;k;1<7=t=2`3>7>c349h=7?l5:?0f4<5:k1v\7f>l?:180\7f85e8389n63<b3851>;4k;0=96s|3c194?5|5:h96?6k;<1`6?7d=278n>4=2c9~w6d52908w0=m2;01f>;4j=0=963<c2851>{t;k?1<7=t=2`7>7>c349h?7?l5:?0f0<5:k1v\7f>l;:180\7f85e<389n63<b7851>;4k=0=96s|3c594?5|5:h=6?6k;<1`0?7d=278n:4=2c9~w6d12908w0=m6;01f>;4j10=963<c4851>{t;k31<7=t=2`;>7>c349h97?l5:?0f<<5:k1v\7f>l7:180\7f85e0389n63<b`851>;4k?0=96s|3c`94?5|5:hj6?6k;<1`2?7d=278no4=2c9~w6df2908w0=ma;01f>;4jj0=963<c6851>{t;kn1<7=t=2``>7>c349h;7?l5:?0fa<5:k1v\7f>ll:180\7f85ek389n63<bd851>;4k10=96s|3cd94?5|5:hn6?6k;<1`<?7d=278nk4=2c9~w6db2909w0=me;01f>;4k00=96s|3bc94?4|5:i26<m:;<1`e?45j2wx:=m50;0x93602;2o708>1;01f>{t>991<7<t=724>74e34<;478:;|q54a<72;q6:=6529f893752;8i7p}90583>7}:>921>?l4=72:>33<uz<;i7>52z?54<<50m16:<=523`8yv07=3:1>v3908816g=:>9k1:85rs72e>5<5s4<;m7<7d:?551<5:k1v\7f;>9:181\7f807i389n6390c851>{t>8:1<7<t=72a>7>c34<:97<=b:\7fp27?=838p1;>m:30a?80793;<96s|60d94?4|5?;j6?6k;<410?45j2wx:<850;0x937f2;8i708>b;46?xu1:90;6?u260`96=b<5?8>6?<m;|q552<72;q6:<l523`8937d2??0q~8=1;296~;19j094i52634967d<uz<:47>52z?55f<5:k16:<j5649~w3452909w08>d;0;`>;1:>09>o5rs73:>5<5s4<:h7<=b:?55`<1=2wx:?=50;0x937b2;2o708=8;01f>{t>;k1<7<t=73f>74e34<;=7?84:\7fp256=838p1;>=:7`893662;8i7p}93683>7}:>:81>5j4=71`>74e3ty=>h4?:3y>264=:;h01;=<:778yv0403:1>v393281<a=:>:n1>?l4}r41b?6=:r7=?>4=2c9>262=><1v\7f;=6:181\7f804<383h6393d816g=z{?9;6=4={<400?45j27=?8495:\7fp26g=838p1;=::3:g?804n389n6s|62394?4|5?9>6?<m;<402?023ty=?o4?:3y>260=:1n01;:?:30a?xu1==0;6?u2624967d<5?8h6<9:;|q50d<72;q6:9;529f8932a2;8i7p}94083>7}:>=?1>?l4=765>33<uz<?n7>52z?503<50m16:8>523`8yv03:3:1>v3947816g=:>==1:85rs76`>5<5s4<?;7<7d:?514<5:k1v\7f;:<:181\7f803?389n63949851>{t>=n1<7<t=76;>7>c34<>>7<=b:\7fp212=838p1;:7:30a?80313<>7p}94d83>7}:>=31>5j4=770>74e3ty=984?:3y>21?=:;h01;<l:057?xu1:k0;6?u263f92g=:>;i1>?l4}r7a`?6=:r7>n54=8e9>1f4=:;h0q~;m4;296~;2j109>o525c;920=z{<hn6=4={<7a=?4?l27>o>4=2c9~w0d22909w0;m9;01f>;2jh0=96s|5cd94?4|5<hj6?6k;<7`0?45j2wx9o850;0x90df2;8i70;mb;46?xu2k90;6?u25c`96=b<5<i>6?<m;|q6f2<72;q69ol523`890dd2??0q~;l1;296~;2jj094i525b4967d<uz?o<7>52z?6gg<50m169i;523`8yv3d?3:1>v3:cc816g=:=ji1:85rs4f2>5<5s4?ho7<7d:?6`3<5:k1v\7f8m7:181\7f83dk389n63:ce851>{t=m81<7<t=4ag>7>c34?o;7<=b:\7fp1f?=838p18mk:30a?83dm3<>7p}:d283>7}:=jo1>5j4=4f;>74e3ty>ol4?:3y>1fc=:;h018mi:778yv3c<3:1>v3:cg81<a=:=m31>?l4}r7f7?6=:r7>hh4=8e9>1`>=:;h0q~;ka;296~;2ll09>o525ed920=z{<o?6=4={<7gb?4?l27>i44=2c9~w0be2909w0;kf;01f>;2m90=96s|5d794?4|5<o;6?6k;<7fe?45j2wx9im50;0x90c72;8i70;j1;46?xu2m?0;6?u25d396=b<5<oi6?<m;|q6`a<72;q69h?523`890c52??0q~;j7;296~;2m;094i525da967d<uz?m:7>52z?6b4<50m169kl523`8yv3bl3:1>v3:f0816g=:=o81:85rs4d4>5<5s4?m>7<7d:?6bf<5:k1v\7f8kj:181\7f83a:389n63:f2851>{t=o21<7<t=4d0>7>c34?mh7<=b:\7fp1``=838p18h<:30a?83a<3<>7p}:f883>7}:=o>1>5j4=4df>74e3ty>j=4?:3y>1c2=:;h018h::778yv3ai3:1>v3:f481<a=:=ol1>?l4}r720?6==r7?i<49b:?65`<5?>168h=5161891c228=970:j7;341>{t<l81<7<t=5g3>3d<5=o86?<m;|q7a1<72;q68ih56c9>0`3=:;h0q~:j6;29<~;3ll0=n63;e6816g=:<l31=:=4=5ga>41334>nh7?85:?7b7<6?;168k:5160891`128=97p};e983>7}:<mn1:o524d;967d<uz>nm7>52z?7`f<1j27?io4=2c9~w1cd2909w0:kb;4a?82bl389n6s|4dg94?4|5=nj6;l4=5d1>74e3ty?j>4?:3y>0a?=>k168k:523`8yv2a=3:1>v3;d985f>;3n?09>o5rs40;>5<5s4?:i78m;<706?40?2wx9?950;0x907c2?h018=>:354?xu29:0;69u250f9621<5=o86<9=;<6f1?70;27?i:4>759~w0412909w0;>c;4a?834838<;6s|50094?5|5<;h6?98;<6f1?70<27?i:4>729~w0422909w0;>b;4a?835n38<;6s|50394?4|5<;i6?98;<6f3?70:2wx9?:50;0x907f2?h018<j:354?xu2990;6:u250c9621<5=o26<9=;<6ff?70;27?ii4>759>0c4=9>9019h;:050?82a>3;<?6s|53194?4|5<;26;l4=40g>7103ty><k4?:7y>14?=:>=019km:051?82bl3;<?63;f38231=:<o>1=::4=5d5>4133ty>>?4?:3y>14>=>k169?m52658yv37m3:19v3:198132=:<ln1=:<4=5ge>41534>m<7?82:?7b4<6?;1v\7f8<>:181\7f836?3<i70;=b;043>{t=9n1<7:t=434>71034>nj7?83:?7b5<6?:168k?51618yv3583:1>v3:1785f>;2:h09;:5rs42`>5<4s4?::7<87:?7b5<6?=168k?51668yv36n3:1>v3:1485f>;2:009;:5rs42a>5<5s4?:97<87:?7b4<6?<1v\7f8>?:181\7f834:3<i70;?a;043>{t<ol1<7<t=412>3d<5<:26?98;|q7b`<72;q69>>56c9>15>=:>=0q~:id;296~;2:o0=n63:068132=z{=lh6=4={<71a?0e34?;:7<87:\7fp0cd=838p18<k:7`890622;=<7p};f`83>7}:=;i1:o525169621<uz>m57>52z?66g<1j27><>4=769~w1`?2909w0;=a;4a?837:38<;6s|4g594?4|5<826;l4=422>7103ty>?>4?:3y>15?=>k168nh523`8yv34<3:1>v3:0985f>;3l909>o5rs416>5<5s4?;;78m;<6g5?45j2wx9>850;0x90612?h019j=:30a?xu2;>0;6?u251792g=:<m91>?l4}r70<?6=:r7><949b:?7`1<5:k1v\7f8=6:181\7f837;3<i70:k5;01f>{t=:k1<7<t=421>3d<5=n=6?<m;|q67g<72;q69=?56c9>0a1=:;h0q~;71;291~;2<l0=n63:8c8132=:=<:1=:=4=471>41534?>87?85:\7fp11`=838p18:k:7`890372;8i7p}:5083>7}:==i1:o52540967d<uz?>?7>58z?60g<1j27>994=2c9>100=9>9018;7:057?832i3;<963:5g8237=:=?;1=:<4=440>4153ty>984?:3y>11g=>k16988523`8yv32?3:1>v3:4885f>;2=109>o5rs47:>5<5s4??478m;<76e?45j2wx98l50;0x90202?h018;i:30a?xu2>90;6?u255492g=:=?;1>?l4}r756?6=:r7>8849b:?626<5:k1v\7f87::181\7f83?j3<i70;6f;043>{t=0>1<7<t=4:b>3d<5<3n6?98;|q6<5<72=q695o52658903728=970;:2;347>;2==0:;95rs4;0>5<5s4?3578m;<7:`?40?2wx9:h50;1x90>>2;=<70;:2;340>;2==0:;>5rs4;1>5<5s4?3478m;<7:g?40?2wx9:k50;0x90>?2;=<70;:4;346>{t=0;1<7<t=4:4>3d<5<3i6?98;|q63a<72>q695952658903128=970;:8;347>;2=h0:;95254d9525<5<<:6<9<;<757?70;2wx94>50;0x90>12?h0187n:354?xu2?j0;6;u25949621<5<?36<9=;<76e?70;27>9k4>759>137=9>>0188<:057?xu20o0;6?u259792g=:=031>:94}r74f?6==r7>484=769>10g=9>8018;l:051?832l3;<>63:5d8237=z{<2n6=4={<7;0?0e34?247<87:\7fp12g=83>p186;:354?832k3;<?63:5e8236=:=<o1=:=4}r7;`?6=:r7>4>49b:?6=2<5?>1v\7f896:180\7f83?;38<;63:5e8231=:=<o1=::4}r7;g?6=:r7>4?49b:?6=3<5?>1v\7f897:181\7f83?:38<;63:5d8230=z{<<o6=4={<7:b?0e34?<;7<87:\7fp13e=838p187j:7`890112;=<7p}:6c83>7}:=0n1:o525679621<uz?=m7>52z?6=f<1j27>;94=769~w00>2909w0;6b;4a?830;38<;6s|57:94?4|5<3j6;l4=451>7103ty>::4?:3y>1<?=>k169:?52658yv31>3:1>v3:9985f>;2?909;:5rs446>5<5s4?2;78m;<75b?40?2wx9;:50;0x90?12?h0188j:354?xu2i90;6?u256492g=:=:i1>?l4}r7b5?6=:r7>;849b:?67a<5:k1v\7f8o=:181\7f830<3<i70;<e;01f>{t=h91<7<t=450>3d<5<9m6?<m;|q6e1<72;q69:<56c9>116=:;h0q~;n5;296~;2?80=n63:40816g=z{<k=6=4={<744?0e34??>7<=b:\7fp1d1=838p188i:7`890242;8i7p}:a983>7}:=?o1:o52556967d<uz>i<7>52z?7g1<1=27?n=4=2c9~w1eb2909w0:l4;3`1>;3jh09>o5rs5c1>5<5s4>h87<=b:?6f7<1j2wx8lh50;1x91e42??019l?:77891ga2;8i7p};ce83>6}:<j91=n;4=5`3>7>c34>i57<=b:\7fp0d7=838p19m<:30a?83e93<i7p};ad83>6}:<j81:8524`d920=:<ho1>?l4}r6`g?6=;r7?o?4>c49>0d`=:1n019l7:30a?xu3i90;6?u24b0967d<5<h;6;l4}r6b`?6=;r7?o<495:?7e`<1=27?mi4=2c9~w1ee2908w0:l1;3`1>;3il094i524c5967d<uz>2j7>52z?7g4<5:k169lh56c9~w1gd2908w0:l0;46?82fl3<>70:nc;01f>{t<jk1<7=t=5a3>4e234>jh7<7d:?7f3<5:k1v\7f9o9:181\7f82d8389n63:ad85f>{t<hh1<7=t=5`e>33<5=kh6;;4=5ca>74e3ty?o44?:2y>0g`=9j?019ol:3:g?82e=389n6s|4`794?4|5=hm6?<m;<7b`?0e3ty?ml4?:2y>0gc=><168ll5649>0dg=:;h0q~:l8;297~;3jl0:o8524``96=b<5=h?6?<m;|q7e1<72;q68ok523`890gd2?h0q~:n9;297~;3jm0=963;a`851>;3i009>o5rs5a4>5<4s4>ih7?l5:?7ed<50m168o=523`8yv2f;3:1>v3;be816g=:=hh1:o5rs5c;>5<4s4>io78:;<6b=?0234>j47<=b:\7fp0f0=839p19ll:0a6?82f1383h63;b3816g=z{=k<6=4={<6ag?45j27>ml49b:\7fp0f3=839p19lm:0a6?82f0383h63;b0816g=z{??=6=4={<6af?45j27>m449b:\7fp20`=839p1;;k:046?802m3;=963961816g=z{;;m6=4={<6fb?45j27?j?4>749~w7472909w0:i0;01f>;3n=0:;85rs302>5<5s4>m=7<=b:?7b3<6?<1v\7f?<<:181\7f832k389n63:5g8230=z{;8?6=4={<76`?45j27>:<4>749~w7422909w0;:e;01f>;2>:0:;85r}c0aa?6=93:1<v*=9481=g=O:ki0D?76;n01a?6=3ty=j54?:3y]2c><5;3=6?68;|q1`1<72mqU>i84^7da?[4c;2T9h?5Q2e38Z7b73W8hj6P=cd9]6fb<V;ih7S<lb:\1gd=::kn1=;h4}r0`2?6=:rT9o;5228491g=z{?lj6=4={_4ee>;51?094n5rs7de>5<5sW<mj63=9781ef=z{:k<6=4={_1b3>;51?0::>5rs635>5<5sW=::63=9781f<=z{?lo6=4={_4e`>;51?095:5rs3a6>5<5sW8h963=9786e>{t>l81<7<t^7g1?84>>38<n6s|67394?4|V?<:70<66;01`>{t>oo1<7<t^7df?84>>382m6s|3`c94?4|V:kj70<66;35`>{t>?81<7<t^741?84>>388?6s|71294?4|V>:;70<66;0b`>{t>h81<7<t^7c1?84>>38>96s|70794?4|V>:j70<66;0a<>{t?891<7<t^62:?84>>38i:6s|70094?4|V>:370<66;0a1>{t?8;1<7<t^624?84>>38i86s|70294?4|V>:=70<66;0a7>{t?9l1<7<t^626?84>>38i>6s|71g94?4|V>:?70<66;0a5>{t?9n1<7<t^620?84>>38i<6s|71a94?4|V>:970<66;0bb>{t?9h1<7<t^622?84>>38ji6s|38g94?4|V:=n70<66;36f>{t;0i1<7<t^25g?84>>3;>56s|38c94?4|V:=i70<66;363>{t;031<7<t^25b?84>>3;>:6s|38:94?4|V:=270<66;361>{t;0=1<7<t^25;?84>>3;>86s|38494?4|V:=<70<66;367>{t;0?1<7<t^255?84>>3;>>6s|38694?4|V:=>70<66;365>{t;091<7<t^257?84>>3;><6s|38094?4|V:=870<66;37b>{t;0;1<7<t^251?84>>3;?i6s|39d94?4|V:=;70<66;37g>{t;1o1<7<t^24e?84>>3;?n6s|39f94?4|V:<n70<66;37e>{t;1i1<7<t^24g?84>>3;?56s|39`94?4|V:<h70<66;37<>{t;1k1<7<t^24a?84>>3;?;6s|39;94?4|V:<j70<66;372>{t;121<7<t^24:?84>>3;?96s|39594?4|V:<370<66;370>{t;1<1<7<t^244?84>>3;??6s|3`794?4|V:2?70<66;356>{t;h>1<7<t^2:0?84>>3;==6s|3`194?4|V:2970<66;354>{t;h81<7<t^2:2?84>>3;>j6s|3`394?4|V:2;70<66;36a>{t;h:1<7<t^25e?84>>3;>h6s|38d94?4|V:=h70<66;36g>{t;0h1<7<t^252?84>>3;>46s|38294?4|V:<=70<66;37`>{t;1?1<7<t^246?84>>3;?>6s|6g594?4|V?oh70<66;0;2>{t>o?1<7<t^7ga?84>>38386s|6g694?4|V?oj70<66;0;7>{t>o91<7<t^7g:?84>>383>6s|6g094?4|V?o370<66;0;5>{t>o;1<7<t^7g4?84>>383<6s|6g294?4|V?o=70<66;04b>{t>ll1<7<t^7g6?84>>38<i6s|6dg94?4|V?o?70<66;04`>{t>ln1<7<t^7g0?84>>38<o6s|69a94?4|V?2:70<66;07=>{t>1k1<7<t^7:3?84>>38?;6s|69;94?4|V?=m70<66;072>{t>121<7<t^75f?84>>38?96s|69594?4|V?=o70<66;070>{t>1<1<7<t^75`?84>>38??6s|69794?4|V?=i70<66;076>{t>1>1<7<t^75b?84>>38?=6s|69194?4|V?=270<66;074>{t>181<7<t^75;?84>>388j6s|34f94?4|V:9o70<66;g1?xu4=k0;6?uQ32a897?12l:0q~=:9;296~X4;h16>485dd9~w63?2909wS=<9:?1=3<cl2wx?8950;0xZ65?3482:7jl;|q013<72;qU?>94=3;5>ad<uz9>97>52z\073=::0<1hl5rs277>5<5sW98963=978g=>{t;<91<7<t^217?84>>3n37p}<5383>7}Y;:901?79:e58yv5293:1>vP<339>6<0=l?1v\7f>;?:181\7f[5492795;4k5:\7fp71c=838pR><i;<0:2?b43ty88i4?:3y]77c<5;3=6i<4}r17g?6=:rT8>i522849`4=z{:>i6=4={_11g>;51?0o<6s|35c94?4|V:8i70<66;ae?xu4<00;6?uQ33c897?12jo0q~=;8;296~X4:016>485ce9~w6202909wS==8:?1=3<dk2wx?9850;0xZ6403482:7mm;|q000<72;qU??84=3;5>fg<uz9=87>52z\006=::0<1i45rs240>5<5sW9?>63=978f<>{t;?81<7<t^262?84>>3o<7p}<6083>7}Y;=:01?79:d48yv5183:1>vP<3g9>6<0=m<1v\7f>;i:181\7f[54m2795;4j4:\7fp70c=838pR>=m;<0:2?c43ty89l4?:3y]766<5;3=6ih4}r17b?6=:rT8>8522849`1=z{:>?6=4={_110>;51?0h56s|6d394?4|V?n=70<66;042>{t>ml1<7<t^7f6?84>>38<86s|6eg94?4|V?n?70<66;047>{t>mn1<7<t^7f0?84>>38<>6s|6ea94?4|V?n970<66;045>{t>mh1<7<t^7f2?84>>38<<6s|6ec94?4|V?n;70<66;05b>{t>m31<7<t^7ae?84>>38=i6s|6e:94?4|V?in70<66;05`>{t>m=1<7<t^7ag?84>>38=o6s|6ba94?4|V?i:70<66;05f>{t>jk1<7<t^7a3?84>>38=56s|6b;94?4|V?hm70<66;05<>{t>j21<7<t^7`f?84>>38=;6s|6b594?4|V?ho70<66;052>{t>j<1<7<t^7``?84>>38=96s|6b794?4|V?hi70<66;050>{t>j>1<7<t^7`b?84>>38=?6s|6b194?4|V?h270<66;056>{t>j81<7<t^7`;?84>>38==6s|6c594?4|V?kh70<66;054>{t>k?1<7<t^7ca?84>>38>i6s|6c694?4|V?kj70<66;06`>{t>k91<7<t^7c:?84>>38>o6s|6c094?4|V?k370<66;06f>{t>k;1<7<t^7c4?84>>38>m6s|6c294?4|V?k=70<66;06=>{t>hl1<7<t^7c6?84>>38>46s|6`g94?4|V?k?70<66;063>{t>hn1<7<t^7c0?84>>38>:6s|6`394?4|V?3=70<66;060>{t>0l1<7<t^7;6?84>>38>>6s|68g94?4|V?3?70<66;065>{t>0n1<7<t^7;0?84>>38><6s|68a94?4|V?3970<66;07b>{t>0h1<7<t^7;2?84>>38?i6s|68c94?4|V?3;70<66;07`>{t>031<7<t^7:e?84>>38?o6s|68:94?4|V?2n70<66;07f>{t>0=1<7<t^7:g?84>>38?m6s|66594?4|V?<h70<66;00a>{t>>?1<7<t^74a?84>>388o6s|66694?4|V?<j70<66;00f>{t>>91<7<t^74:?84>>388m6s|66094?4|V?<370<66;00=>{t>>;1<7<t^744?84>>38846s|66294?4|V?<=70<66;003>{t>?l1<7<t^746?84>>388:6s|67g94?4|V?<?70<66;001>{t>?n1<7<t^740?84>>38886s|21;94?7|5;hn6?<j;|\7fp70b=838pR>=k;<7g>65c3-8247<>b:\7fp70d=838pR>=l;<7g>65d3-8247<>c:\7fp70?=838pR>=n;<7g>65f3-8247<>d:\7fp70>=838pR>=6;<7g>65>3-8247<>e:\7fp701=838pR>=7;<7g>65?3-8247<=2:\7fp700=838pR>=8;<7g>6503-8247?k0:\7fp703=838pR>=9;<7g>6513-8247?k4:\7fp702=838pR>=:;<7g>6523-8247?k8:\7fp705=838pR>=;;<7g>6533-8247?j3:\7fp704=838pR>=<;<7g>6543-8247?je:\7fp707=838pR>==;<7g>6553-8247?i9:\7fp706=838pR>=>;<7g>6563-8247<?0:\7fp71c=838pR><i;<7g>64a3-8247<?6:\7fp71b=838pR><j;<7g>64b3-8247<?7:\7fp71e=838pR><k;<7g>64c3-8247<?8:\7fp71d=838pR><l;<7g>64d3-8247<?a:\7fp71g=838pR><m;<7g>64e3-8247<?b:\7fp71?=838pR><n;<7g>64f3-8247<?c:\7fp71>=838pR><6;<7g>64>3-8247<?d:\7fp711=838pR><7;<7g>64?3-8247<?e:\7fp710=838pR><8;<7g>6403-8247<?f:\7fp713=838pR><9;<7g>6413-8247<>0:\7fp732=838pR>:<;<7g>6243-8247<>1:\7fp735=838pR>:=;<7g>6253-8247<>2:\7fp734=838pR>:>;<7g>6263-8247<>3:\7fp737=838pR>:?;<7g>6273-8247<>4:\7fp736=838pR>=i;<7g>65a3-8247<>5:\7fp70`=838pR>=j;<7g>65b3-8247<>6:\7fp70c=838pR>=m;<7g>65e3-8247<>7:\7fp70g=838pR>=?;<7g>6573-8247<>8:\7fp71`=838pR><:;<7g>6423-8247<>9:\7fp712=838pR><;;<7g>6433-8247<>a:\7fp2`4=838pR;k=;<7g>3c53-8247<=6:\7fp2c>=838pR;h7;<7g>3`?3-8247<=7:\7fp2cg=838pR;hn;<7g>3`f3-8247?k1:\7fp356=838pR:>?;<7g>2673-8247?k2:\7fp340=838pR:?9;<7g>2713-8247?k3:\7fp7<c=838pR>9j;<7g>61b3-8247?k5:\7fp7<e=838pR>9k;<7g>61c3-8247?k6:\7fp7<g=838pR>9m;<7g>61e3-8247?k7:\7fp7<?=838pR>9n;<7g>61f3-8247?k9:\7fp7<>=838pR>96;<7g>61>3-8247?ka:\7fp7<1=838pR>97;<7g>61?3-8247?kb:\7fp7<0=838pR>98;<7g>6103-8247?kc:\7fp7<3=838pR>99;<7g>6113-8247?kd:\7fp7<2=838pR>9:;<7g>6123-8247?ke:\7fp7<5=838pR>9;;<7g>6133-8247?kf:\7fp7<4=838pR>9<;<7g>6143-8247?j0:\7fp7<7=838pR>9=;<7g>6153-8247?j1:\7fp7=`=838pR>9?;<7g>6173-8247?j2:\7fp7=c=838pR>8i;<7g>60a3-8247?j4:\7fp7=b=838pR>8j;<7g>60b3-8247?j5:\7fp7=e=838pR>8k;<7g>60c3-8247?j6:\7fp7=d=838pR>8l;<7g>60d3-8247?j7:\7fp7=g=838pR>8m;<7g>60e3-8247?j8:\7fp7=?=838pR>8n;<7g>60f3-8247?j9:\7fp7=>=838pR>86;<7g>60>3-8247?ja:\7fp7=1=838pR>87;<7g>60?3-8247?jb:\7fp7=0=838pR>88;<7g>6003-8247?jc:\7fp7d3=838pR>6;;<7g>6>33-8247?jd:\7fp7d2=838pR>6<;<7g>6>43-8247?jf:\7fp7d5=838pR>6=;<7g>6>53-8247?i0:\7fp7d4=838pR>6>;<7g>6>63-8247?i1:\7fp7d7=838pR>6?;<7g>6>73-8247?i2:\7fp7d6=838pR>9i;<7g>61a3-8247?i3:\7fp7<`=838pR>9l;<7g>61d3-8247?i4:\7fp7<d=838pR>9>;<7g>6163-8247?i5:\7fp7<6=838pR>89;<7g>6013-8247?i6:\7fp7=3=838pR>8:;<7g>6023-8247?i7:\7fp7d1=838pR>o8;<7g>6g03-8247?i8:\7fp7dg=838pR>on;<7g>6gf3-8247?ia:\7fp2c1=838pR;kl;<7g>3cd3-8247?ib:\7fp2c3=838pR;km;<7g>3ce3-8247?ic:\7fp2c2=838pR;kn;<7g>3cf3-8247?id:\7fp2c5=838pR;k6;<7g>3c>3-8247?ie:\7fp2c4=838pR;k7;<7g>3c?3-8247?if:\7fp2c7=838pR;k8;<7g>3c03-8247<?1:\7fp2c6=838pR;k9;<7g>3c13-8247<?2:\7fp2``=838pR;k:;<7g>3c23-8247<?3:\7fp2`c=838pR;k;;<7g>3c33-8247<?4:\7fp2`b=838pR;k<;<7g>3c43-8247<?5:\7f~j`122908wE<69:\7fma20=839pD?76;|lf32<72:qC>474}og4<?6=;rB9545rnd5:>5<4sA8256sae6c94?5|@;327p`j7c83>6}O:030qck8c;297~N5101vbh9k:180\7fM4>12wei:k50;1xL7?>3tdn;k4?:2yK6<?<ugo3<7>53zJ1=<=zfl2:6=4<{I0:=>{im181<7=tH3;:?xhb0:0;6>uG28;8ykc?<3:1?vF=989~j`>22908wE<69:\7fma=0=839pD?76;|lf<2<72:qC>474}og;<?6=;rB9545rnd::>5<4sA8256sae9c94?5|@;327p`j8c83>6}O:030qck7c;297~N5101vbh6k:180\7fM4>12wei5k50;1xL7?>3tdn4k4?:2yK6<?<ugo2<7>53zJ1=<=zfl3:6=4<{I0:=>{im081<7=tH3;:?xhb1:0;6>uG28;8ykc><3:1?vF=989~j`?22908wE<69:\7fma<0=839pD?76;|lf=2<72:qC>474}og:<?6=;rB9545rnd;:>5<4sA8256sae8c94?5|@;327p`j9c83>6}O:030qck6c;297~N5101vbh7k:180\7fM4>12wei4k50;1xL7?>3tdn5k4?:2yK6<?<ugoj<7>53zJ1=<=zflk:6=4<{I0:=>{imh81<7=tH3;:?xhbi:0;6>uG28;8ykcf<3:1?vF=989~j`g22908wE<69:\7fmad0=839pD?76;|lfe2<72:qC>474}ogb<?6=;rB9545rndc:>5<4sA8256sae`c94?5|@;327p`jac83>6}O:030qcknc;297~N5101vbhok:180\7fM4>12weilk50;1xL7?>3tdnmk4?:2yK6<?<ugoi<7>53zJ1=<=zflh:6=4<{I0:=>{imk81<7=tH3;:?xhbj:0;6>uG28;8ykce<3:1?vF=989~j`d22908wE<69:\7fmag0=839pD?76;|lff2<72:qC>474}oga<?6=;rB9545rnd`:>5<4sA8256saecc94?5|@;327p`jbc83>6}O:030qckmc;297~N5101vbhlk:180\7fM4>12weiok50;1xL7?>3tdnnk4?:2yK6<?<ugoh<7>53zJ1=<=zfli:6=4<{I0:=>{imj81<7=tH3;:?xhbk:0;6>uG28;8ykcd<3:1?vF=989~j`e22908wE<69:\7fm`a3=83;pD?76;|lg`f<7289pD?76;|lf5=<728qC>474}og2=?6=9rB9545rnd3b>5<6sA8256sae0`94?7|@;327p`j1b83>4}O:030qck>d;295~N5101vbh?j:182\7fM4>12wei<h50;3xL7?>3tdn>=4?:0yK6<?<ugo9=7>51zJ1=<=zfl>96=4>{I0:=>{im=91<7?tH3;:?xhb<=0;6<uG28;8ykc3=3:1=vF=989~j`21290:wE<69:\7fma11=83;pD?76;|lf0=<728qC>474}og7=?6=9rB9545rnd6b>5<6sA8256sae5`94?7|@;327p`j4b83>4}O:030qck;d;295~N5101vbh:j:182\7fM4>12wei9h50;3xL7?>3tdn9=4?:0yK6<?<ugo>=7>51zJ1=<=zfl?96=4>{I0:=>{im<91<7?tH3;:?xhb==0;6<uG28;8ykc2=3:1=vF=989~j`31290:wE<69:\7fma01=83;pD?76;|lf1=<728qC>474}og6=?6=9rB9545rnd7b>5<6sA8256sae4`94?7|@;327p`j5b83>4}O:030qck:d;295~N5101vbh;j:182\7fM4>12wei8h50;3xL7?>3tdn:=4?:0yK6<?<ugo==7>51zJ1=<=zfl<96=4>{I0:=>{im?91<7?tH3;:?xhb>=0;6<uG28;8ykc1=3:1=vF=989~j`01290:wE<69:\7fma31=83;pD?76;|lf2=<728qC>474}og5=?6=9rB9545rnd4b>5<6sA8256sae7`94?7|@;327p`j6b83>4}O:030qck9d;295~N5101vbh8j:182\7fM4>12wei;h50;3xL7?>3tdn;=4?:0yK6<?<ugo<=7>51zJ1=<=zfl=96=4>{I0:=>{im>91<7?tH3;:?xhel<0;6<uG28;8ykdcj3:1=vF=989~jgba290:wE<69:\7fmf`6=83;pD?76;|laa4<728qC>474}o`f6?6=9rB9545rncg0>5<6sA8256sabd694?7|@;327p`me483>4}O:030qclj6;295~N5101vbok8:182\7fM4>12wenh650;3xL7?>3tdii44?:0yK6<?<ughnm7>51zJ1=<=zfkoi6=4>{I0:=>{ijli1<7?tH3;:?xhemm0;6<uG28;8ykdbm3:1=vF=989~jgca290:wE<69:\7fmfc6=83;pD?76;|lab4<728qC>474}o`e6?6=9rB9545rncd0>5<6sA8256sabg694?7|@;327p`mf483>4}O:030qcli6;295~N5101vboh8:182\7fM4>12wenk650;3xL7?>3tdij44?:0yK6<?<ughmm7>51zJ1=<=zfkli6=4>{I0:=>{ijoi1<7?tH3;:?xhenm0;6<uG28;8ykdam3:1=vF=989~jg`a290:wE<69:\7fmg56=83;pD?76;|l`44<728qC>474}oa36?6=9rB9545rnb20>5<6sA8256sac1694?7|@;327p`l0483>4}O:030qcm?6;295~N5101vbn>8:182\7fM4>12weo=650;3xL7?>3tdh<44?:0yK6<?<ugi;m7>51zJ1=<=zfj:i6=4>{I0:=>{ik9i1<7?tH3;:?xhd8m0;6<uG28;8yke7m3:1=vF=989~jf6a290:wE<69:\7fmg46=83;pD?76;|l`54<728qC>474}oa26?6=9rB9545rnb30>5<6sA8256sac0694?7|@;327p`l1483>4}O:030qcm>6;295~N5101vbn?8:182\7fM4>12weo<650;3xL7?>3tdh=44?:0yK6<?<ugi:m7>51zJ1=<=zfj;i6=4>{I0:=>{ik8i1<7?tH3;:?xhd9m0;6<uG28;8yke6m3:1=vF=989~jf7a290:wE<69:\7fmg76=83;pD?76;|l`64<728qC>474}oa16?6=9rB9545rnb00>5<6sA8256sac3694?7|@;327p`l6g83>4}O:030qcm80;295~N5101vbn9>:182\7fM4>12weo:<50;3xL7?>3tdh;>4?:0yK6<?<ugi<87>51zJ1=<=zfj=>6=4>{I0:=>{ik><1<7?tH3;:?xhd?>0;6<uG28;8yke003:1=vF=989~jf1>290:wE<69:\7fmg2g=83;pD?76;|l`3g<728qC>474}oa4g?6=9rB9545rnb5g>5<6sA8256sac6g94?7|@;327p`l7g83>4}O:030qcm70;295~N5101vbn6>:182\7fM4>12weo5<50;3xL7?>3tdh4>4?:0yK6<?<ugi387>51zJ1=<=zfj2>6=4>{I0:=>{ik1<1<7?tH3;:?xhd0>0;6<uG28;8yke?03:1=vF=989~jf>>290:wE<69:\7fmg=g=83;pD?76;|l`<g<728qC>474}oa;g?6=9rB9545rnb:g>5<6sA8256sac9g94?7|@;327p`l8g83>4}O:030qcm60;295~N5101vbn7>:182\7fM4>12weo4<50;3xL7?>3tdh5>4?:0yK6<?<ugi287>51zJ1=<=zfj3>6=4>{I0:=>{ik0<1<7?tH3;:?xhd1>0;6<uG28;8yke>03:1=vF=989~jf?>290:wE<69:\7fmg<g=83;pD?76;|l`=g<728qC>474}oa:g?6=9rB9545rnb;g>5<6sA8256sac8g94?7|@;327p`l9g83>4}O:030qcmn0;295~N5101vbno>:182\7fM4>12weol<50;3xL7?>3tdhm>4?:0yK6<?<ugij87>51zJ1=<=zfjk>6=4>{I0:=>{ikh<1<7?tH3;:?xhdi>0;6<uG28;8ykef03:1=vF=989~jfg>290:wE<69:\7fmgdg=83;pD?76;|l`eg<728qC>474}oabg?6=9rB9545rnbcg>5<6sA8256sac`g94?7|@;327p`lag83>4}O:030qcmm0;295~N5101vbnl>:182\7fM4>12weoo<50;3xL7?>3tdhn>4?:0yK6<?<ugii87>51zJ1=<=zfjh>6=4>{I0:=>{ikk<1<7?tH3;:?xhdj>0;6<uG28;8ykee03:1=vF=989~jfd>290:wE<69:\7fmggg=83;pD?76;|l`fg<728qC>474}oaag?6=9rB9545rnb`g>5<6sA8256saccg94?7|@;327p`lbg83>4}O:030qcml0;295~N5101vbnm>:182\7fM4>12weon<50;3xL7?>3tdho>4?:0yK6<?<ugih87>51zJ1=<=zfji>6=4>{I0:=>{ikj<1<7?tH3;:?xhdk>0;6<uG28;8yked03:1=vF=989~jfe>290:wE<69:\7fmgfg=83;pD?76;|l`gg<728qC>474}oa`g?6=9rB9545rnbag>5<6sA8256sacbg94?7|@;327p`lcg83>4}O:030qcmk0;295~N5101vbnj>:182\7fM4>12weoi<50;3xL7?>3tdhh>4?:0yK6<?<ugio87>51zJ1=<=zfjn>6=4>{I0:=>{ikm<1<7?tH3;:?xhdl>0;6<uG28;8ykec03:1=vF=989~jfb>290:wE<69:\7fmgag=83;pD?76;|l``g<728qC>474}oagg?6=9rB9545rnbfg>5<6sA8256saceg94?7|@;327p`ldg83>4}O:030qcmj0;295~N5101vbnk>:182\7fM4>12weoh<50;3xL7?>3tdhi>4?:0yK6<?<ugin87>51zJ1=<=zfjo>6=4>{I0:=>{ikl<1<7?tH3;:?xhdm>0;6<uG28;8ykeb03:1=vF=989~jfc>290:wE<69:\7fmg`g=83;pD?76;|l`ag<728qC>474}oafg?6=9rB9545rnbgg>5<6sA8256sacdg94?7|@;327p`leg83>4}O:030qcmi0;295~N5101vbnh>:182\7fM4>12weok<50;3xL7?>3tdhj>4?:0yK6<?<ugim87>51zJ1=<=zfjl>6=4>{I0:=>{iko<1<7?tH3;:?xhdn>0;6<uG28;8ykea03:1=vF=989~jf`>290:wE<69:\7fmgcg=83;pD?76;|l`bg<728qC>474}oaeg?6=9rB9545rnbdg>5<6sA8256sacgg94?7|@;327p`lfg83>4}O:030qcj?0;295~N5101vbi>>:182\7fM4>12weh=<50;3xL7?>3tdo<>4?:0yK6<?<ugn;87>51zJ1=<=zfm:>6=4>{I0:=>{il9<1<7?tH3;:?xhc8>0;6<uG28;8ykb703:1=vF=989~ja6>290:wE<69:\7fm`5g=83;pD?76;|lg4g<728qC>474}of3g?6=9rB9545rne2g>5<6sA8256sad1g94?7|@;327p`k0g83>4}O:030qcj>0;295~N5101vbi?>:182\7fM4>12weh<<50;3xL7?>3tdo=>4?:0yK6<?<ugn:87>51zJ1=<=zfm;>6=4>{I0:=>{il8<1<7?tH3;:?xhc9>0;6<uG28;8ykb603:1=vF=989~ja7>290:wE<69:\7fm`4g=83;pD?76;|lg5g<728qC>474}of2g?6=9rB9545rne3g>5<6sA8256sad0g94?7|@;327p`k1g83>4}O:030qcj=0;295~N5101vbi<>:182\7fM4>12weh?<50;3xL7?>3tdo>>4?:0yK6<?<ugn987>51zJ1=<=zfm8>6=4>{I0:=>{il;<1<7?tH3;:?xhc:>0;6<uG28;8ykb503:1=vF=989~ja4>290:wE<69:\7fm`7g=83;pD?76;|lg6g<728qC>474}of1g?6=9rB9545rne0g>5<6sA8256sad3g94?7|@;327p`k2g83>4}O:030qcj<0;295~N5101vbi=>:182\7fM4>12weh><50;3xL7?>3tdo?>4?:0yK6<?<ugn887>51zJ1=<=zfm9>6=4>{I0:=>{il:<1<7?tH3;:?xhc;>0;6<uG28;8ykb403:1=vF=989~ja5>290:wE<69:\7fm`6g=83;pD?76;|lg7g<728qC>474}of0g?6=9rB9545rne1g>5<6sA8256sad2g94?7|@;327p`k3g83>4}O:030qcj;0;295~N5101vbi:>:182\7fM4>12weh9<50;3xL7?>3tdo8>4?:0yK6<?<ugn?87>51zJ1=<=zfm>>6=4>{I0:=>{il=<1<7?tH3;:?xhc<>0;6<uG28;8ykb303:1=vF=989~ja2>290:wE<69:\7fm`1g=83;pD?76;|lg0g<728qC>474}of7g?6=9rB9545rne6g>5<6sA8256sad5g94?7|@;327p`k4g83>4}O:030qcj:0;295~N5101vbi;>:182\7fM4>12weh8<50;3xL7?>3tdo9>4?:0yK6<?<ugn>87>51zJ1=<=zfm?>6=4>{I0:=>{il<<1<7?tH3;:?xhc=>0;6<uG28;8ykb203:1=vF=989~ja3>290:wE<69:\7fm`0g=83;pD?76;|lg1g<728qC>474}of6g?6=9rB9545rne7g>5<6sA8256sad4g94?7|@;327p`k5g83>4}O:030qcj90;295~N5101vbi8>:182\7fM4>12weh;<50;3xL7?>3tdo:>4?:0yK6<?<ugn=87>51zJ1=<=zfm<>6=4>{I0:=>{il?<1<7?tH3;:?xhc>>0;6<uG28;8ykb103:1=vF=989~ja0>290:wE<69:\7fm`3g=83;pD?76;|lg2g<728qC>474}of5g?6=9rB9545rne4g>5<6sA8256sad7g94?7|@;327p`k6g83>4}O:030qcj80;295~N5101vbi9>:182\7fM4>12weh:<50;3xL7?>3tdo;>4?:0yK6<?<ugn<87>51zJ1=<=zfm=>6=4>{I0:=>{il><1<7?tH3;:?xhc?>0;6<uG28;8ykb003:1=vF=989~ja1>290:wE<69:\7fm`2g=83;pD?76;|lg3g<728qC>474}of4g?6=9rB9545rne5g>5<6sA8256sad6g94?7|@;327p`k7g83>4}O:030qcj70;295~N5101vbi6>:182\7fM4>12weh5<50;3xL7?>3tdo4>4?:0yK6<?<ugn387>51zJ1=<=zfm2>6=4>{I0:=>{il1<1<7?tH3;:?xhc0>0;6<uG28;8ykb?03:1=vF=989~ja>>290:wE<69:\7fm`=g=83;pD?76;|lg<g<728qC>474}of;g?6=9rB9545rne:g>5<6sA8256sad9g94?7|@;327p`k8g83>4}O:030qcj60;295~N5101vbi7>:182\7fM4>12weh4<50;3xL7?>3tdo5>4?:0yK6<?<ugn287>51zJ1=<=zfm3>6=4>{I0:=>{il0<1<7?tH3;:?xhc1>0;6<uG28;8ykb>03:1=vF=989~ja?>290:wE<69:\7fm`<g=83;pD?76;|lg=g<728qC>474}of:g?6=9rB9545rne;g>5<6sA8256sad8g94?7|@;327p`k9g83>4}O:030qcjn0;295~N5101vbio>:182\7fM4>12wehl<50;3xL7?>3tdom>4?:0yK6<?<ugnj87>51zJ1=<=zfmk>6=4>{I0:=>{ilh<1<7?tH3;:?xhci>0;6<uG28;8ykbf03:1=vF=989~jag>290:wE<69:\7fm`dg=83;pD?76;|lgeg<728qC>474}ofbg?6=9rB9545rnecg>5<6sA8256sad`g94?7|@;327p`kag83>4}O:030qcjm0;295~N5101vbil>:182\7fM4>12weho<50;3xL7?>3tdon>4?:0yK6<?<ugni87>51zJ1=<=zfmh>6=4>{I0:=>{ilk<1<7?tH3;:?xhcj>0;6<uG28;8ykbe03:1=vF=989~jad>290:wE<69:\7fm`gg=83;pD?76;|lgfg<728qC>474}ofag?6=9rB9545rne`g>5<6sA8256sadcg94?7|@;327p`kbg83>4}O:030qcjl0;295~N5101vbim>:182\7fM4>12wehn<50;3xL7?>3tdoo>4?:0yK6<?<ugnh87>51zJ1=<=zfmi>6=4>{I0:=>{ilj<1<7?tH3;:?xhck>0;6<uG28;8ykbd03:1=vF=989~jae>290:wE<69:\7fm`fg=83;pD?76;|lggg<728qC>474}of`g?6=9rB9545rneag>5<6sA8256sadbg94?7|@;327p`kcg83>4}O:030qcjk0;295~N5101vbij>:182\7fM4>12wei::50;3xL7?>3twvqMNL{0335?31<<o35nsO@Cy3yEFWstJK
\ No newline at end of file
diff --git a/lvl1_buffer.vhd b/lvl1_buffer.vhd
new file mode 100644 (file)
index 0000000..07fd7eb
--- /dev/null
@@ -0,0 +1,147 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           --
+--     solely for design, simulation, implementation and creation of          --
+--     design files limited to Xilinx devices or technologies. Use            --
+--     with non-Xilinx devices or technologies is expressly prohibited        --
+--     and immediately terminates your license.                               --
+--                                                                            --
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
+--     FOR A PARTICULAR PURPOSE.                                              --
+--                                                                            --
+--     Xilinx products are not intended for use in life support               --
+--     appliances, devices, or systems. Use in such applications are          --
+--     expressly prohibited.                                                  --
+--                                                                            --
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
+--     All rights reserved.                                                   --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file lvl1_buffer.vhd when simulating
+-- the core, lvl1_buffer. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY lvl1_buffer IS
+       port (
+       din: IN std_logic_VECTOR(31 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(31 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic;
+       rd_data_count: OUT std_logic_VECTOR(9 downto 0));
+END lvl1_buffer;
+
+ARCHITECTURE lvl1_buffer_a OF lvl1_buffer IS
+-- synthesis translate_off
+component wrapped_lvl1_buffer
+       port (
+       din: IN std_logic_VECTOR(31 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       dout: OUT std_logic_VECTOR(31 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic;
+       rd_data_count: OUT std_logic_VECTOR(9 downto 0));
+end component;
+
+-- Configuration specification 
+       for all : wrapped_lvl1_buffer use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+               generic map(
+                       c_rd_freq => 100,
+                       c_wr_response_latency => 1,
+                       c_has_srst => 0,
+                       c_has_rd_data_count => 1,
+                       c_din_width => 32,
+                       c_has_wr_data_count => 0,
+                       c_implementation_type => 2,
+                       c_family => "virtex4",
+                       c_has_wr_rst => 0,
+                       c_wr_freq => 100,
+                       c_underflow_low => 0,
+                       c_has_meminit_file => 0,
+                       c_has_overflow => 0,
+                       c_preload_latency => 1,
+                       c_dout_width => 32,
+                       c_rd_depth => 1024,
+                       c_default_value => "BlankString",
+                       c_mif_file_name => "BlankString",
+                       c_has_underflow => 0,
+                       c_has_rd_rst => 0,
+                       c_has_almost_full => 0,
+                       c_has_rst => 1,
+                       c_data_count_width => 10,
+                       c_has_wr_ack => 0,
+                       c_use_ecc => 0,
+                       c_wr_ack_low => 0,
+                       c_common_clock => 0,
+                       c_rd_pntr_width => 10,
+                       c_has_almost_empty => 0,
+                       c_rd_data_count_width => 10,
+                       c_enable_rlocs => 0,
+                       c_wr_pntr_width => 10,
+                       c_overflow_low => 0,
+                       c_prog_empty_type => 0,
+                       c_optimization_mode => 0,
+                       c_wr_data_count_width => 10,
+                       c_preload_regs => 0,
+                       c_dout_rst_val => "0",
+                       c_has_data_count => 0,
+                       c_prog_full_thresh_negate_val => 1021,
+                       c_wr_depth => 1024,
+                       c_prog_empty_thresh_negate_val => 3,
+                       c_prog_empty_thresh_assert_val => 2,
+                       c_has_valid => 0,
+                       c_init_wr_pntr_val => 0,
+                       c_prog_full_thresh_assert_val => 1022,
+                       c_use_fifo16_flags => 0,
+                       c_has_backup => 0,
+                       c_valid_low => 0,
+                       c_prim_fifo_type => "1kx36",
+                       c_count_type => 0,
+                       c_prog_full_type => 0,
+                       c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_lvl1_buffer
+               port map (
+                       din => din,
+                       rd_clk => rd_clk,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_clk => wr_clk,
+                       wr_en => wr_en,
+                       dout => dout,
+                       empty => empty,
+                       full => full,
+                       rd_data_count => rd_data_count);
+-- synthesis translate_on
+
+END lvl1_buffer_a;
+
diff --git a/lvl1_fifo.ngc b/lvl1_fifo.ngc
new file mode 100644 (file)
index 0000000..df353eb
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$`76\7f41<,[o}e~g`n;"2*447&;:%>-*>;1;8456789:;<9>40123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?4:23054<88>0<<>?2:270>638980<5:409230>6DI?;0=>5>.103?42=AGZ^X7^]OF]FIUR^W]BYI^F31283:71<9=0BB][[:QPLCZCJX]STXE\JSI]BWVCU\5;86=0=7:37>LHW]]0[^BIPELRW]ZROZLYCSCKHAUG?56<768l0=94FNQWW>UTHOVL^@AQ[HSGPL9742949:6?;:HLSQQ<WZFMTJXBC_UJQAVNXIZYN^Y2>3;2=63=6<3CE\XZ5PSMD[CSKDV^C^H]G_OGDEQC;9:0;2?>4158JJUSS2yxdkRkbpu{\pmtb{a6:?7>126920?OIX\^1|\7fah_dosp|Ys`{oxdRo|sdpw845=878<7<:5IORVP?vugnUna}zv_ujqavnXflmjxh2>3;2=5c=6<3CE\XZ5psmd[cskdV~c~h}g<0194;4138>1EC^ZT;rqkbYa}efTxe|jsi]bwvcu|5;86=0=6:37>LHW]]0{~biPftno[qnumzbTbhintd>27?699h1:87AZTQWW>AGSIVIDYCZ31283:4b<9=0DYY^ZT;FFFPAXZMU[BY\31283:4b<9=0DYY^ZT;FFFPAX_[U[BY\31283:4b<9=0DYY^ZT;PG[AGSIVIDYCZ31283:4b<9=0DYY^ZT;UQ[AGSIVIDYCZ31283:4g<9=0DYY^ZT;fbpdYdg|d\7f0<=50?06?42=G\^[YY4kecwd[wbXxg~yS}bzs0]q845=87;m7<:5OTVSQQ<cmk\7flS\7fjPpovq[w:6;3:5=k5>4;MVPUSS2moiyjQ}d^rmpwYp4891<3<:;069KPRW]]0oio{h_vp\tkruWyf~\7f<Qx<0194;4238>1CXZ_UU8gags`W~xT|cz}_qnvw7Yp4891<3?i;069KPRW]]0oio{h_vp\tkruW{6:?7>11g920?IR\Y__6ikmuf]tvZvi|{U|0<=50?3g?42=G\^[YY4}d^fbpdYdg|d\7f0<=50?3g?42=G\^[YY4xr^fbpdYdg|d\7f0<=50?37?43=AGZ^X7OKDSC?51<768>0=84FNQWW>DBCZK6:87>11:07?765:880??4FNQWW>AOF4:;1<3?=;209MKVR\3NBN1=>:1<26>552@D[YY4KIO>05?699;18>7GAPTV9`lh;;80;2<=4338LQQVR\3NDM1=>:1<27>552F__\XZ5DN@?74<768>0??4@UURVP?BH]]68=7>115906?IR\Y__6iazt=12>58692>1EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1<NFY__6ZKM<283:44<<3E^X][[:EMSE95=87;9794@UURVP?BHXK686=0;;5D7Gc=3~er?<kh>15:.554<=0;04?57059;456331>9=95601;1?D0?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?;8EABUI5;82o5NDEPB842=8730MIJ]A=37:==FLMXJ0<07;@FGVD:5611JHI\N<2<;?DBCZH6?255NDEPB808?3HNO^L29>99B@ATF4>437LJKR@>;:==FLMXJ0407;@FGVG:7601JHI\M<02==>GCL[H7=<06;@FGVG:6:730MIJ]B=30:g=FLMXI0<:50?;8EABUJ5;?255NDEPA848?3HNO^O2=>99B@ATE4:437LJKRC>7:==FLMXI0807;@FGVG:1611JHI\M<6<;?DBCZK63255NDEPA8<8d3HFFC^ZPELRW]g=FDDEXXRHZLM;8EV_IKVXNK;5MABIVTa=EIJA^\RCNRJGQ7>DR:11IY^QFNGM2?F4<K=k0OL]LAEGJJDg<KHYHMIKFNC`8GDUDIMODYYOm;BCPGDBBG\^I>6MJ2:AJ7>EKC=1H@FO;;BNHF6=DD[30OBCBIUVF@2=DZLK_II?4De9GEQGXKF_EX1>1e:FBPDYDG\D_0<>1e:FBPDYDG\D_0<?1e:FBPDYDG\D_0<<1119GEQGXKF_EX1?<:1<f?AGSIVIDYCZ312<g?AGSIVIDYCZ31?f8@DRFWJE^BY2=>e9GEQGXKF_EX1=1d:FBPDYDG\D_090k;ECWEZEH]G^793j4D@VB[FIRF]6=2i5KAUC\GJSI\5=5h6JNT@]@KPHS414o7IO[A^ALQKR;17l0HHLZG^PBIZCJX]Sn7IKMUF]QEHYA]EFm7IKMUF]QEHYULVOEj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l5KOTV?74<7611OCXZ330<4?AIR\595;6J@UU>7:2=CG\^79394DNWW83803ME^X1917:FLQQ:?6>1OCXZ39?08A13<MDZ_U>5JN@18AKD53O8?7K67849EEIUB<2LOOH=4FEG0?CBW<2LO\H:4FTNO1>@fdzo:7J=4GOF1?L653@;97D<=;H1;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW9937D@FTQ]30==NF@^[S=;7;HLJPUY7>11BBDZ__15:?LHN\Z^JXH94IOKW[5603@DBXR>>7:KMMQY7:>1BBDZP0258MKOSW9><7D@FT^263>OIA]U;::5FNHV\421<AGC_S=68;HLJPZ6>?2CEEYQ?A69JJLRX8K=0ECG[_1A4?LHN\V:O;6GAIU]3A2=NF@^T<K94IOKW[4603@DBXR?>7:KMMQY6:>1BBDZP1258MKOSW8><7D@FT^363>OIA]U:::5FNHV\521<AGC_S<68;HLJPZ7>?2CEEYQ>A69JJLRX9K=0ECG[_0A4?LHN\V;O;6GAIU]2A2=NF@^T=K94IOKW[7603@DBXR<>7:KMMQY5:>1BBDZP2258MKOSW;><7D@FT^063>OIA]U9::5FNHV\621<AGC_S?68;HLJPZ4>?2CEEYQ=A69JJLRX:K=0ECG[_3A4?LHN\V8O;6GAIU]1A2=NF@^T>K94IOKW[6603@DBXR=>7:KMMQY4:>1BBDZP3258MKOSW:><7D@FT^163>OIA]U8::5FNHV\721<AGC_S>68;HLJPZ5>?2CEEYQ<A69JJLRX;K=0ECG[_2A4?LHN\V9O;6GAIU]0A2=NF@^T?K84IOKW[D0<AGC_SO?=;HLUAWRXKEATHBOPRDE26>OI^LX_SNBD_EMA[WC@;2CDN?5CI39OK3=KGHNNH:5COFK@EI2<D\^:86BZT348HPR5WE>0@XZ<6:NVP6YK<2F^X9;4MTZ@]0=J]QL>96CZXG4`?Hgmg{\n~~g`nb9Nmkiu^lxxeb`<;O337>H69:1E=?:4N0010>H6:=>0B<<84:L26=5<F89?7C?<159M56433G;8?95A1267?K74==1E=>8;;O3031=I9:2?7C?<929M512<F8>;86@>4068J425<2D:8>:4N0670>H6<<>0B<:94:L2022<F8>386@>4818J4333G;><95A1437?K72:=1E=8=;;O3601=I9<??7C?:659M50133G;>495A14;0?K71<2D::=:4N0420>H6>;>0B<8<4:L2212<F8<>86@>6768J400<2D::5:4N04:7>H6?=1E=:>;;O3451=I9>8?7C?8359M52233G;<995A1647?K70?=1E=:6<;O3;7>H61;1E>>5A2118J7743G89?6@=329M615<F;?87C<93:L136=I:190B?7<;O137>H49:1E??=4N210?K53;2D89>5A3708J04<F?80B:<4N908J<`<FKUIY^^FN^RQKUU03GO_[B\D4:LLJ@7<G;1DG55@VDPEHJQ63Y>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Ho4PSMD[@KW\P;?7]\@G^GNTQ_X\AXN_E2?>078TWI@WLG[XTQ[HSGPL97768?0\_AH_DOSP\YS@[OXD1?>>078TWI@WLG[XTQ[HSGPL97568=0\_AH_DOSP\YS@[OXD1?<:1<21>VUGNUNA]ZV_UJQAVN;9:4:86^]OF]FIUR^W]BYI^F31?37?UTHOVOF\YWPTIPFWM:568>0\_AH_DOSP\YS@[OXD1=1159SVJAXMDZ_URZGRDQK8186<2ZYCJQJMQVZ[QNUMZB793?;;QPLCZCJX]STXE\JSI>5:42<X[ELSHC_TX]WLWCT@5=5=95_RNE\AHVSQV^C^H]G<9<20>VUGNUNA]ZV_UJQAVN;17;i7]\@G^GNTQ_X\AXN_EQNSRGQP9699j1[^BIPELRW]ZROZLYCSL]\ESV?5586k2ZYCJQJMQVZ[QNUMZBTM^]JRU>25;7d3YXDKRKBPU[\PMTB[AUJ_^K]T=31:4c<X[ELSHC_TX]WLWCT@VKX_H\[<0194;7d3YXDKRKBPU[\PMTB[AUJ_^K]T=30:4d<X[ELSHC_TX]WLWCT@VKX_H\[<0<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y2=>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0>0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]6?2<l4PSMD[@KW\PU_D_K\H^CPW@TS4<4:n6^]OF]FIUR^W]BYI^FPARQFVQ:168h0\_AH_DOSP\YS@[OXDRO\SDPW8286j2ZYCJQJMQVZ[QNUMZBTM^]JRU>;:4d<X[ELSHC_TX]WLWCT@VKX_H\[<8<2f>VUGNUNA]ZV_UJQAVNXFLMJXH2?>0a8TWI@WLG[XTQ[HSGPLZHBOH^N0<>11b9SVJAXMDZ_URZGRDQK[KC@I]O7=<0>c:RQKBYBEY^RSYF]ERJ\J@AF\L6:>3?j;QPLCZCJX]STXE\JSI]MABGSM5;86=0>c:RQKBYBEY^RSYF]ERJ\J@AF\L6:?3?m;QPLCZCJX]STXE\JSI]MABGSM5;5=o5_RNE\AHVSQV^C^H]G_OGDEQC;:7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9599k1[^BIPELRW]ZROZLYCSCKHAUG?0;7e3YXDKRKBPU[\PMTB[AUEIJO[E=7=5g=WZFMTI@^[Y^VKV@UOWGOLMYK36?3a?UTHOVOF\YWPTIPFWMYIMNK_I1911c9SVJAXMDZ_URZGRDQK[KC@I]O743?m;QPLCZCJX]STXE\JSI]MABGSM53556^]OF]EQIJ6;2ZYCJQIUMN\PMTB[A6;2<:4PSMD[CSKDV^C^H]G<02=51=WZFMTJXBC_UJQAVN;984:86^]OF]EQIJX\AXN_E2>2?35?UTHOVL^@AQ[HSGPL974294:86^]OF]EQIJX\AXN_E2>3?30?UTHOVL^@AQ[HSGPL9799:1[^BIPFTNO[QNUMZB7>3?<;QPLCZ@RDEU_D_K\H=1=56=WZFMTJXBC_UJQAVN;<7;87]\@G^DVHIYS@[OXD1;1129SVJAXN\FGSYF]ERJ?2;743YXDKRHZLM]WLWCT@5=5=>5_RNE\BPJKW]BYI^F38?30?UTHOVL^@AQ[HSGPL9?99h1[^BIPFTNO[QNUMZBTM^]JRU>3:4d<X[ELSK[CL^VKV@UOWHYXI_Z311<2f>VUGNUMYABPTIPFWMYF[ZOYX1?>>0`8TWI@WO_G@RZGRDQK[DUTM[^7=?0>d:RQKBYA]EFTXE\JSI]BWVCU\5;86=0>b:RQKBYA]EFTXE\JSI]BWVCU\5;82<o4PSMD[CSKDV^C^H]G_@QPAWR;97;j7]\@G^DVHIYS@[OXDRO\SDPW8786i2ZYCJQIUMN\PMTB[AUJ_^K]T=1=5d=WZFMTJXBC_UJQAVNXIZYN^Y2;>0c8TWI@WO_G@RZGRDQK[DUTM[^793?n;QPLCZ@RDEU_D_K\H^CPW@TS4?4:m6^]OF]EQIJX\AXN_EQNSRGQP9199h1[^BIPFTNO[QNUMZBTM^]JRU>;:4g<X[ELSK[CL^VKV@UOWHYXI_Z39?3b?UTHOVL^@AQ[HSGPLZHBOH^N0=0>b:RQKBYA]EFTXE\JSI]MABGSM5;;2<l4PSMD[CSKDV^C^H]G_OGDEQC;984:n6^]OF]EQIJX\AXN_EQAEFCWA97568n0\_AH_GWOHZROZLYCSCKHAUG?56<768h0\_AH_GWOHZROZLYCSCKHAUG?5686i2ZYCJQIUMN\PMTB[AUEIJO[E=3=5d=WZFMTJXBC_UJQAVNXFLMJXH2=>0c8TWI@WO_G@RZGRDQK[KC@I]O7?3?n;QPLCZ@RDEU_D_K\H^LFCDRB4=4:m6^]OF]EQIJX\AXN_EQAEFCWA9399h1[^BIPFTNO[QNUMZBTBHINTD>5:4g<X[ELSK[CL^VKV@UOWGOLMYK37?3b?UTHOVL^@AQ[HSGPLZHBOH^N050>a:RQKBYA]EFTXE\JSI]MABGSM535=6_9;SCNF40a3[KFSHV[EOQJKKYFn2XJARKWTDLPMJHXJ?1YHRMCK028VAYCI]KTOB[AT=2=54=ULVNJXLQLOTLW8469981YHRJNT@]@KPHS48;5=<5]D^FBPDYDG\D_0<<1129Q@ZBF\HUHCX@[<0194;763[NTHLZN_BMVJQ:6;7;;7_JPD@VB[FIRF]6:2<>4RE]GEQGXKF_EX1<1119Q@ZBF\HUHCX@[<2<24>TCWMK_MRM@UOV?0;773[NTHLZN_BMVJQ:268:0^IQKAUC\GJSI\5<5==5]D^FBPDYDG\D_0:0>0:PG[AGSIVIDYCZ38?33?WBXLH^JSNAZNU>::0=ULVOE:6\K_SQWg>TBIMU\EIZG_@a8V@GCW^COXEQM6:PFCFCF>2XNKNKM3:PPP4=T02YJZHJNT@30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ4:QQWQ1<[[\J@RO8;RPUEIYE<2YX^L:4SRPA0>R^XLi0Y=!heo]pw+ut12_EHH\ILNUb?PUBZVKGEL]l;TQFVZPN[@HGI85YAMKG7>PDK01]EHYPTXRF2>QBI5:5:6YJA=3=2>QBI58546YJA=194;0<_LK7?384WD@?4;0<_LH7=384WD@?6;><_LH7?7>16:UFF959j2]YEYKPFHPPPf=PZ@^NS@AKE^C`?RTN\LUFCIKPBe9TVLRBW^COXEQNd:UQMQCX_@N_DRL9;VP\EFM13^XTOAE>0:UQ[AGSIVIDYCZ30?32?RTXLH^JSNAZNU>24;763^XTHLZN_BMVJQ:697;:7Z\PD@VB[FIRF]6:>3?<;VP\@DRFWJE^BY2>3;2=54=PZVNJXLQLOTLW8459991\^RJNT@]@KPHS484:<6Y]_ECWEZEH]G^7>3??;VP\@DRFWJE^BY2<>028SWYCI]KTOB[AT=6=55=PZVNJXLQLOTLW808682]YSIO[A^ALQKR;>7;;7Z\PD@VB[FIRF]6<2<>4WS]GEQGXKF_EX161119TVZBF\HUHCX@[<8<6?RTXMG<0[_Q]SU3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@119[[FIUMVCEJBQCIRV5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i\7f}fooa8eikh{}Una}zvb:coijusWo\7fg`;5mabivta=eija~|Rcnrjgq7>ekc?:0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f:<5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz>639`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov2434<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs98<97n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex<<92:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu3024=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr5>81hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~8:<5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz;609`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov624=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr1>81hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~<:<5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz7609`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov:23=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2;74covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;Yu|?=0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw311<5e>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2R|{669`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:697<j7n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<03=[wr1?2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?=>7c8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4T~y89;blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848112iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?1_sv52>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585:45lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>1:Zts>?1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>7;8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7Uyx;84covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?0;0>3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir090Pru45?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4=56matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=7=[wr1>2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181689`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:16Vx\7f:;5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:3?<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;3Q}t748gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;07<27n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<\vq013jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir04099:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9?9W{~=;6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>3:3><kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<>1699`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;984=46matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>26;0?3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?<>7`8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4895S\7fz97:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:66?=0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<3<53>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{682;94covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8181?2iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2:>758gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4?4=;6matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>4:31<kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey05097:ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:>6<i0oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz SSQW[lhq=k1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[53e3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]21f=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_026g>ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP107`?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>24a8gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?<5c9`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?;m;blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U89o5lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW=?i7n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY2=k1hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[33e3jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]41g=df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_97a?fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ6679`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|?=0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu35<>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f==87;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr69?20oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu312==df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex<=97:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs:?=0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu153>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f8;94covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq31?2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{6758gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}==;6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw<31<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by79c:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7<38i;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2R|{6e9`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1719`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1_sv5`>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<44>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<\vq0c3jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;173jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;Yu|?i0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979>o1hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az848Xz}<h7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56?l0oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}949W{~=o6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7<m7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:46Vx\7f:n5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4=j6matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx;m4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5:k5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4<4T~y8l;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2;h4covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5<5S\7fz9c:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;38i;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6<2R|{6b9`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0509f:ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t7a8gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1716g9`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir040Pru4g?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|30?4f?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|311<5a>ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<03=2`=df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=31:3c<kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>27;163jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?568Xz}<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;97<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;:7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;<7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;>7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;?7<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;07<o7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;17<:7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS=8>;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_041?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[461:2iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V;::?5lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>2708gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\56063jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW;<:7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS>8>;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_542?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[0063jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW?<:7n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS:8>;blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_942?fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[<073jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|?;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f=;<4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{1141?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp471:2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf};9:?5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|Vidycz>3738gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw637<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs;?;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f8;?4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{5738gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw237<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs??;0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f4;?4covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{9748gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;87<27n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<1<\vq003jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>16`9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:687Uyx;94covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5481i2iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>^pw22=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:>38n;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8449W{~=:6matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=2<=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2R|{679`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56?30oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw32?]qp30<kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?386;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}<=7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<5=>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5>5S\7fz96:ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939>01hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>^pw23=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2;74covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?2;Yu|?<0oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?4:?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y89;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8112iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu161_sv52>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535:45lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::Zts>>1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|30?4;?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;;2;64covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479>11hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<5<>ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?38m;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9746Vx\7f::5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5;003jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1<1669`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;;7<<7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=6=22=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx79388;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909>>1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|37?44?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz525::5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?=;3e3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]31g=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_07`?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>04a8gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?>5b9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<<:c:ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=>;m;blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U99o5lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:?i7n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3=k1hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[03e3jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]51g=df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_67a?fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ75c9`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS489;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkr1?2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{17:8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf};;:55lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmp47102iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{134;?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:?;94covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq41?2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{3758gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}>=;6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw131<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by897:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs??=0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu:53>ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f5;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5:5:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~494T~y8k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<39?;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<3Q}t7f8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>628gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>^pw2a=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=35=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=[wr1k2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5;0a3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:Zts>j1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8781n2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;Yu|?i0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959>o1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az868Xz}<h7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36?l0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929W{~=o6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;=7<m7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26Vx\7f:n5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4?4=j6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;>7Uyx;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4T~y8l;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632;h4covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525S\7fz9c:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7538i;blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp622R|{6e9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1>16d9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>7g8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>1?4f?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<5a>ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<01=34=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=30:Zts>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv979>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv959>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv939>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv919>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9>9>m1hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9?9>81hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U;:<5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>639`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]2434<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<97n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<<92:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^3024=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY5>81hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:<5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ;609`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]624=df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY1>81hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:<5lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ7609`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:23=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fex;94covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq7102iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{114;?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~:=;64covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq75>11hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at0153>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7f>;94covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjq51?2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{4758gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}?=;6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw231<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~by997:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphs0?=0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu;5g>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw30?4e?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2?>^pw2a=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=35=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<02=[wr1l2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?548082iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?548Xz}<o7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7=;7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:6:7Uyx;m4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5:k5lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8l;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692;h4covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq585S\7fz9c:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?38i;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp682R|{6b9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0909f:ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs783Q}t7a8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;16g9`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru4`?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>7d8gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu181_sv5g>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?4e?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot28>^pw2f=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<5b>ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw38?]qp3e<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=2c=df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<\vq0c3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?4;0b3jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5581m2iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479>l1hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9756?o0oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6;7=:7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9:4T~y8k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7>38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7?38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7838k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7938k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7:38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7;38k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7438k;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7538>;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_142?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[4053jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8:=>6matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?>639`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]2634<kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9:<:7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?8>;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_242?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[1063jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW<<:7n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;8>;blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_642?fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=063jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW0n0hlzn_bmvjq.7!m1omyoPcnwmp-7.m2njxlQlotlw,46.m2njxlQlotlw,47.m2njxlQlotlw,44.m2njxlQlotlw,45.l2njxlQlotlw,7/c3mk\7fmRm`uov+7,b<lh~jSnaznu*7-a=ci}kTob{at)7*`>bf|hUhcx`{(7+g?agsiVidycz'7(f8`drfWje~by&7)e9geqgXkf\7fex%7&d:fbpdYdg|d\7f0=0j;ecweZeh}g~7==0j;ecweZeh}g~7=<0j;ecweZeh}g~7=?0>0:fbpdYdg|d\7f0<=50?g8`drfWje~by2>3?f8`drfWje~by2>>e9geqgXkf\7fex1<1d:fbpdYdg|d\7f0>0k;ecweZeh}g~783j4d`vb[firf}6>2i5kauc\gjsi|5<5h6jnt`]`kphs4>4o7io{a^alqkr;07n0hlzn_bmvjq:>6>1ondzjrs3;?ace}nUyhR~ats]shpu6W{6;2<74dd`vcZtcWyd\7f~R~cur3\v9776830hhlzg^pg[uhszVzgy~?Pr=32:4?<llh~kR|k_qlwvZvk}z;T~1?=>0`8``droVxoS}`{r^roqv7Xz5;86=0>9:fffpaXzmU{by|Ppmwp5Zt;9:4:46jjbte\vaYwf}xT|a{|1^p?5;7?3moiyjQ}d^rmpwYwd|y:S\7f2=>0:8``droVxoS}`{r^roqv7Xz595=55kecwd[wbXxg~yS}bzs0]q818602nnnxiPre]sjqtXxe\7fx=R|35?3;?ace}nUyhR~ats]shpu6W{6=2<64dd`vcZtcWyd\7f~R~cur3\v919911oio{h_sf\tkruWyf~\7f<Q}<9<2<>bbj|mT~iQ\7fnup\tist9Vx753?=;egaqbYulVzex\7fQ}<1<27>bbj|mT~iQ\7fnup\v9776890hhlzg^pg[uhszVx7=<0>3:fffpaXzmU{by|Pr=31:43<llh~kR|k_qlwvZt;9:0;2<=4dd`vcZtcWyd\7f~R|312<26>bbj|mT~iQ\7fnup\v9799;1oio{h_sf\tkruW{692<<4dd`vcZtcWyd\7f~R|33?31?ace}nUyhR~ats]q8186:2nnnxiPre]sjqtXz5?5=?5kecwd[wbXxg~yS\7f29>008``droVxoS}`{r^p?3;753moiyjQ}d^rmpwYu414:>6jjbte\vaYwf}xT~171139gags`W{nT|cz}_v>3:45<llh~kR|k_qlwvZq;994:?6jjbte\vaYwf}xT{1?>>018``droVxoS}`{r^u?5786=2nnnxiPre]sjqtX\7f5;86=0>3:fffpaXzmU{by|Pw=30:44<llh~kR|k_qlwvZq;97;97ikmuf]q`Zvi|{U|0?0>2:fffpaXzmU{by|Pw=1=57=cmk\7flS\7fjPpovq[r:36880hhlzg^pg[uhszV}793?=;egaqbYulVzex\7fQx<7<26>bbj|mT~iQ\7fnup\s9199;1oio{h_sf\tkruW~632<<4dd`vcZtcWyd\7f~Ry39?3;?ace}nU|~R~ats]shpu6W~6;2<74dd`vcZquWyd\7f~R~cur3\s9776830hhlzg^uq[uhszVzgy~?Pw=32:4?<llh~kRy}_qlwvZvk}z;T{1?=>0`8``droV}yS}`{r^roqv7X\7f5;86=0>9:fffpaX\7f{U{by|Ppmwp5Zq;9:4:46jjbte\swYwf}xT|a{|1^u?5;7?3moiyjQxr^rmpwYwd|y:Sz2=>0:8``droV}yS}`{r^roqv7X\7f595=55kecwd[rtXxg~yS}bzs0]t818602nnnxiPws]sjqtXxe\7fx=Ry35?3;?ace}nU|~R~ats]shpu6W~6=2<64dd`vcZquWyd\7f~R~cur3\s919911oio{h_vp\tkruWyf~\7f<Qx<9<2<>bbj|mT{\7fQ\7fnup\tist9V}753?7;egaqbYpzVzex\7fQ\7fltq1[r:76830hhlzg^uq[uhszVzgy~<Pw=33:4?<llh~kRy}_qlwvZvk}z8T{1?>>0;8``droV}yS}`{r^roqv4X\7f5;92<l4dd`vcZquWyd\7f~R~cur0\s974294:56jjbte\swYwf}xT|a{|2^u?568602nnnxiPws]sjqtXxe\7fx>Ry31?3;?ace}nU|~R~ats]shpu5W~692<64dd`vcZquWyd\7f~R~cur0\s959911oio{h_vp\tkruWyf~\7f?Qx<5<2<>bbj|mT{\7fQ\7fnup\tist:V}793?7;egaqbYpzVzex\7fQ\7fltq1[r:16820hhlzg^uq[uhszVzgy~<Pw=5=5==cmk\7flSz|Ppovq[ujr{;U|050>8:fffpaX\7f{U{by|Ppmwp6Zq;17;97ikmuf]tvZvi|{Uy0=0>3:fffpaX\7f{U{by|Pr=33:45<llh~kRy}_qlwvZt;984:?6jjbte\swYwf}xT~1?=>078``droV}yS}`{r^p?56<76890hhlzg^uq[uhszVx7=>0>2:fffpaX\7f{U{by|Pr=3=57=cmk\7flSz|Ppovq[w:56880hhlzg^uq[uhszVx7?3?=;egaqbYpzVzex\7fQ}<5<26>bbj|mT{\7fQ\7fnup\v9399;1oio{h_vp\tkruW{6=2<<4dd`vcZquWyd\7f~R|37?31?ace}nU|~R~ats]q8=86:2nnnxiPws]sjqtXz535=?5kecwd[rtXxg~ySz2?>018``droV}yS}`{r^u?5586;2nnnxiPws]sjqtX\7f5;:2<=4dd`vcZquWyd\7f~Ry313<21>bbj|mT{\7fQ\7fnup\s974294:?6jjbte\swYwf}xT{1?<>008``droV}yS}`{r^u?5;753moiyjQxr^rmpwYp4;4:>6jjbte\swYwf}xT{1=1139gags`W~xT|cz}_v>7:44<llh~kRy}_qlwvZq;=7;97ikmuf]tvZvi|{U|0;0>2:fffpaX\7f{U{by|Pw=5=57=cmk\7flSz|Ppovq[r:?6880hhlzg^uq[uhszV}75384dhl+4,0<l`d#=$94dhl+55/03mce$<?&7:fjj-75!>1oec&>3(58`lh/9=#<7iga(07*3>bnf!;=%:5kio*23,1<l`d#=5'8;ekm,4?.>2nbb%<&7:fjj-47!>1oec&=1(58`lh/:;#<7iga(31*3>bnf!8?%:5kio*11,1<l`d#>;'8;ekm,71.?2nbb%<7)69gmk.51 <0hd`'3(58`lh/;9#<7iga(23*2>bnf!>":6jfn)7*2>bnf!<":6jfn)5*2>bnf!2":6jfn);*2>bnf5:5;6jfn=33:2=cag6:=394dhl?57803mce0<=17:fjj9736>1oec2>5?58`lh;9?4<7iga<05=3>bnf5;32:5kio>2=;0<l`d7=394dhl?65803mce0??17:fjj9456>1oec2=3?58`lh;:=4<7iga<37=3>bnf58=2:5kio>13;1<l`d7>508;ekm87?9>2nbb1<17:fjj957601oec2<1;2=3>bnf59:2;5kio>0:3=cag6?2;5kio>6:3=cag6=2;5kio>4:3=cag632;5kio>::2=cg|~#<$94dnww,4/?3me~x%??)99gkpr/98#37iazt)31-==cg|~#=>'7;emvp-73!11ocxz'14+;?air|!;=%55kotv+52/?3me~x%?7)99gkpr/90#<7iazt)0*<>bh}}"9<$64dnww,77.02ndyy&=2(:8`jss ;9"46j`uu*10,><lf\7f\7f$?;&8:flqq.5> 20hb{{(35*<>bh}}"94$64dnww,7?.?2ndyy&<)99gkpr/;9#37iazt)12-2=cg|~#8$94dnww,0/03me~x%8&7:flqq.0!>1ocxz'8(58`jss 0#<7iazt=2=<>bh}}6:<364dnww847902ndyy2>2?:8`jss489546j`uu>20;><lf\7f\7f0<;18:flqq:6>720hb{{<05=<>bh}}6:4364dnww84?9?2ndyy2>>99gkpr;:9437iazt=02:==cg|~7>?07;emvp944611ocxz325<;?air|58>255kotv?638?3me~x1<8>99gkpr;:1437iazt=0::2=cg|~7>364dnww8669i2ndyy2<1;2=<>bh}}68=394dnww86803me~x1:17:flqq:26>1ocxz36?58`jss4>4<7iazt=:=3>bh}}62255jdhd1jbb23lg{xt<7;gkekZabflxjxb|Pv2]0(%Ehzlmnb-7!1/20mZow;8<0jdh`_fgmawgsg{U}?R=Psr3b?cjedb%Ftb|Pdblw[`hXZly~`y?k;gnahn)ckg~Tic?n;gnahn)`lj$oo"Clotlw[firf};:n6hcbmi,cae)lj%Fob{at^alqkr688h0jalck.egg+bd'DidyczPcnwmp476j2lgnae gea-`f)Jkf\7fexRm`uov264d<nehgg"ikc/f`+Heh}g~Tob{at012f>`kjea$kim!db-Ngjsi|Vidycz>40c8bidkc&moo#jl/LalqkrXkf\7fex??n;gnahn)`lj$oo"Clotlw[firf}9:m6hcbmi,cae)lj%Fob{at^alqkr39h1m`obd/ff`*ae(Eje~byQlotlw14g<nehgg"ikc/f`+Heh}g~Tob{at73b?cjedb%lhn kc.O`kphsWje~by9>a:dofim(omi%hn!BcnwmpZeh}g~3=l5ilcnh+bbd&mi$Anaznu]`kphs18l0jalck.egg+bd'DidyczPcnwmpZe~4949<6hcbmi,cae)lj%Fob{at^alqkrXkp6:<3<?;gnahn)`lj$oo"Clotlw[firf}Uhu1?>>328bidkc&moo#jl/LalqkrXkf\7fexRmv<00=5c=adkf`#jjl.ea,Ifirf}Uhcx`{_b{?5;7a3ofi`f!hdb,gg*Kdg|d\7fSnaznu]`}9499o1m`obd/ff`*ae(Eje~byQlotlw[f\7f;;7;m7kbmlj-d`f(ck&Ghcx`{_bmvjqYdq5>5=k5ilcnh+bbd&mi$Anaznu]`kphsWjs793?i;gnahn)`lj$oo"Clotlw[firf}Uhu1811g9ehgjl'nnh"im MbmvjqYdg|d\7fSnw37?3e?cjedb%lhn kc.O`kphsWje~byQly=:=5c=adkf`#jjl.ea,Ifirf}Uhcx`{_b{?=;473ofi`f!hdb,gg*Kdg|d\7fSnaznu]oqq:76;;0jalck.egg+bd'DidyczPcnwmpZjr|5;;2??4fm`oo*ack'nh#@m`uov\gjsi|Vf~x1?>>338bidkc&moo#jl/LalqkrXkf\7fexRbzt=31:77<nehgg"ikc/f`+Heh}g~Tob{at^nvp9746;:0jalck.egg+bd'DidyczPcnwmpZjr|5;5>=5ilcnh+bbd&mi$Anaznu]`kphsWe\7f\7f0?0=0:dofim(omi%hn!BcnwmpZeh}g~T`xz33?03?cjedb%lhn kc.O`kphsWje~byQcuu>7:76<nehgg"ikc/f`+Heh}g~Tob{at^nvp939:91m`obd/ff`*ae(Eje~byQlotlw[iss4?49<6hcbmi,cae)lj%Fob{at^alqkrXd|~7;3<?;gnahn)`lj$oo"Clotlw[firf}Ugyy27>328bidkc&moo#jl/LalqkrXkf\7fexRbzt=;=65=adkf`#jjl.ea,Ifirf}Uhcx`{_ymq858592lgnae gea-`f)Jkf\7fexRm`uov\|jt;9949=6hcbmi,cae)lj%Fob{at^alqkrXpfx7=<0=1:dofim(omi%hn!BcnwmpZeh}g~Ttb|313<15>`kjea$kim!db-Ngjsi|VidyczPxnp?568582lgnae gea-`f)Jkf\7fexRm`uov\|jt;978;7kbmlj-d`f(ck&Ghcx`{_bmvjqY\7fg{692?>4fm`oo*ack'nh#@m`uov\gjsi|Vrd~1=1219ehgjl'nnh"im MbmvjqYdg|d\7fSua}<5<14>`kjea$kim!db-Ngjsi|VidyczPxnp?1;473ofi`f!hdb,gg*Kdg|d\7fSnaznu]{kw:16;:0jalck.egg+bd'DidyczPcnwmpZ~hz5=5>=5ilcnh+bbd&mi$Anaznu]`kphsWqey050=0:dofim(omi%hn!BcnwmpZeh}g~Ttb|39?33?cjedb%lhn kc.L2446<nehgg"ikc/f`+K76991m`obd/ff`*ae(F88:<6hcbmi,cae)lj%E=>??;gnahn)`lj$oo"@>4028bidkc&moo#jl/O36b>`kjea$kim!db-M6c=adkf`#jjl.ea,J6`<nehgg"ikc/f`+K2a3ofi`f!hdb,gg*H2n2lgnae gea-`f)I>o1m`obd/ff`*ae(F>l0jalck.egg+bd'G2m7kbmlj-d`f(ck&D2=95ilcnh+bbd&mi$ob{at^220>`kjea$kim!db-`kphsW8;>7kbmlj-d`f(ck&idyczP1136?cjedb%lhn kc.alqkrX98;>7kbmlj-d`f(ck&idyczP1336?cjedb%lhn kc.alqkrX9:;?7kbmlj-d`f(ck&idyczP2068bidkc&moo#jl/bmvjqY49=1m`obd/ff`*ae(kf\7fexR:>4:dofim(omi%hn!lotlw[0733ofi`f!hdb,gg*eh}g~T:<:4fm`oo*ack'nh#naznu]451=adkf`#jjl.ea,gjsi|V2:86hcbmi,cae)lj%hcx`{_831?cjedb%ym`Q}d^gm[l76:2lgnae r`o\swYbfVc:>>5ilcnh+qnuefn$mhb`gha,g1)di{xrbhz30?00?cjedb%\7fd\7fc`d.cfhjank&i?#no}rxlfp979::1m`obd/ujqijb(ilfdkdm c5-`ewt~fl~7>3<<;gnahn)s`{gdh"ojlnejg*e3'jky~t`jt=1=66=adkf`#yf}mnf,e`jho`i$o9!laspzj`r;<7887kbmlj-wlwkhl&kn`bifc.a7+fguzpdnx1;1359ehgjl'}byabj adnlcle(k=%n}no|e/`jc+kkxR:V"k}{.ldjvvr492lgnae tipnka)fmeelen!l4.grgdub&kcl"`b\7f[0_-ilb)ejs8=6hcbmi,pmtjgm%jiaahib-`0*cvkhyn"ogh.lns_7[)e`n%anw<1:dofim(|axfci!nemmdmf)d<&ozol}j.ckd*hjwS:W%adj!mb{05>`kjea$xe|boe-baii`aj%h8"k~c`qf*go`&df{W9S!mhf-if\7f492lgnae tipnka)fmeelen!l4.grgdub&kcl"`b\7f[4_-ilb)ejs8=6hcbmi,pmtjgm%jiaahib-`0*cvkhyn"ogh.lns_3[)e`n%anw>d:dofim(|axfci!nemmdmf)d<&|:0=0>d:dofim(|axfci!nemmdmf)d<&|:0<0>d:dofim(|axfci!nemmdmf)d<&|:0?0>d:dofim(|axfci!nemmdmf)d<&|:0>0>d:dofim(|axfci!nemmdmf)d<&|:090>d:dofim(|axfci!nemmdmf)d<&|:080>d:dofim(|axfci!nemmdmf)d<&|:0;0=5:dofim(|axfci!nemmdmf)d<&|:S=Qnne234575=2lgnae tipnka)fmeelen!l4.t2[4Yffm:;<=?=5:dofim(|axfci!nemmdmf)d<&|:S?Qnne234575=2lgnae tipnka)fmeelen!l4.t2[6Yffm:;<=?=5:dofim(|axfci!nemmdmf)d<&|:S9Qnne234575=2lgnae tipnka)fmeelen!l4.t2[0Yffm:;<=?=5:dofim(|axfci!nemmdmf)d<&|:S;Qnne234575;2lgnae tipnka)fmeelen!l5.abvw\7fim}6;2?=4fm`oo*rozdeo#lkcofk`+f3(khxyuck{<0<17>`kjea$xe|boe-baii`aj%h9"mnrs{maq:56;90jalck.vkvhic'hogcjgl/b7,gdtuqgo\7f0>0=3:dofim(|axfci!nemmdmf)d=&ij~\7fwaeu>7:75<nehgg"zgrlmg+dckgnch#n; c`pq}kcs4<4886hcbmi,pmtjgm%jiaahib-`1*cvkhyn"ogh.lns_5[)nz~%akg}su12?cjedb%\7fd\7fc`d.cfhjank&i>#h\7flarg-fla)eezP=P bie,ng|563ofi`f!{hsol`*gbdfmbo"m:/ds`evc)j`m%aa~T2\,nma(jkp9:7kbmlj-wlwkhl&kn`bifc.a6+`wdizo%ndi!mmrX7X(jam$fot=>;gnahn)s`{gdh"ojlnejg*e2'l{hm~k!bhe-iiv\<T$fei bcx12?cjedb%\7fd\7fc`d.cfhjank&i>#h\7flarg-fla)eezP9P bie,ng|563ofi`f!{hsol`*gbdfmbo"m:/ds`evc)j`m%aa~T6\,nma(jkp;o7kbmlj-wlwkhl&kn`bifc.a6+s7;87;o7kbmlj-wlwkhl&kn`bifc.a6+s7;97;o7kbmlj-wlwkhl&kn`bifc.a6+s7;:7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;;7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;<7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;=7;o7kbmlj-wlwkhl&kn`bifc.a6+s7;>78>7kbmlj-wlwkhl&kn`bifc.a6+s7X8Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P1^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X:Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P3^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X<Vkeh=>?0006?cjedb%\7fd\7fc`d.cfhjank&i>#{?P5^cm`567888>7kbmlj-wlwkhl&kn`bifc.a6+s7X>Vkeh=>?0000?cjedb%\7fd\7fc`d.cehjank&i?#no}rxlfp969::1m`obd/ujqijb(iofdkdm c5-`ewt~fl~7=3<<;gnahn)s`{gdh"oilnejg*e3'jky~t`jt=0=66=adkf`#yf}mnf,ecjho`i$o9!laspzj`r;;7887kbmlj-wlwkhl&km`bifc.a7+fguzpdnx1:1229ehgjl'}byabj agnlcle(k=%hm\7f|vndv?1;533ofi`f!{hsol`*gadfmbo"m;/ds`evc)j`m%aa~T0\,ewq(jn`xxx>?4fm`oo*rozdeo#lhcofk`+f2(mxij\7fh mif,nhu]6U'gbh#cly238bidkc&~c~`ak/`dokbod'j>$i|mnsd,amb(jdyQ9Q#cfd/o`}67<nehgg"zgrlmg+d`kgnch#n: epabw`(ean$f`}U<]/oj`+kdq:;0jalck.vkvhic'hlgcjgl/b6,atef{l$iej blqY7Y+knl'ghu>?4fm`oo*rozdeo#lhcofk`+f2(mxij\7fh mif,nhu]2U'gbh#cly238bidkc&~c~`ak/`dokbod'j>$i|mnsd,amb(jdyQ=Q#cfd/o`}4b<nehgg"zgrlmg+d`kgnch#n: v0>3:4b<nehgg"zgrlmg+d`kgnch#n: v0>2:4b<nehgg"zgrlmg+d`kgnch#n: v0>1:4b<nehgg"zgrlmg+d`kgnch#n: v0>0:4b<nehgg"zgrlmg+d`kgnch#n: v0>7:4b<nehgg"zgrlmg+d`kgnch#n: v0>6:4b<nehgg"zgrlmg+d`kgnch#n: v0>5:73<nehgg"zgrlmg+d`kgnch#n: v0]3[dhc89:;=?;4fm`oo*rozdeo#lhcofk`+f2(~8U:Sl`k0123573<nehgg"zgrlmg+d`kgnch#n: v0]1[dhc89:;=?;4fm`oo*rozdeo#lhcofk`+f2(~8U8Sl`k0123573<nehgg"zgrlmg+d`kgnch#n: v0]7[dhc89:;=?;4fm`oo*rozdeo#lhcofk`+f2(~8U>Sl`k0123573<nehgg"zgrlmg+d`kgnch#n: v0]5[dhc89:;=?=4fm`oo*rozdeo#lhcofk`+f3(khxyuck{<1<17>`kjea$xe|boe-bbii`aj%h9"mnrs{maq:66;90jalck.vkvhic'hlgcjgl/b7,gdtuqgo\7f0?0=3:dofim(|axfci!nfmmdmf)d=&ij~\7fwaeu>0:75<nehgg"zgrlmg+d`kgnch#n; c`pq}kcs4=49?6hcbmi,pmtjgm%jjaahib-`1*efz{seiy2:>268bidkc&~c~`ak/`dokbod'j?$i|mnsd,amb(jdyQ;Q#h|t/oemwus;81m`obd/ujqijb(iofdkdm c4-fufgtm'hbk#ccpZ3^*hoc&dir?<5ilcnh+qnuefn$mkb`gha,g0)byjkxi#lfg/oot^4Z&dco"`mv309ehgjl'}byabj agnlcle(k<%n}no|e/`jc+kkxR9V"`gk.laz74=adkf`#yf}mnf,ecjho`i$o8!jqbcpa+dno'gg|V:R.lkg*he~;81m`obd/ujqijb(iofdkdm c4-fufgtm'hbk#ccpZ7^*hoc&dir?<5ilcnh+qnuefn$mkb`gha,g0)byjkxi#lfg/oot^0Z&dco"`mv1e9ehgjl'}byabj agnlcle(k<%}=1>11e9ehgjl'}byabj agnlcle(k<%}=1?11e9ehgjl'}byabj agnlcle(k<%}=1<11e9ehgjl'}byabj agnlcle(k<%}=1=11e9ehgjl'}byabj agnlcle(k<%}=1:11e9ehgjl'}byabj agnlcle(k<%}=1;11e9ehgjl'}byabj agnlcle(k<%}=181249ehgjl'}byabj agnlcle(k<%}=R>Paof34566:<1m`obd/ujqijb(iofdkdm c4-u5Z7Xign;<=>>249ehgjl'}byabj agnlcle(k<%}=R<Paof34566:<1m`obd/ujqijb(iofdkdm c4-u5Z5Xign;<=>>249ehgjl'}byabj agnlcle(k<%}=R:Paof34566:<1m`obd/ujqijb(iofdkdm c4-u5Z3Xign;<=>>249ehgjl'}byabj agnlcle(k<%}=R8Paof34566:91m`obd/ujqijb(meelen!JMQVZ[KIIZLM9>6hcbmi,pmtjgm%n`bifc.GNTQ_XFFDYIJQf2`9ehgjl'}byabj emmdmf)BEY^RSCAARDE\mZUP8VY\<<h4fm`oo*rozdeo#hb`gha,VDKXMDZ_URg=2:dofim(|axfci!jlnejg*e3'jky~t`jt=2=67=adkf`#yf}mnf,aii`aj%h8"mnrs{maq:66;80jalck.vkvhic'lfdkdm c5-`ewt~fl~7>3<=;gnahn)s`{gdh"kcofk`+f2(khxyuck{<2<16>`kjea$xe|boe-fhjank&i?#no}rxlfp929:;1m`obd/ujqijb(meelen!l4.abvw\7fim}6>2>=4fm`oo*rozdeo#hb`gha,g1)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv04>`kjea$xe|boe-fhjank&i?#h\7flarg-fla)eezP=P bie,ng|573ofi`f!{hsol`*ckgnch#n: epabw`(ean$f`}U=]/oj`+kdq::0jalck.vkvhic'lfdkdm c5-fufgtm'hbk#ccpZ1^*hoc&dir?=5ilcnh+qnuefn$iaahib-`0*cvkhyn"ogh.lns_1[)e`n%anw<0:dofim(|axfci!jlnejg*e3'l{hm~k!bhe-iiv\=T$fei bcx13?cjedb%\7fd\7fc`d.gokbod'j>$i|mnsd,amb(jdyQ=Q#cfd/o`}4e<nehgg"zgrlmg+`jho`i$o9!y1=2=5f=adkf`#yf}mnf,aii`aj%h8"x><0<2g>`kjea$xe|boe-fhjank&i?#{?32?3`?cjedb%\7fd\7fc`d.gokbod'j>$z<2<>0a8bidkc&~c~`ak/dnlcle(k=%}=1:11b9ehgjl'}byabj emmdmf)d<&|:080>c:dofim(|axfci!jlnejg*e3'\7f;7:3<;;gnahn)s`{gdh"kcofk`+f2(~8U;Sl`k0123572<nehgg"zgrlmg+`jho`i$o9!y1^3\ekb789::>95ilcnh+qnuefn$iaahib-`0*p6W;Ujbi>?01310>`kjea$xe|boe-fhjank&i?#{?P3^cm`567888?7kbmlj-wlwkhl&ogcjgl/b6,r4Y3Whdo<=>?1368bidkc&~c~`ak/dnlcle(k=%}=R;Paof34566:=1m`obd/ujqijb(meelen!l4.t2[3Yffm:;<=?=2:dofim(|axfci!jlnejg*e2'jky~t`jt=2=67=adkf`#yf}mnf,aii`aj%h9"mnrs{maq:66;80jalck.vkvhic'lfdkdm c4-`ewt~fl~7>3<=;gnahn)s`{gdh"kcofk`+f3(khxyuck{<2<16>`kjea$xe|boe-fhjank&i>#no}rxlfp929:;1m`obd/ujqijb(meelen!l5.abvw\7fim}6>2>=4fm`oo*rozdeo#hb`gha,g0)byjkxi#lfg/oot^6Z&oy\7f"`hfrrv04>`kjea$xe|boe-fhjank&i>#h\7flarg-fla)eezP=P bie,ng|573ofi`f!{hsol`*ckgnch#n; epabw`(ean$f`}U=]/oj`+kdq::0jalck.vkvhic'lfdkdm c4-fufgtm'hbk#ccpZ1^*hoc&dir?=5ilcnh+qnuefn$iaahib-`1*cvkhyn"ogh.lns_1[)e`n%anw<0:dofim(|axfci!jlnejg*e2'l{hm~k!bhe-iiv\=T$fei bcx13?cjedb%\7fd\7fc`d.gokbod'j?$i|mnsd,amb(jdyQ=Q#cfd/o`}4e<nehgg"zgrlmg+`jho`i$o8!y1=2=5f=adkf`#yf}mnf,aii`aj%h9"x><0<2g>`kjea$xe|boe-fhjank&i>#{?32?3`?cjedb%\7fd\7fc`d.gokbod'j?$z<2<>0a8bidkc&~c~`ak/dnlcle(k<%}=1:11b9ehgjl'}byabj emmdmf)d=&|:080>c:dofim(|axfci!jlnejg*e2'\7f;7:3<;;gnahn)s`{gdh"kcofk`+f3(~8U;Sl`k0123572<nehgg"zgrlmg+`jho`i$o8!y1^3\ekb789::>95ilcnh+qnuefn$iaahib-`1*p6W;Ujbi>?01310>`kjea$xe|boe-fhjank&i>#{?P3^cm`567888?7kbmlj-wlwkhl&ogcjgl/b7,r4Y3Whdo<=>?1368bidkc&~c~`ak/dnlcle(k<%}=R;Paof34566:=1m`obd/ujqijb(meelen!l5.t2[3Yffm:;<=?>c:dofim(|axfci!jlnejg*ehey;dyy?l;gnahn)s`{gdh"kcofk`+fijx;e~x<h4fm`oo*rozdeo#kb`gha,BPJKWGEE^HI=3:dofim(|axfci!ilnejg*@RDEUECC\JG^k2174<nehgg"zgrlmg+cjho`i$JXBC_OMMV@AXa;887kbmlj-wlwkhl&lgcjgl/GWOHZHHF[OLSd<;239ehgjl'}byabj fmmdmf)A]EFTBB@]EF]j=70<nehgg"zgrlmg+cjho`i$JXBC_OMMV@AXaVgj|<<9;gnahn)s`{gdh"hcofk`+CSKDVDDB_KH_h]neu35>2lgnae tipnka)adfmbo"HZLM]MKKTBOVcTal~71d9ehgjl'}byabj fmmdmf)UIDUMYABPi378bidkc&~c~`ak/gnlcle(kfg{="mnrs{maq:76;?0jalck.vkvhic'ofdkdm cnos5*efz{seiy2>>378bidkc&~c~`ak/gnlcle(kfg{="mnrs{maq:56;?0jalck.vkvhic'ofdkdm cnos5*efz{seiy2<>378bidkc&~c~`ak/gnlcle(kfg{="mnrs{maq:36;?0jalck.vkvhic'ofdkdm cnos5*efz{seiy2:>248bidkc&~c~`ak/gnlcle(kfg{="k~c`qf*go`&df{W=S!frv-icou{}987kbmlj-wlwkhl&lgcjgl/bmnt4)byjkxi#lfg/oot^7Z&dco"`mv329ehgjl'}byabj fmmdmf)dgdz:#h\7flarg-fla)eezP>P bie,ng|543ofi`f!{hsol`*`kgnch#nabp0-fufgtm'hbk#ccpZ1^*hoc&dir?>5ilcnh+qnuefn$jaahib-`khv6'l{hm~k!bhe-iiv\<T$fei bcx10?cjedb%\7fd\7fc`d.dokbod'jef|<!jqbcpa+dno'gg|V;R.lkg*he~;:1m`obd/ujqijb(neelen!lolr2+`wdizo%ndi!mmrX2X(jam$fot?i;gnahn)s`{gdh"hcofk`+fijx8%}=1>11g9ehgjl'}byabj fmmdmf)dgdz:#{?31?3e?cjedb%\7fd\7fc`d.dokbod'jef|<!y1=0=5c=adkf`#yf}mnf,bii`aj%hc`~>/w3?7;7a3ofi`f!{hsol`*`kgnch#nabp0-u59299o1m`obd/ujqijb(neelen!lolr2+s7;=7;m7kbmlj-wlwkhl&lgcjgl/bmnt4)q95<5>:5ilcnh+qnuefn$jaahib-`khv6'\7f;T<Road12344403ofi`f!{hsol`*`kgnch#nabp0-u5Z7Xign;<=>>269ehgjl'}byabj fmmdmf)dgdz:#{?P2^cm`567888<7kbmlj-wlwkhl&lgcjgl/bmnt4)q9V9Tmcj?012262=adkf`#yf}mnf,bii`aj%hc`~>/w3\0Zgil9:;<<<8;gnahn)s`{gdh"hcofk`+fijx8%}=R;Paof34566:>1m`obd/ujqijb(neelen!lolr2+s7X>Vkeh=>?0006?cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;878>7kbmlj-wlwkhl&lgcjgl/bmnt7)di{xrbhz31?06?cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;:78>7kbmlj-wlwkhl&lgcjgl/bmnt7)di{xrbhz33?06?cjedb%\7fd\7fc`d.dokbod'jef|?!laspzj`r;<78>7kbmlj-wlwkhl&lgcjgl/bmnt7)di{xrbhz35?15?cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V>R.gqw*h`nzz~8?6hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]6U'gbh#cly218bidkc&~c~`ak/gnlcle(kfg{>"k~c`qf*go`&df{W?S!mhf-if\7f4;2lgnae tipnka)adfmbo"m`mq0,atef{l$iej blqY0Y+knl'ghu>=4fm`oo*rozdeo#kb`gha,gjkw:&ozol}j.ckd*hjwS=W%adj!mb{07>`kjea$xe|boe-ehjank&ida}< epabw`(ean$f`}U:]/oj`+kdq:90jalck.vkvhic'ofdkdm cnos6*cvkhyn"ogh.lns_3[)e`n%anw>f:dofim(|axfci!ilnejg*ehey8$z<2?>0d8bidkc&~c~`ak/gnlcle(kfg{>"x><0<2b>`kjea$xe|boe-ehjank&ida}< v0>1:4`<nehgg"zgrlmg+cjho`i$obc\7f2.t28686n2lgnae tipnka)adfmbo"m`mq0,r4:368l0jalck.vkvhic'ofdkdm cnos6*p64<4:j6hcbmi,pmtjgm%m`bifc.aliu4(~86=2?94fm`oo*rozdeo#kb`gha,gjkw:&|:S=Qnne234575?2lgnae tipnka)adfmbo"m`mq0,r4Y6Whdo<=>?1358bidkc&~c~`ak/gnlcle(kfg{>"x>_3]bja6789;9;6hcbmi,pmtjgm%m`bifc.aliu4(~8U8Sl`k0123571<nehgg"zgrlmg+cjho`i$obc\7f2.t2[1Yffm:;<=?=7:dofim(|axfci!ilnejg*ehey8$z<Q:_`lg45679;=0jalck.vkvhic'ofdkdm cnos6*p6W?Ujbi>?0132a>`kjea$xe|boe-ehjank&ida}Qiumn25`=adkf`#yf}mnf,bii`aj%hc`~Pftno64e<nehgg"zgrlmg+cjho`i${\7fQ}su]r0>`rde;;7d`mlj-q`Ztt|Vcex<>4io`oo*tcW{y\7fS\7fkh119jjgjl'~xT~~zPiov24>oijea${\7fQ}su]qab463e|g=Rlzfggq+qkw'Vil#axc1^djbj(iof30`{b>_gkek75<d\7ff:Skgio^djbjY`mgoymya}_w1\7Z~t|V;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_1]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_0]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_3]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_2]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_5]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_4]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_7]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUj"ogadda\mkusWh%nbb{{_6]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_1]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_0]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_3]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_2]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_5]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_4]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_7]nq}6789;>h6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,flhcmjUi"ogadda\mkusWk%nbb{{_6]nq}6789;?>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1>1439nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldjSl|}ax>2:14<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;:7>97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjdYfz{kr0>0;2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mgkTm\7f|ny=6=07=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:26=80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmeZguzhs7:3:=;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<6<76>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}969<;1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dla[dtuip6:29<4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw32?61?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nboQnrscz8683:2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eo`\ewtfq5>58?5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2:>508i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oenRo}r`{?2;253dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4>4>86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf775?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V:>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]212=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T==;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z76=>1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P1374?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V;89:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\51303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R?:569nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X9??<7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^3412=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T=5;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z7>=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P2458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W;:>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]1501<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S?<:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y5;<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_3663>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U99894mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[702?2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q=7458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W;2>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]1=00<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S>;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z57=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P4448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W<?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^462>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U<9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\<00<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S4;;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e103<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d655313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9<?:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b075=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;>3448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`29=?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi50762>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<;=9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g72300<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>=5;9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e14?2=2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b047=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=1448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2:;?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi53162>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<8?9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g71100<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>>;;9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1712>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<7579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c351<?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:3448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2;9?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi52361>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<>>96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4761>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<<>96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4561>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<2>96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4;62>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U;9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\501<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S<>:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y69<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_0063>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U:?894mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[422?2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q>5458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W8<>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]2301<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S<6:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y61<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_374?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V8;9:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\64303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R<=569nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X::?<7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^0712=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T>8;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z41=>1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P2674?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V839:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\6<313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R=:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y48<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_575?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V?>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]513=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T;884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[=313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R7:2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6216=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y399?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9?=529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]756343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=;?9>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;1470?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[171=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?=:;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_53;16=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y390?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9<:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^61405<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<;;>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:=3418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0722;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>9;8=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP43:67>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z251<80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8>;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_51316=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3;8?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9::2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6617=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3><80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8:;=;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5:66>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2>==1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;:5:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b072>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8??579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c369<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:1375?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=89>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf43713=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?:9884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d653313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<9:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b07?=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>9478i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:<<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:2175?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;;>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf40113=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?9?884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d661313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9?;:6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b041=?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;=7448i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:1?=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi53;61>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<9>:6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf41313=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?8=8;4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6003<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>98;4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6203<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>;8;4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6<03<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>5884mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[5313dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R?:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y68<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_0363>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U:>894mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[452?2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q>4458i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W8?>;6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]2201<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S<9:7:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y60<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_0;62>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U99:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\65303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R<>569nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X:;?<7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^0012=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T>9;8;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z42=>1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P2774?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V8<9:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\6=303dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R<6579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X;<=0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_2262>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U?9;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\100<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S;;9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z12>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q7579nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X1<90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<>:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^72505<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=88>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;>3418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1422;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?:98=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP50467>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z36?<90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<6:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^72=04<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=;?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8<?529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]664343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<8?9>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:2470?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[041=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>>:;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_40;16=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2:0?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8=:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^70405<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=:;>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<2418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1652;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?888=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP52767>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z34><90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9>9:3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^70<05<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=:3>>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;;529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]605343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>:9>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:4370?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[024=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>8;;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_46416=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2<1?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8:6539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6105<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=<>>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;:5418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1002;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?>;8=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP54:67>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z321<80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9;;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_44316=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2>8?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS88=529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]626353dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<=>>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;7539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6=04<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>8?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;??529nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]557343dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;89>5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ91570?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[372=:1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU==;;<;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_73416=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y191?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?6539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5605<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;:>?6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8=1418i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2752;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<988=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP63567>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z050<90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?7:2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4016=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1;9?87`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;=>539nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5004<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X><?97`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;8:2:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4417=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y10<80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:4::;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M55213dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E==>;6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J466<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C??2548i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H68?>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A10272>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;:8:5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3254213dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=<<;6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J474<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?>4548i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H69<>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A10472>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;<8;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O32<10<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:=4::;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M57213dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=?>;6:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J446<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?=2548i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6::>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A13672>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F88>8;5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O31210<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:>::9;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M57>3>2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<<6449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K74<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?;449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K72<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?9449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K70<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?7449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K7><<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<?449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K46<?1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C<>1578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5:=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=3578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5<=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=5578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H5>=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=7578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H50=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@=9578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H48=<0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@<1066?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;;>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3266?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;=>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3466?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;?>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3666?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I;1>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A3866?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I<9>=7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A40371>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=8?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5171>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=>?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N5771>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F=<?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N4272>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F<;:885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7100=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?8885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7700=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?>885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7500=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?<885bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O7;00=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G?2895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O471>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?:?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7371>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?8?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7171>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?>?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7771>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?<?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7571>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F?2?96cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N7;70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F>>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7166?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?8>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7366?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?:>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7566?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?<>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7766?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?>>>7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A7966?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I?0>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A8578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?8=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@71578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?:=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@73578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?<=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@75578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?>=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@77578i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H?0=?0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@79568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H><<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7?449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?3<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C7:449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K?1<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C78449nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K??<<1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C764e9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1>14e9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1?14e9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{i1<14b9nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+vckWyc{iR>;c:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,w`jXx`znS<:l;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-paiYwayoT>9:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>0=2=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg77484?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<>32?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva55:46=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:<1:1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338083<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8:7:3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>4:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd68525895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?><1<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;97>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=<2=>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`25959<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:090;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b03?1;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986=29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>1=5=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg76414?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<<30?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva57:66=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1<1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc318683<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj88783:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>6:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6:5<5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<6<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf44;07>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=>2?>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27979<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;80?0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b01?7;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6?29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>3=7=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744?4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<=37?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:?6=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:81>1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc378483<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8>7>3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm15>0:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6<5>5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<4<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf42;>7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=928>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`209>9<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;>0=0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?5;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9<6929:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>5=1=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg724=4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<;35?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:16=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:9191459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc368=83<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8<7<3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm17>2:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6>585895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?9<2<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf40;<7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;2:>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`22909<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=0:0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b04?<;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9>6;29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=3=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg704;4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<933?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:36=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:;1;1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc348383<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8=7;3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm16>;:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd605:5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?7<0<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4>;:7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=52<>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2<929<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;3080;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0:?2;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916<29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>8=:=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7>494?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<731?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5<:56=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:51=1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3:8183<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj83793:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm18>5:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd615=5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<9<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;87>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>=2>>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`14949<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8;0>0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b32?0;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:96>29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=0=4=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg474>4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?>38?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva64:76=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=1?1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc028783<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;;7?3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm20>7:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd595?5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<><7<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf77;?7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><27>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`16969<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k890<0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b30?6;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:;6829:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=2=6=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg454<4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?<36?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:06=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9>161459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc008583<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;97=3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm22>1:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5;595895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<<<5<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf75;=7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>29>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`17919<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k88050;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b36?4;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:=6:29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=4=0=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg434:4?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?:34?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:26=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h98181459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078283<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;>743:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm24>3:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5=5;5895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<:<3<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;;7>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82;>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`11939<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0;0;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b37?3;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:<6329:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=6=2=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg41484?86cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?832?67?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva63:46=>0ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9:1:1459nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058083<2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;<7:3:;;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm27>4:12<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5>525895bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<8<1<70>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;97>?7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>:2=>568i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`13959<=1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<090;4:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b35?1;233dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:>6=29:4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=7=5=01=jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg40414??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn92?>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`78483;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=6929=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;<2<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:36=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?080;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>5:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd34>4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn927>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`68583;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<6:29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:<3<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:46=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>090;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>6:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd24?4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn828>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`68=83;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6;29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl9<0<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:56=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=0>0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>7:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd14<4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;29>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`58283;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6329=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl8<1<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:66=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<0?0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>0:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd04=4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:2:>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`48383;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6<29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl8<9<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:76=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h30<0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>1:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?4:4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn52;>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;8083;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16=29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl7<6<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:?6=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h20=0;3:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>2:15<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>4;4??6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn42<>518i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:8183;2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj06>29=4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl6<7<77>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:06=90ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h205091:ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T0\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER56>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX55[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>;1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS8;V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;<4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?=]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ41?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY27X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1:2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\9=W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:?5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<;R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP708i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ35Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U053dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6?T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=>6cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP=5S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_639nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[0;^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT37<elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^7Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?80ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR8;Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8=;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=1\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER56>kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX67[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>;1fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;9V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;<4mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<;]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ41?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY11X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1:2gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:?W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:?5bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W?9R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP708i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ0;Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U063dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]5U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<:7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ8Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8>;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U;]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ42?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY6Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U063dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]1U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<:7`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ<Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8>;lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U7]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ42?hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY:Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U733dofnae tlr\vdkXzmUnb<;4mdoahn)seyUym`Q}d^gm5==iojh~eaj7;ntfvcjh\7fh1{~biPelrw}42<x{elShc\7ftx]wlwct`!:"=95\7frne\ahvsqV~c~h}g(0+21>vugnUna}zv_ujqavn/99#:96~}of]fiur~W}byi~f'10+21>vugnUna}zv_ujqavn/9;#:96~}of]fiur~W}byi~f'12+20>vugnUna}zv_ujqavn/: ;?7}|`g^gntq\7fX|axn\7fe&<)068twi`Wlg{xtQ{hsgpl-2.9=1{~biPelrw}Zrozlyc$8'>4:rqkbYbey~rSyf}erj+2,733yxdkRkbpu{\pmtb{a"<%<:4psmd[`kw|pU\7fd\7fk|h):*51=wzfmTi`~{y^vkv`uo 0#:86~}of]fiur~W}byi~f30?36?uthoVof|ywPtipfwm:687;>7}|`g^gntq\7fX|axn\7fe2>1?36?uthoVof|ywPtipfwm:6:7;<7}|`g^gntq\7fX|axn\7fe2>3;2=50=wzfmTi`~{y^vkv`uo4895=95\7frne\ahvsqV~c~h}g<0<20>vugnUna}zv_ujqavn;:7;?7}|`g^gntq\7fX|axn\7fe2<>068twi`Wlg{xtQ{hsgpl9299=1{~biPelrw}Zrozlyc080>4:rqkbYbey~rSyf}erj?2;733yxdkRkbpu{\pmtb{a6<2<:4psmd[`kw|pU\7fd\7fk|h=:=51=wzfmTi`~{y^vkv`uo404:n6~}of]fiur~W}byi~fParqfvq.7!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,4/6k2zycjQjmqvz[qnumzbTm~}jru*24,7d3yxdkRkbpu{\pmtb{aUj\7f~k}t)32-4e<x{elShc\7ftx]wlwct`Vkx\7fh|{(00*5f=wzfmTi`~{y^vkv`uoWhyxi\7fz'12+2f>vugnUna}zv_ujqavnXizyn~y&=)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$>'>b:rqkbYbey~rSyf}erj\evubz}"?%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts <#:n6~}of]fiur~W}byi~fParqfvq.1!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,2/6j2zycjQjmqvz[qnumzbTm~}jru*;-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(8+2f>vugnUna}zv_ujqavnXizyn~y2?>0a8twi`Wlg{xtQ{hsgplZgt{lx\7f0<>11b9svjaXmdz\7fuRzgrdqk[dutm{~7=<0>c:rqkbYbey~rSyf}erj\evubz}6:>3?j;qplcZcjx}sTxe|jsi]bwvcu|5;86=0>c:rqkbYbey~rSyf}erj\evubz}6:?3?m;qplcZcjx}sTxe|jsi]bwvcu|5;5=o5\7frne\ahvsqV~c~h}g_`qpawr;:7;i7}|`g^gntq\7fX|axn\7feQnsrgqp9599k1{~biPelrw}ZrozlycSl}|esv?0;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=7=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz36?3a?uthoVof|ywPtipfwmYf{zoyx1911c9svjaXmdz\7fuRzgrdqk[dutm{~743?m;qplcZcjx}sTxe|jsi]bwvcu|535=o5\7frne\ahvsqV~c~h}g_ogdeqc/8 ;i7}|`g^gntq\7fX|axn\7feQaefcwa-7.9j1{~biPelrw}ZrozlycSckhaug+55/6k2zycjQjmqvz[qnumzbTbhintd*25,7d3yxdkRkbpu{\pmtb{aUeijo{e)31-4e<x{elShc\7ftx]wlwct`Vdnklzj(01*5g=wzfmTi`~{y^vkv`uoWgolmyk'2(3a?uthoVof|ywPtipfwmYimnk\7fi%=&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#8$?m;qplcZcjx}sTxe|jsi]mabgsm!?"=o5\7frne\ahvsqV~c~h}g_ogdeqc/> ;i7}|`g^gntq\7fX|axn\7feQaefcwa-1.9k1{~biPelrw}ZrozlycSckhaug+<,7e3yxdkRkbpu{\pmtb{aUeijo{e);*5g=wzfmTi`~{y^vkv`uoWgolmyk30?3`?uthoVof|ywPtipfwmYimnk\7fi1??>0a8twi`Wlg{xtQ{hsgplZhboh~n0<?11b9svjaXmdz\7fuRzgrdqk[kc`i}o7=?0>e:rqkbYbey~rSyf}erj\j`af|l6:?7>11b9svjaXmdz\7fuRzgrdqk[kc`i}o7=>0>b:rqkbYbey~rSyf}erj\j`af|l6:2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb4;4:n6~}of]fiur~W}byi~fPndebp`:468h0|\7fah_dosp|Ys`{oxdR`jg`vf8186j2zycjQjmqvz[qnumzbTbhintd>6:4d<x{elShc\7ftx]wlwct`Vdnklzj<7<2f>vugnUna}zv_ujqavnXflmjxh28>0`8twi`Wlg{xtQ{hsgplZhboh~n050>b:rqkbYbey~rSyf}erj\j`af|l62245\7frne\bpjk9:1{~biPftno[qnumzb#<$?<;qplcZ`rdeU\7fd\7fk|h)3*51=wzfmTjxbc_ujqavn/99#:86~}of]eqijX|axn\7fe&>1(37?uthoVl~`aQ{hsgpl-75!8>0|\7fah_gwohZrozlyc$<=&129svjaXn|fgSyf}erj+6,743yxdkRhzlm]wlwct`!9"=>5\7frne\bpjkW}byi~f'4(30?uthoVl~`aQ{hsgpl-3.9:1{~biPftno[qnumzb#:$?<;qplcZ`rdeU\7fd\7fk|h)5*56=wzfmTjxbc_ujqavn/0 ;87}|`g^dvhiYs`{oxd%7&129svjaXn|fgSyf}erj?4;733yxdkRhzlm]wlwct`5;;2<:4psmd[cskdV~c~h}g<03=51=wzfmTjxbc_ujqavn;9;4::6~}of]eqijX|axn\7fe2>3;2=51=wzfmTjxbc_ujqavn;9:4:?6~}of]eqijX|axn\7fe2>>018twi`Wo\7fg`Rzgrdqk8786;2zycjQiumn\pmtb{a682<=4psmd[cskdV~c~h}g<5<27>vugnUmyabPtipfwm:26890|\7fah_gwohZrozlyc0;0>3:rqkbYa}efTxe|jsi>4:45<x{elSk{cl^vkv`uo414:?6~}of]eqijX|axn\7fe26>0c8twi`Wo\7fg`Rzgrdqk[dutm{~#<$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 8#:n6~}of]eqijX|axn\7feQnsrgqp-77!8h0|\7fah_gwohZrozlycSl}|esv+54/6j2zycjQiumn\pmtb{aUj\7f~k}t)31-4d<x{elSk{cl^vkv`uoWhyxi\7fz'12+2e>vugnUmyabPtipfwmYf{zoyx%<&1`9svjaXn|fgSyf}erj\evubz}"8%<o4psmd[cskdV~c~h}g_`qpawr/< ;j7}|`g^dvhiYs`{oxdRo|sdpw,0/6i2zycjQiumn\pmtb{aUj\7f~k}t)4*5d=wzfmTjxbc_ujqavnXizyn~y&8)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#4$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts 0#:m6~}of]eqijX|axn\7feQnsrgqp9699k1{~biPftno[qnumzbTm~}jru>24;7e3yxdkRhzlm]wlwct`Vkx\7fh|{<03=5g=wzfmTjxbc_ujqavnXizyn~y2>2?3g?uthoVl~`aQ{hsgplZgt{lx\7f0<=50?3a?uthoVl~`aQ{hsgplZgt{lx\7f0<=11`9svjaXn|fgSyf}erj\evubz}6:2<o4psmd[cskdV~c~h}g_`qpawr;:7;j7}|`g^dvhiYs`{oxdRo|sdpw8686i2zycjQiumn\pmtb{aUj\7f~k}t=6=5d=wzfmTjxbc_ujqavnXizyn~y2:>0c8twi`Wo\7fg`Rzgrdqk[dutm{~7:3?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4>4:m6~}of]eqijX|axn\7feQnsrgqp9>99h1{~biPftno[qnumzbTm~}jru>::4g<x{elSk{cl^vkv`uoWgolmyk'0(3b?uthoVl~`aQ{hsgplZhboh~n$<'>b:rqkbYa}efTxe|jsi]mabgsm!;;%<l4psmd[cskdV~c~h}g_ogdeqc/98#:n6~}of]eqijX|axn\7feQaefcwa-75!8h0|\7fah_gwohZrozlycSckhaug+56/6i2zycjQiumn\pmtb{aUeijo{e)0*5d=wzfmTjxbc_ujqavnXflmjxh&<)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#8$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb <#:m6~}of]eqijX|axn\7feQaefcwa-0.9h1{~biPftno[qnumzbTbhintd*4-4g<x{elSk{cl^vkv`uoWgolmyk'8(3b?uthoVl~`aQ{hsgplZhboh~n$4'>a:rqkbYa}efTxe|jsi]mabgsm5:5=o5\7frne\bpjkW}byi~fPndebp`:687;i7}|`g^dvhiYs`{oxdR`jg`vf84799k1{~biPftno[qnumzbTbhintd>26;7c3yxdkRhzlm]wlwct`Vdnklzj<0194;7e3yxdkRhzlm]wlwct`Vdnklzj<01=5d=wzfmTjxbc_ujqavnXflmjxh2>>0c8twi`Wo\7fg`Rzgrdqk[kc`i}o7>3?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb4:4:m6~}of]eqijX|axn\7feQaefcwa9299h1{~biPftno[qnumzbTbhintd>6:4g<x{elSk{cl^vkv`uoWgolmyk36?3b?uthoVl~`aQ{hsgplZhboh~n0:0>a:rqkbYa}efTxe|jsi]mabgsm525=l5\7frne\bpjkW}byi~fPndebp`:>6?1yhRmck028vaYci}kTob{at)2*55=ulVnjxlQlotlw,4/692xoSio{a^alqkr/99#:=6|k_ecweZeh}g~#=<'>1:pg[agsiVidycz'13+25>tcWmk\7fmRm`uov+56/682xoSio{a^alqkr/: ;;7\7fjPd`vb[firf}"8%<>4re]geqgXkf\7fex%:&119q`Zbf|hUhcx`{(4+24>tcWmk\7fmRm`uov+2,773{nThlzn_bmvjq.0!8:0~iQkauc\gjsi|!2"==5}d^fbpdYdg|d\7f$4'>0:pg[agsiVidycz30?32?wbXlh~jSnaznu>24;763{nThlzn_bmvjq:697;:7\7fjPd`vb[firf}6:>3?<;sf\`drfWje~by2>3;2=54=ulVnjxlQlotlw8459991yhRjnt`]`kphs484:<6|k_ecweZeh}g~7>3??;sf\`drfWje~by2<>028vaYci}kTob{at=6=55=ulVnjxlQlotlw808682xoSio{a^alqkr;>7;;7\7fjPd`vb[firf}6<2<>4re]geqgXkf\7fex161119q`Zbf|hUhcx`{<8<6?wbXmg<0~iQ}su18vvr03zhbxh|}4:qqwq?<}gnn~kb`w49ueioc>2}ySlmd6:uq[fjl991|~Rjnt`]`kphs 9#:<6y}_ecweZeh}g~#=$?>;vp\`drfWje~by&>0(32?rtXlh~jSnaznu*25,763~xThlzn_bmvjq.6: ;:7z|Pd`vb[firf}":?$??;vp\`drfWje~by&=)028swYci}kTob{at)1*55=pzVnjxlQlotlw,1/682}ySio{a^alqkr/= ;;7z|Pd`vb[firf}"=%<>4ws]geqgXkf\7fex%9&119tvZbf|hUhcx`{(9+24>quWmk\7fmRm`uov+=,773~xThlzn_bmvjq:768;0{\7fQkauc\gjsi|5;;2<?4ws]geqgXkf\7fex1?>>038swYci}kTob{at=31:45<\7f{UomyoPcnwmp974294:=6y}_ecweZeh}g~7=>0>0:uq[agsiVidycz31?33?rtXlh~jSnaznu>1:46<\7f{UomyoPcnwmp959991|~Rjnt`]`kphs4=4:<6y}_ecweZeh}g~793??;vp\`drfWje~by29>028swYci}kTob{at=5=55=pzVnjxlQlotlw8=8682}ySio{a^alqkr;17?0{\7fQjn79tvZtt|8;0ÏÆ³²Æ¿ÕÏÀ»Á»·Ù²¸¶h5Þ¾ÒϺÒüÁɶÎÉpNOp3g;5>FGp?i;6K4;:0yP3=<2??0o6<==41fa>412mlqe?=m51:l04a<33-9;m7=?3:\7fP32<2??0o6<==41fa>412ml1X=hm594`94?74:=:on7?85dg8W21=1<h1<7?<252gf?70=o;0h;m>:182>4}T?10>;;4k:01105be28=>ih5+31:912><~]8o?7>51;39050|[>219:85d;30616cj3;<9hk4$3g3>4cc3_9;n7<tu0d4>4=r9o21<6s+24797f=e>j;1<7;;:2860~N5lm1Q:l4<{26975<6n3w/>i756b38 66?2<=37W=?9;0x12>==>21qdo=b;29?lg293:17b8mb;29?j30?3:17d=>2;29?lg5l3:17b;;e;29 7302<<o7c<:6;28?j33l3:1(?;8:44g?k42>3;07b;;b;29 7302<<o7c<:6;08?j33i3:1(?;8:44g?k42>3907b;;9;29 7302<<o7c<:6;68?j3303:1(?;8:44g?k42>3?07b;;7;29 7302<<o7c<:6;48?j33>3:1(?;8:44g?k42>3=07b;;5;29 7302<<o7c<:6;:8?j33<3:1(?;8:44g?k42>3307b;;3;29 7302<<o7c<:6;c8?j33:3:1(?;8:44g?k42>3h07b;;0;29 7302<<o7c<:6;a8?j34n3:1(?;8:44g?k42>3n07b;<e;29 7302<<o7c<:6;g8?j34l3:1(?;8:44g?k42>3l07b;<c;29 7302<<o7c<:6;33?>i2;k0;6)<:7;75`>h5=?0:=65`52c94?"5=>0>:i5a244957=<g<926=4+245913b<f;?=6<=4;n70<?6=,;?<688k;o062?7332e>?:4?:%063?31l2d99;4>5:9l102=83.99:4:6e9m600=9?10c8;<:18'601==?n0b?;9:058?j32:3:1(?;8:44g?k42>3;376a:5083>!42?3?=h6`=5782=>=h=<:1<7*=56862a=i:<<1=l54o46e>5<#:<=19;j4n375>4d<3f??o7>5$374>00c3g8>:7?l;:m604<72-8>;7;9d:l113<6l21d9>850;&112<2>m1e>8851d98k052290/>89557f8j73128l07d;?d;29 7302<8h7c<:6;28?l37k3:1(?;8:40`?k42>3;07d;?a;29 7302<8h7c<:6;08?l3713:1(?;8:40`?k42>3907d;?8;29 7302<8h7c<:6;68?l37?3:1(?;8:40`?k42>3?07d;?6;29 7302<8h7c<:6;48?l37=3:1(?;8:40`?k42>3=07d;?4;29 7302<8h7c<:6;:8?l37;3:1(?;8:40`?k42>3307d;?2;29 7302<8h7c<:6;c8?l3793:1(?;8:40`?k42>3h07d:if;29 7302<8h7c<:6;a8?l2am3:1(?;8:40`?k42>3n07d:id;29 7302<8h7c<:6;g8?l2ak3:1(?;8:40`?k42>3l07d:ib;29 7302<8h7c<:6;33?>o3nh0;6)<:7;71g>h5=?0:=65f4g;94?"5=>0>>n5a244957=<a=l36=4+245917e<f;?=6<=4;h6e3?6=,;?<68<l;o062?7332c?j;4?:%063?35k2d99;4>5:9j145=83.99:4:2b9m600=9?10e8?=:18'601==;i0b?;9:058?l3693:1(?;8:40`?k42>3;376g:1183>!42?3?9o6`=5782=>=n=9l1<7*=56866f=i:<<1=l54i42f>5<#:<=19?m4n375>4d<3`?;n7>5$374>04d3g8>:7?l;:k645<72-8>;7;=c:l113<6l21b8k;50;&112<2:j1e>8851d98m1`3290/>89553a8j73128l07b:82;29 7302==m7c<:6;28?j2093:1(?;8:55e?k42>3;07b:80;29 7302==m7c<:6;08?j21n3:1(?;8:55e?k42>3907b:8a;29 7302==m7c<:6;68?j2013:1(?;8:55e?k42>3?07b:88;29 7302==m7c<:6;48?j20?3:1(?;8:55e?k42>3=07b:86;29 7302==m7c<:6;:8?j20=3:1(?;8:55e?k42>3307b:84;29 7302==m7c<:6;c8?j20;3:1(?;8:55e?k42>3h07b:9e;29 7302==m7c<:6;a8?j21l3:1(?;8:55e?k42>3n07o<kf;295?6=8rB9hi5+2e;96a`<g;??6=44}c3:3?6=93:1<vF=de9'6a?=90=0c<79:188yg0>290o97=5d4yK6ab<R?k1=;u>4;3;>71=9<0947?8:26975<613;m6<851g81<?532;=1=84<0;3:>4>=9>0::7?;:|&1`<<1k;1/=h751878 66?2<=37)<;e;066>i48l0;66gn2b83>>o>>:0;6)<:7;;44>h5=?0;76g66383>!42?33<<6`=5782?>o>>80;6)<:7;;44>h5=?0976g66183>!42?33<<6`=5780?>o>>k0;6)<:7;;44>h5=?0?76g66`83>!42?33<<6`=5786?>o>>00;6)<:7;;44>h5=?0=76g66983>!42?33<<6`=5784?>o>>>0;6)<:7;;44>h5=?0376g66783>!42?33<<6`=578:?>o>><0;6)<:7;;44>h5=?0j76g66583>!42?33<<6`=578a?>o>=o0;6)<:7;;44>h5=?0h76g65d83>!42?33<<6`=578g?>i>j<0;66gn2g83>>if;80;66g6d983>!42?33n96`=5783?>o>l>0;6)<:7;;f1>h5=?0:76g6d783>!42?33n96`=5781?>o>l<0;6)<:7;;f1>h5=?0876g6e183>!42?33n96`=5787?>o>lo0;6)<:7;;f1>h5=?0>76g6dd83>!42?33n96`=5785?>o>lm0;6)<:7;;f1>h5=?0<76g6db83>!42?33n96`=578;?>o>lk0;6)<:7;;f1>h5=?0276g6d`83>!42?33n96`=578b?>o>l00;6)<:7;;f1>h5=?0i76g6d583>!42?33n96`=578`?>o>l:0;6)<:7;;f1>h5=?0o76gn2c83>>i>=m0;66a65b83>>o4980;66an1283>!42?3k9<6`=5783?>if9;0;6)<:7;c14>h5=?0:76an1083>!42?3k9<6`=5781?>if990;6)<:7;c14>h5=?0876an1c83>!42?3k9<6`=5787?>if9h0;6)<:7;c14>h5=?0>76an1883>!42?3k9<6`=5785?>if910;6)<:7;c14>h5=?0<76an1683>!42?3k9<6`=578;?>if9?0;6)<:7;c14>h5=?0276an1483>!42?3k9<6`=578b?>if9=0;6)<:7;c14>h5=?0i76an0g83>!42?3k9<6`=578`?>if8l0;6)<:7;c14>h5=?0o76g68183>!42?333h6`=5783?>o>?o0;6)<:7;;;`>h5=?0:76g67d83>!42?333h6`=5781?>o>?m0;6)<:7;;;`>h5=?0876g68983>!42?333h6`=5787?>o>0>0;6)<:7;;;`>h5=?0>76g68783>!42?333h6`=5785?>o>0<0;6)<:7;;;`>h5=?0<76g68583>!42?333h6`=578;?>o>0:0;6)<:7;;;`>h5=?0276g68383>!42?333h6`=578b?>o>080;6)<:7;;;`>h5=?0i76g67b83>!42?333h6`=578`?>o>?k0;6)<:7;;;`>h5=?0o76gn5083>>if;00;6)<:7;c72>h5=?0;76an3983>!42?3k?:6`=5782?>if;>0;6)<:7;c72>h5=?0976an3783>!42?3k?:6`=5780?>if<80;6)<:7;c72>h5=?0?76an4183>!42?3k?:6`=5786?>if;o0;6)<:7;c72>h5=?0=76an3d83>!42?3k?:6`=5784?>if;m0;6)<:7;c72>h5=?0376an3b83>!42?3k?:6`=578:?>if;k0;6)<:7;c72>h5=?0j76an3`83>!42?3k?:6`=578a?>if;<0;6)<:7;c72>h5=?0h76an3583>!42?3k?:6`=578g?>i1jk0;66a:7683>>of;:0;66g6bc83>!42?33h46`=5783?>o>jh0;6)<:7;;`<>h5=?0:76g6b883>!42?33h46`=5781?>o>j10;6)<:7;;`<>h5=?0876g6c283>!42?33h46`=5787?>o>k;0;6)<:7;;`<>h5=?0>76g6c083>!42?33h46`=5785?>o>k90;6)<:7;;`<>h5=?0<76g6bg83>!42?33h46`=578;?>o>jl0;6)<:7;;`<>h5=?0276g6be83>!42?33h46`=578b?>o>jj0;6)<:7;;`<>h5=?0i76g6b683>!42?33h46`=578`?>o>j?0;6)<:7;;`<>h5=?0o76g<1383>>of=;0;66an2d83>>if;;0;66an3183>>of:m0;66a:4d83>!42?3?=h6`=5783?>i2<m0;6)<:7;75`>h5=?0:76a:4c83>!42?3?=h6`=5781?>i2<h0;6)<:7;75`>h5=?0876a:4883>!42?3?=h6`=5787?>i2<10;6)<:7;75`>h5=?0>76a:4683>!42?3?=h6`=5785?>i2<?0;6)<:7;75`>h5=?0<76a:4483>!42?3?=h6`=578;?>i2<=0;6)<:7;75`>h5=?0276a:4283>!42?3?=h6`=578b?>i2<;0;6)<:7;75`>h5=?0i76a:4183>!42?3?=h6`=578`?>i2;o0;6)<:7;75`>h5=?0o76a:3d83>!42?3?=h6`=578f?>i2;m0;6)<:7;75`>h5=?0m76a:3b83>!42?3?=h6`=57824>=h=:h1<7*=56862a=i:<<1=<54o41b>5<#:<=19;j4n375>44<3f?857>5$374>00c3g8>:7?<;:m67=<72-8>;7;9d:l113<6<21d9>950;&112<2>m1e>8851498k033290/>89557f8j73128<07b;:3;29 7302<<o7c<:6;34?>i2=;0;6)<:7;75`>h5=?0:465`54394?"5=>0>:i5a24495<=<g<?;6=4+245913b<f;?=6<o4;n77b?6=,;?<688k;o062?7e32e>8n4?:%063?31l2d99;4>c:9l117=83.99:4:6e9m600=9m10c8=9:18'601==?n0b?;9:0g8?j34=3:1(?;8:44g?k42>3;m76g:0e83>!42?3?9o6`=5783?>o28j0;6)<:7;71g>h5=?0:76g:0`83>!42?3?9o6`=5781?>o2800;6)<:7;71g>h5=?0876g:0983>!42?3?9o6`=5787?>o28>0;6)<:7;71g>h5=?0>76g:0783>!42?3?9o6`=5785?>o28<0;6)<:7;71g>h5=?0<76g:0583>!42?3?9o6`=578;?>o28:0;6)<:7;71g>h5=?0276g:0383>!42?3?9o6`=578b?>o2880;6)<:7;71g>h5=?0i76g;fg83>!42?3?9o6`=578`?>o3nl0;6)<:7;71g>h5=?0o76g;fe83>!42?3?9o6`=578f?>o3nj0;6)<:7;71g>h5=?0m76g;fc83>!42?3?9o6`=57824>=n<ok1<7*=56866f=i:<<1=<54i5d:>5<#:<=19?m4n375>44<3`>m47>5$374>04d3g8>:7?<;:k7b2<72-8>;7;=c:l113<6<21b8k850;&112<2:j1e>8851498m074290/>89553a8j73128<07d;>2;29 7302<8h7c<:6;34?>o2980;6)<:7;71g>h5=?0:465f50294?"5=>0>>n5a24495<=<a<:m6=4+245917e<f;?=6<o4;h73a?6=,;?<68<l;o062?7e32c><o4?:%063?35k2d99;4>c:9j156=83.99:4:2b9m600=9m10e9h::18'601==;i0b?;9:0g8?l2a<3:1(?;8:40`?k42>3;m76a<0g83>>o4990;66g6f483>!42?3k;>6`=5783?>o>n=0;6)<:7;c36>h5=?0:76g6f283>!42?3k;>6`=5781?>o>n;0;6)<:7;c36>h5=?0876g6fe83>!42?3k;>6`=5787?>o>nj0;6)<:7;c36>h5=?0>76g6fc83>!42?3k;>6`=5785?>o>nh0;6)<:7;c36>h5=?0<76g6f883>!42?3k;>6`=578;?>o>n10;6)<:7;c36>h5=?0276g6f683>!42?3k;>6`=578b?>o>n?0;6)<:7;c36>h5=?0i76g6f083>!42?3k;>6`=578`?>o>n90;6)<:7;c36>h5=?0o76a;7383>!42?3><j6`=5783?>i3?80;6)<:7;64b>h5=?0:76a;7183>!42?3><j6`=5781?>i3>o0;6)<:7;64b>h5=?0876a;7`83>!42?3><j6`=5787?>i3?00;6)<:7;64b>h5=?0>76a;7983>!42?3><j6`=5785?>i3?>0;6)<:7;64b>h5=?0<76a;7783>!42?3><j6`=578;?>i3?<0;6)<:7;64b>h5=?0276a;7583>!42?3><j6`=578b?>i3?:0;6)<:7;64b>h5=?0i76a;6d83>!42?3><j6`=578`?>i3>m0;6)<:7;64b>h5=?0o76a;8`83>>o>1m0;6)<:7;;be>h5=?0;76g69b83>!42?33jm6`=5782?>o>1k0;6)<:7;;be>h5=?0976g69`83>!42?33jm6`=5780?>o>i<0;6)<:7;;be>h5=?0?76g6a583>!42?33jm6`=5786?>o>i:0;6)<:7;;be>h5=?0=76g6a383>!42?33jm6`=5784?>o>i80;6)<:7;;be>h5=?0376g6a183>!42?33jm6`=578:?>o>1o0;6)<:7;;be>h5=?0j76g69d83>!42?33jm6`=578a?>o>100;6)<:7;;be>h5=?0h76g69983>!42?33jm6`=578g?>of8m0;66l<0783>4<729q/>i751858L6623A8oh6a>9783>>{e:mk1<7?:5;0`=?5f1rB9hi5+2e;912?<R?k1=9u>a;06>70=:909=7<=:0a95`<5;3;i6?:53282`?`=:o0:?7?=:03955<b2t.j994n529l<g<722e3m7>5;h50>5<<a;i26=44o7794?=n:jl1<75`8883>>i?k3:17b<i0;29?l0?2900c<6n:188m6662900c?jm:188k32=831b>o750;9l605=831b>no50;9l6ac=831d=4:50;9l60?=831b>k?50;9l6=1=831b::4?::k047<722c9h94?::m4b?6=,;?<6:k4n375>5=<g>n1<7*=5684a>h5=?0:76a8c;29 7302>o0b?;9:398k2d=83.99:48e:l113<432e347>5$374>2c<f;?=6954o9594?"5=>0<i6`=5786?>i?>3:1(?;8:6g8j7312?10c5;50;&112<0m2d99;48;:m;0?6=,;?<6:k4n375>==<g191<7*=5684a>h5=?0276a72;29 7302>o0b?;9:`98k=7=83.99:48e:l113<e32e3<7>5$374>2c<f;?=6n54o6c94?"5=>0<i6`=578g?>i3lk0;6)<:7;6ge>h5=?0;76a;d883>!42?3>om6`=5782?>i3l10;6)<:7;6ge>h5=?0976a;d683>!42?3>om6`=5780?>i3m=0;6)<:7;6ge>h5=?0?76a;e283>!42?3>om6`=5786?>i3m;0;6)<:7;6ge>h5=?0=76a;e083>!42?3>om6`=5784?>i3m90;6)<:7;6ge>h5=?0376a;dg83>!42?3>om6`=578:?>i3ll0;6)<:7;6ge>h5=?0j76a;de83>!42?3>om6`=578a?>i3lj0;6)<:7;6ge>h5=?0h76a;d783>!42?3>om6`=578g?>i3mh0;6)<:7;6f=>h5=?0;76a;e983>!42?3>n56`=5782?>i3m>0;6)<:7;6f=>h5=?0976a;e783>!42?3>n56`=5780?>i3n:0;6)<:7;6f=>h5=?0?76a;f383>!42?3>n56`=5786?>i3n80;6)<:7;6f=>h5=?0=76a;f183>!42?3>n56`=5784?>i3mo0;6)<:7;6f=>h5=?0376a;ed83>!42?3>n56`=578:?>i3mm0;6)<:7;6f=>h5=?0j76a;eb83>!42?3>n56`=578a?>i3mk0;6)<:7;6f=>h5=?0h76a;e483>!42?3>n56`=578g?>i3190;6)<:7;6;b>h5=?0;76a;8d83>!42?3>3j6`=5782?>i30m0;6)<:7;6;b>h5=?0976a;8b83>!42?3>3j6`=5780?>i3100;6)<:7;6;b>h5=?0?76a;9983>!42?3>3j6`=5786?>i31>0;6)<:7;6;b>h5=?0=76a;9783>!42?3>3j6`=5784?>i31<0;6)<:7;6;b>h5=?0376a;9583>!42?3>3j6`=578:?>i31:0;6)<:7;6;b>h5=?0j76a;9383>!42?3>3j6`=578a?>i3180;6)<:7;6;b>h5=?0h76a;8c83>!42?3>3j6`=578g?>i31o0;6)<:7;6:a>h5=?0;76a;9e83>!42?3>2i6`=5782?>i31j0;6)<:7;6:a>h5=?0976a;9c83>!42?3>2i6`=5780?>i3i10;6)<:7;6:a>h5=?0?76a;a683>!42?3>2i6`=5786?>i3i?0;6)<:7;6:a>h5=?0=76a;a483>!42?3>2i6`=5784?>i3i=0;6)<:7;6:a>h5=?0376a;a283>!42?3>2i6`=578:?>i3i;0;6)<:7;6:a>h5=?0j76a;a083>!42?3>2i6`=578a?>i3i90;6)<:7;6:a>h5=?0h76a;9`83>!42?3>2i6`=578g?>i3il0;6)<:7;6b`>h5=?0;76a;ab83>!42?3>jh6`=5782?>i3ik0;6)<:7;6b`>h5=?0976a;a`83>!42?3>jh6`=5780?>i3j>0;6)<:7;6b`>h5=?0?76a;b783>!42?3>jh6`=5786?>i3j<0;6)<:7;6b`>h5=?0=76a;b583>!42?3>jh6`=5784?>i3j:0;6)<:7;6b`>h5=?0376a;b383>!42?3>jh6`=578:?>i3j80;6)<:7;6b`>h5=?0j76a;b183>!42?3>jh6`=578a?>i3io0;6)<:7;6b`>h5=?0h76a;a883>!42?3>jh6`=578g?>if93:1(?;8:`28j7312910c4h50;&112<f82d99;4>;:m:a?6=,;?<6l>4n375>7=<g0n1<7*=568b4>h5=?0876ana;29 7302h:0b?;9:598kd?=83.99:4n0:l113<232ej47>5$374>d6<f;?=6;54o`594?"5=>0j<6`=5784?>if>3:1(?;8:`28j7312110cl;50;&112<f82d99;46;:mb0?6=,;?<6l>4n375>d=<gh91<7*=568b4>h5=?0i76an2;29 7302h:0b?;9:b98k<e=83.99:4n0:l113<c32e9j:4?:%063?4a>2d99;4?;:m1b0<72-8>;7<i6:l113<632e9j94?:%063?4a>2d99;4=;:m1b6<72-8>;7<i6:l113<432e8<=4?:%063?4a>2d99;4;;:m1bc<72-8>;7<i6:l113<232e9jh4?:%063?4a>2d99;49;:m1ba<72-8>;7<i6:l113<032e9jn4?:%063?4a>2d99;47;:m1bg<72-8>;7<i6:l113<>32e9jl4?:%063?4a>2d99;4n;:m1b<<72-8>;7<i6:l113<e32e9j54?:%063?4a>2d99;4l;:m1b7<72-8>;7<i6:l113<c32e?ni4?:%063?2ek2d99;4?;:m7fg<72-8>;7:mc:l113<632e?nl4?:%063?2ek2d99;4=;:m7f<<72-8>;7:mc:l113<432e?o;4?:%063?2ek2d99;4;;:m7g0<72-8>;7:mc:l113<232e?o94?:%063?2ek2d99;49;:m7g6<72-8>;7:mc:l113<032e?o?4?:%063?2ek2d99;47;:m7g4<72-8>;7:mc:l113<>32e?o=4?:%063?2ek2d99;4n;:m7fc<72-8>;7:mc:l113<e32e?nh4?:%063?2ek2d99;4l;:m7f=<72-8>;7:mc:l113<c32e2>7>5$374><7<f;?=6=54o8294?"5=>02=6`=5782?>i?n3:1(?;8:838j7312;10c5k50;&112<>92d99;4<;:m:f?6=,;?<64?4n375>1=<g0k1<7*=568:5>h5=?0>76a69;29 73020;0b?;9:798k<>=83.99:461:l113<032e2;7>5$374><7<f;?=6554o8494?"5=>02=6`=578:?>i>=3:1(?;8:838j7312h10c4:50;&112<>92d99;4m;:m:7?6=,;?<64?4n375>f=<g1n1<7*=568:5>h5=?0o76a;cb83>!42?3>hn6`=5783?>i3kh0;6)<:7;6`f>h5=?0:76a;c883>!42?3>hn6`=5781?>i3k10;6)<:7;6`f>h5=?0876a;d483>!42?3>hn6`=5787?>i3l=0;6)<:7;6`f>h5=?0>76a;d283>!42?3>hn6`=5785?>i3l;0;6)<:7;6`f>h5=?0<76a;d083>!42?3>hn6`=578;?>i3l90;6)<:7;6`f>h5=?0276a;cg83>!42?3>hn6`=578b?>i3kl0;6)<:7;6`f>h5=?0i76a;ce83>!42?3>hn6`=578`?>i3k>0;6)<:7;6`f>h5=?0o76a>8083>!42?3;3<6`=5783?>i6?o0;6)<:7;3;4>h5=?0:76a>7e83>!42?3;3<6`=5781?>i6?j0;6)<:7;3;4>h5=?0876a>7c83>!42?3;3<6`=5787?>i6?h0;6)<:7;3;4>h5=?0>76a>7883>!42?3;3<6`=5785?>i6?10;6)<:7;3;4>h5=?0<76a>7683>!42?3;3<6`=578;?>i6??0;6)<:7;3;4>h5=?0276a>7483>!42?3;3<6`=578b?>i6?=0;6)<:7;3;4>h5=?0i76a>7383>!42?3;3<6`=578`?>i6?80;6)<:7;3;4>h5=?0o76a>7183>!42?3;3<6`=578f?>i6>o0;6)<:7;3;4>h5=?0m76a>6d83>!42?3;3<6`=57824>=h9?n1<7*=5682<5=i:<<1=<54o04`>5<#:<=1=5>4n375>44<3f;=n7>5$374>4>73g8>:7?<;:m22d<72-8>;7?70:l113<6<21d=;750;&112<6091e>8851498k4>?290/>8951928j73128<07b?77;29 730282;7c<:6;34?>i60?0;6)<:7;3;4>h5=?0:465`19794?"5=>0:4=5a24495<=<g82?6=4+24595=6<f;?=6<o4;n3;7?6=,;?<6<6?;o062?7e32e:4?4?:%063?7?82d99;4>c:9l52c=83.99:4>819m600=9m10c<9<:18'601=91:0b?;9:0g8?j7103:1(?;8:0:3?k42>3;m76a=bg83>!42?38ii6`=5783?>i5jm0;6)<:7;0aa>h5=?0:76a=bb83>!42?38ii6`=5781?>i5jk0;6)<:7;0aa>h5=?0876a=c983>!42?38ii6`=5787?>i5k>0;6)<:7;0aa>h5=?0>76a=c783>!42?38ii6`=5785?>i5k<0;6)<:7;0aa>h5=?0<76a=c583>!42?38ii6`=578;?>i5k:0;6)<:7;0aa>h5=?0276a=c383>!42?38ii6`=578b?>i5k80;6)<:7;0aa>h5=?0i76a=c183>!42?38ii6`=578`?>i5jh0;6)<:7;0aa>h5=?0o76g=6d83>!42?38=h6`=5783?>o5>j0;6)<:7;05`>h5=?0:76g=6c83>!42?38=h6`=5781?>o5>h0;6)<:7;05`>h5=?0876g=7683>!42?38=h6`=5787?>o5??0;6)<:7;05`>h5=?0>76g=7483>!42?38=h6`=5785?>o5?=0;6)<:7;05`>h5=?0<76g=7283>!42?38=h6`=578;?>o5?;0;6)<:7;05`>h5=?0276g=7083>!42?38=h6`=578b?>o5?90;6)<:7;05`>h5=?0i76g=6g83>!42?38=h6`=578`?>o5>00;6)<:7;05`>h5=?0o76g>0983>!42?3;;;6`=5783?>o68?0;6)<:7;333>h5=?0:76g>0583>!42?3;;;6`=5781?>o68:0;6)<:7;333>h5=?0876g>0383>!42?3;;;6`=5787?>o6880;6)<:7;333>h5=?0>76g>0183>!42?3;;;6`=5785?>oan3:1(?;8:024?k42>3=07dhj:18'601=99=0b?;9:998mcb=83.99:4>069m600=121bjn4?:%063?77?2d99;4n;:kef?6=,;?<6<>8;o062?d<3`l26=4+2459551<f;?=6n54ig:94?"5=>0:<:5a2449`>=nn>0;6)<:7;333>h5=?0n76gi6;29 73028:<7c<:6;d8?l`2290/>8951158j73128:07dh;:18'601=99=0b?;9:038?l`4290/>8951158j73128807dh=:18'601=99=0b?;9:018?l`6290/>8951158j73128>07dh?:18'601=99=0b?;9:078?l77n3:1(?;8:024?k42>3;=76g>0d83>!42?3;;;6`=57823>=n99n1<7*=568242=i:<<1=554i02`>5<#:<=1==94n375>4?<3`;;n7>5$374>4603g8>:7?n;:k24d<72-8>;7??7:l113<6j21b==750;&112<68>1e>8851b98m462290/>8951158j73128n07dhn:18'601=99=0b?;9:0g8?lca290/>8951158j73128l07d<nb;29 7302;kj7c<:6;28?l4f13:1(?;8:3cb?k42>3;07d<n8;29 7302;kj7c<:6;08?l4f?3:1(?;8:3cb?k42>3907d<m4;29 7302;kj7c<:6;68?l4e;3:1(?;8:3cb?k42>3?07d<m2;29 7302;kj7c<:6;48?l4e93:1(?;8:3cb?k42>3=07d<m0;29 7302;kj7c<:6;:8?l4fn3:1(?;8:3cb?k42>3307d<ne;29 7302;kj7c<:6;c8?l4fl3:1(?;8:3cb?k42>3h07d<nc;29 7302;kj7c<:6;a8?l4f>3:1(?;8:3cb?k42>3n07d<6c;29 7302;3i7c<:6;28?l4>i3:1(?;8:3;a?k42>3;07d<69;29 7302;3i7c<:6;08?l4>03:1(?;8:3;a?k42>3907d<n5;29 7302;3i7c<:6;68?l4f<3:1(?;8:3;a?k42>3?07d<n3;29 7302;3i7c<:6;48?l4f:3:1(?;8:3;a?k42>3=07d<n1;29 7302;3i7c<:6;:8?l4f83:1(?;8:3;a?k42>3307d<6f;29 7302;3i7c<:6;c8?l4>m3:1(?;8:3;a?k42>3h07d<6d;29 7302;3i7c<:6;a8?l4>?3:1(?;8:3;a?k42>3n07d<7d;29 7302;2h7c<:6;28?l4?j3:1(?;8:3:`?k42>3;07d<7a;29 7302;2h7c<:6;08?l4?13:1(?;8:3:`?k42>3907d<66;29 7302;2h7c<:6;68?l4>=3:1(?;8:3:`?k42>3?07d<64;29 7302;2h7c<:6;48?l4>;3:1(?;8:3:`?k42>3=07d<62;29 7302;2h7c<:6;:8?l4>93:1(?;8:3:`?k42>3307d<60;29 7302;2h7c<:6;c8?l4?n3:1(?;8:3:`?k42>3h07d<7e;29 7302;2h7c<:6;a8?l4?03:1(?;8:3:`?k42>3n07d<8d;29 7302;=h7c<:6;28?l40j3:1(?;8:35`?k42>3;07d<8a;29 7302;=h7c<:6;08?l4013:1(?;8:35`?k42>3907d<76;29 7302;=h7c<:6;68?l4?=3:1(?;8:35`?k42>3?07d<74;29 7302;=h7c<:6;48?l4?;3:1(?;8:35`?k42>3=07d<72;29 7302;=h7c<:6;:8?l4?93:1(?;8:35`?k42>3307d<70;29 7302;=h7c<:6;c8?l40n3:1(?;8:35`?k42>3h07d<8e;29 7302;=h7c<:6;a8?l4003:1(?;8:35`?k42>3n07d<:f;29 7302;?n7c<:6;28?l42l3:1(?;8:37f?k42>3;07d<:c;29 7302;?n7c<:6;08?l42j3:1(?;8:37f?k42>3907d<98;29 7302;?n7c<:6;68?l41?3:1(?;8:37f?k42>3?07d<96;29 7302;?n7c<:6;48?l41=3:1(?;8:37f?k42>3=07d<94;29 7302;?n7c<:6;:8?l41;3:1(?;8:37f?k42>3307d<92;29 7302;?n7c<:6;c8?l4193:1(?;8:37f?k42>3h07d<90;29 7302;?n7c<:6;a8?l42i3:1(?;8:37f?k42>3n07o=?6;295?6=8r.9h44>969K753<@;no7b?66;29?xd48>0;6<4?:1y'6a?=:ml0D>>:;I0g`>i5==0;66sm6cf94?3=83:p(?j6:0;0?M57=2B9hi5G679'5d2=92c=n7>5;h52>5<<a>31<75f24:94?=h:k?1<75rb7`e>5<2290;w)<k9;3:7>N48<1C>ij4H748 4g3281b:o4?::k45?6=3`=26=44i37;>5<<g;h>6=44}c4ag?6=<3:1<v*=d882=7=O;9?0D?jk;I45?!7f<3;0e;l50;9j3<<722c9954?::m1f0<722wi:ok50;694?6|,;n26<7=;I131>N5lm1C:;5+1`695>o1j3:17d96:188m73?2900c?l::188yg24>3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f13729086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn9=j:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi88850;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa016=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`712<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th?8?4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk>>47>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c670?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb57:>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj=>=6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e<<k1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm45:94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl;5c83>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd3<h0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg22k3:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo:;c;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn9;k:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f12b290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa00c=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi8>650;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th?9<4?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`77d<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c666?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk>8o7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj=?86=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb577>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj=?m6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f107290>6=4?{%0g=?7>;2B8<85G2ef8 4g32j1b:o4?::k45?6=3`=26=44i37;>5<<g;h>6=44}c651?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:96;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>=57>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg21?3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c65<?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:9a;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>=n7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg21k3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c655?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:94;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>=>7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg21;3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1e5?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb52a>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:l26=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e<8;1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm3g`94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl;1383>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd4nm0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg26;3:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo=if;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn9?;:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f166290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa043=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi8==50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th?=;4?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`740<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c623?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk>;;7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj=;36=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb52:>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm40;94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;o91<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd38j0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<f483>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo:?d;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg5a?3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f16b29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn9>i:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f17f290>6=4?{%0g=?7>;2B8<85G2ef8 4g32j1b:o4?::k45?6=3`=26=44i37;>5<<g;h>6=44}c62f?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:=0;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>9=7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg25<3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c616?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:=3;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>997>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg25>3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c613?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo:>c;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk>:j7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg26l3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c62a?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=97;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn>6>:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f60a290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa7=1=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi?:?50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th8454?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`036<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c1;=?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk9<97>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj:2j6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb254>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm39`94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;>31<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd40j0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<7c83>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo=7d;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg50l3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f6>b29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>9i:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi?5h50;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa73?=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`0<7<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th8:o4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk93?7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c15`?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb2:7>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:2>6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb2;3>5<2290;w)<k9;3:7>N48<1C>ij4$0c7>f=n>k0;66g81;29?l1>2900e?;7:188k7d22900qo=61;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk92:7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg5>?3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1:e?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=68;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk9257>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg5>j3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1:g?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=6d;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk92>7>55;294~"5l00:5=5G3178L7bc3-;j87?4i7`94?=n?80;66g86;29?l1>2900c?l::188yg5>=3:197>50z&1`<<6191C?=;4H3fg?!7f<3;0e;l50;9j34<722c<:7>5;h5:>5<<g;h>6=44}c1:7?6==3:1<v*=d882=5=O;9?0D?jk;%3b0?7<a?h1<75f7083>>o0>3:17d96:188k7d22900qo=64;291?6=8r.9h44>919K753<@;no7)?n4;38m3d=831b;<4?::k42?6=3`=26=44o3`6>5<<uk9jo7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj:i=6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb2`7>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm3ba94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;k<1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd4km0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<b983>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo=le;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg5ei3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f6ea29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>ll:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi?i>50;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa7gc=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`0`4<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th8o=4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk9o>7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c1`6?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb2f0>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:i?6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e;m>1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm3`g94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl<c683>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd4j90;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg5d03:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo=m2;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn>m6:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f6ef29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>j::186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:n=6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f6be290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb2f`>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn>jk:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:nn6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f6ba290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb2g3>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn>k>:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:o96=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f6b0290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb2f;>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn>j6:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj:nj6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f646290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa76d=8391<7>t$3f:>6633A9;96F=de9j37<722c:il4?::m114<722wi??750;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th88<4?:283>5}#:m31?=:4H226?M4cl2c<>7>5;h3fe?6=3f8>=7>5;|`06g<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c176?6=;3:1<v*=d88041=O;9?0D?jk;h51>5<<a8oj6=44o372>5<<uk99h7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj:>86=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb20e>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm35694?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;:;1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd4<<0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl<3283>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo=;6;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg54=3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f62029086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn>=8:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi?9650;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa76?=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`00<<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th8>>4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk98o7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c111?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb21g>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj:8<6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e;:o1<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm32d94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e;=h1<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa71e=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm34394?3=83:p(?j6:0;3?M57=2B9hi5+1`695>o1j3:17d9>:188m20=831b;44?::m1f0<722wi?8<50;794?6|,;n26<7?;I131>N5lm1/=l:51:k5f?6=3`=:6=44i6494?=n?00;66a=b483>>{e;<91<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa702=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm34794?3=83:p(?j6:0;3?M57=2B9hi5+1`695>o1j3:17d9>:188m20=831b;44?::m1f0<722wi?8850;794?6|,;n26<7?;I131>N5lm1/=l:51:k5f?6=3`=:6=44i6494?=n?00;66a=b483>>{e;<=1<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa70>=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm35f94?3=83:p(?j6:0;3?M57=2B9hi5+1`695>o1j3:17d9>:188m20=831b;44?::m1f0<722wi?9k50;794?6|,;n26<7?;I131>N5lm1/=l:51:k5f?6=3`=:6=44i6494?=n?00;66a=b483>>{e;=l1<7;50;2x 7b>283;7E=?5:J1`a=#9h>1=6g9b;29?l162900e:850;9j3<<722e9n84?::\7fa706=83?1<7>t$3f:>4?73A9;96F=de9'5d2=92c=n7>5;h52>5<<a><1<75f7883>>i5j<0;66sm65594?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl94983>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo8;9;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn;:n:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi:9l50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th=8n4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk<?h7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj?<96=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e>?91<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd1>=0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg01=3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f301290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa231=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`52=<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c474?6=<3:1<v*=d882=7=O;9?0D?jk;%3b0?e<a?h1<75f7883>>o5=10;66a=b483>>{e>121<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd1000;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg0?i3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f3>e290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa2=e=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`5<a<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c4;a?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb7c0>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm6`694?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl9a483>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo8n6;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn;o8:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi:l650;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th=m44?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk<3=7>54;294~"5l00:5?5G3178L7bc3-;j87?4i7`94?=n?00;66g=5983>>i5j<0;66sm6cc94?2=83:p(?j6:0;1?M57=2B9hi5+1`69g>o1j3:17d96:188m73?2900c?l::188yg3c?3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f0b?290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa1a?=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`6`d<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c7gf?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb4f`>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm5ef94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl:f383>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo;i3;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn8h;:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi9k;50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th>j;4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk?m;7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj<l36=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e>9n1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd18l0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg07n3:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f377290?6=4?{%0g=?7a<2B8<85G2ef8m24=831bmn4?::k1`5<722e99<4?::\7fa247=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`557<72=0;6=u+2e;95c2<@::>7E<kd:k46?6=3`kh6=44i3f3>5<<g;?:6=44}c427?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb70;>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm63;94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl92`83>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo8=b;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn;<l:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi:?j50;694?6|,;n26<h;;I131>N5lm1b;?4?::kbg?6=3`8o<7>5;n065?6=3th=>h4?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk?3m7>54;294~"5l00:j95G3178L7bc3`=96=44i`a94?=n:m:1<75`24394?=zj<k>6=4<:183\7f!4c139;86F<049K6ab<a>81<75f1dc94?=h:<;1<75rb4:f>5<3290;w)<k9;3e0>N48<1C>ij4i6094?=nij0;66g=d183>>i5=80;66sm5`c94?5=83:p(?j6:227?M57=2B9hi5f7383>>o6mh0;66a=5083>>{e=1l1<7:50;2x 7b>28l?7E=?5:J1`a=n?;0;66gnc;29?l4c83:17b<:1;29?xd2ik0;6>4?:1y'6a?=;9>0D>>:;I0g`>o0:3:17d?ja;29?j4293:17pl:9183>1<729q/>i751g68L6623A8oh6g82;29?lgd2900e?j?:188k7362900qo;nc;297?6=8r.9h44<059K753<@;no7d9=:188m4cf2900c?;>:188yg3>93:187>50z&1`<<6n=1C?=;4H3fg?l152900elm50;9j6a6=831d>8?50;9~f0gc29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn87=:187>5<7s-8o57?i4:J040=O:mn0e:<50;9jef<722c9h=4?::m114<722wi9lk50;194?6|,;n26>>;;I131>N5lm1b;?4?::k2ad<722e99<4?::\7fa1<5=83>1<7>t$3f:>4`33A9;96F=de9j37<722cjo7>5;h0g4?6=3f8>=7>5;|`6ec<72:0;6=u+2e;9752<@::>7E<kd:k46?6=3`;nm7>5;n065?6=3th>594?:583>5}#:m31=k:4H226?M4cl2c<>7>5;hc`>5<<a;n;6=44o372>5<<uk?i<7>53;294~"5l008<95G3178L7bc3`=96=44i0gb>5<<g;?:6=44}c7:1?6=<3:1<v*=d882b1=O;9?0D?jk;h51>5<<ahi1<75f2e294?=h:<;1<75rb4`2>5<4290;w)<k9;130>N48<1C>ij4i6094?=n9lk1<75`24394?=zj<3=6=4;:183\7f!4c13;m86F<049K6ab<a>81<75fab83>>o5l90;66a=5083>>{e=k81<7=50;2x 7b>2::?7E=?5:J1`a=n?;0;66g>e`83>>i5=80;66sm59`94?2=83:p(?j6:0d7?M57=2B9hi5f7383>>ofk3:17d<k0;29?j4293:17pl:a783>6<729q/>i753168L6623A8oh6g82;29?l7bi3:17b<:1;29?xd20j0;694?:1y'6a?=9o>0D>>:;I0g`>o0:3:17dol:188m7b72900c?;>:188yg3f?3:1?7>50z&1`<<48=1C?=;4H3fg?l152900e<kn:188k7362900qo;7d;290?6=8r.9h44>f59K753<@;no7d9=:188mde=831b>i>50;9l607=831vn8o7:180>5<7s-8o57=?4:J040=O:mn0e:<50;9j5`g=831d>8?50;9~f0g>29086=4?{%0g=?57<2B8<85G2ef8m24=831b=ho50;9l607=831vn8m>:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<i96=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f0e0290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb4a;>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn8m6:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<ij6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f0ee290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb4a`>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn8mk:186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<in6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f0e4290>6=4?{%0g=?7>82B8<85G2ef8 4g3281b:o4?::k45?6=3`==6=44i6;94?=h:k?1<75rb4a7>5<2290;w)<k9;3:4>N48<1C>ij4$0c7>4=n>k0;66g81;29?l112900e:750;9l6g3=831vn8m::186>5<7s-8o57?60:J040=O:mn0(<o;:09j2g<722c<=7>5;h55>5<<a>31<75`2c794?=zj<i=6=4::183\7f!4c13;2<6F<049K6ab<,8k?6<5f6c83>>o093:17d99:188m2?=831d>o;50;9~f264290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg12l3:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd1m:0;694?:1y'6a?=9o<0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?;?1<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>=m6=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk<n:7>54;294~"5l00:j;5G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<?<4?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;5l50;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn;h=:187>5<7s-8o57?i6:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo9<4;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl88d83>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm6g794?2=83:p(?j6:0d5?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb616>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5;b?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`5b3<72=0;6=u+2e;95c0<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa<35=83>1<7>t$3f:>4?63A9;96F=de9'5d2=92c=n7>5;h52>5<<a>31<75`2c794?=zj1<96=4;:183\7f!4c13;2=6F<049K6ab<,8k?6<5f6c83>>o093:17d96:188k7d22900qo691;290?6=8r.9h44>909K753<@;no7)?n4;38m3d=831b;<4?::k4=?6=3f8i97>5;|`:7f<72j81<7>t$3f:>7d13A9;96F=de9Y2d<ds;31>l4=d;0f>63=;?08;7=7:23977<4139j6p*>c580?!7d=390(<m9:29'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=380(<k9:39'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?j7;3;b>"6m10:4k5+2c59606<,;h36?;?;o0`f?7<f;ih6<5+2e09606<,8k96>5+1`197>"5mj09io5+2df96`d<f;on6<5a2dd95>h6;k0;7c?:d;28 7b6282m7)<k5;08 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)<k6;08m3b=831b:h4?::k40?6=3`=>6=44i0:a>5<<a;io6=44i3af>5<<a82h6=44i3f4>5<<a;n36=44o7d94?=h?90;66g;a;29 7302=30b?;9:198m1>=83.99:4;9:l113<632c?;7>5$374>1?<f;?=6?54i5494?"5=>0?56`=5780?>o3=3:1(?;8:5;8j7312=10e8=50;&112<312d99;4:;:k66?6=,;?<6974n375>3=<a<;1<7*=5687=>h5=?0<76g:0;29 7302=30b?;9:998m1`=83.99:4;9:l113<>32c?i7>5$374>1?<f;?=6l54i5f94?"5=>0?56`=578a?>o3k3:1(?;8:5;8j7312j10e9l50;&112<312d99;4k;:k70?6=,;?<6974n375>`=<a<k1<7*=5686=>h5=?0;76g:8;29 7302<30b?;9:098m01=83.99:4:9:l113<532c>:7>5$374>0?<f;?=6>54i4794?"5=>0>56`=5787?>o1;3:1(?;8:4;8j7312<10e;<50;&112<212d99;49;:k55?6=,;?<6874n375>2=<a?:1<7*=5686=>h5=?0376g:f;29 7302<30b?;9:898m0c=83.99:4:9:l113<f32c>h7>5$374>0?<f;?=6o54i4a94?"5=>0>56`=578`?>o2j3:1(?;8:4;8j7312m10e8:50;&112<212d99;4j;:k`2?6=,;?<6n;4n375>5=<aj>1<7*=568`1>h5=?0:76gl2;29 7302j?0b?;9:398mf7=83.99:4l5:l113<432ch<7>5$374>f3<f;?=6954icd94?"5=>0h96`=5786?>oem3:1(?;8:b78j7312?10eoj50;&112<d=2d99;48;:kag?6=,;?<6n;4n375>==<akh1<7*=568`1>h5=?0276gma;29 7302j?0b?;9:`98mg?=83.99:4l5:l113<e32ci;7>5$374>f3<f;?=6n54ic494?"5=>0h96`=578g?>oe=3:1(?;8:b78j7312l10eo:50;&112<d=2d99;4i;:ka7?6=,;?<6n;4n375>46<3`h96=4+2459g0=i:<<1=<54ic394?"5=>0h96`=57826>=nj90;6)<:7;a6?k42>3;876gnf;29 7302j?0b?;9:068?lgb290/>895c49m600=9<10enj50;&112<d=2d99;4>6:9jgf<72-8>;7m:;o062?7032chn7>5$374>f3<f;?=6<64;hab>5<#:<=1o85a24495<=<aj31<7*=568`1>h5=?0:m65fc983>!42?3i>7c<:6;3a?>od?3:1(?;8:b78j73128i07dm<:18'601=k<1e>8851e98mg>=83.99:4l5:l113<6m21bmi4?:%063?e23g8>:7?i;:kf3?6=,;?<6h84n375>5=<al?1<7*=568f2>h5=?0:76gj3;29 7302l<0b?;9:398m`4=83.99:4j6:l113<432cn=7>5$374>`0<f;?=6954id294?"5=>0n:6`=5786?>ocn3:1(?;8:d48j7312?10eik50;&112<b>2d99;48;:kg`?6=,;?<6h84n375>==<ami1<7*=568f2>h5=?0276gkb;29 7302l<0b?;9:`98mag=83.99:4j6:l113<e32co47>5$374>`0<f;?=6n54ie594?"5=>0n:6`=578g?>oc>3:1(?;8:d48j7312l10ei;50;&112<b>2d99;4i;:kg0?6=,;?<6h84n375>46<3`n86=4+2459a3=i:<<1=<54ie094?"5=>0n:6`=57826>=nl80;6)<:7;g5?k42>3;876gk0;29 7302l<0b?;9:068?lea290/>895e79m600=9<10ehk50;&112<b>2d99;4>6:9jaa<72-8>;7k9;o062?7032cno7>5$374>`0<f;?=6<64;hga>5<#:<=1i;5a24495<=<alk1<7*=568f2>h5=?0:m65fe883>!42?3o=7c<:6;3a?>ob03:1(?;8:d48j73128i07dk;:18'601=m?1e>8851e98ma?=83.99:4j6:l113<6m21boh4?:%063?c13g8>:7?i;:k251<72-8>;7?>3:l113<732c:=?4?:%063?76;2d99;4>;:k254<72-8>;7?>3:l113<532c:==4?:%063?76;2d99;4<;:k25<<72-8>;7?>8:l113<732c:=:4?:%063?7602d99;4>;:k253<72-8>;7?>8:l113<532c:=84?:%063?7602d99;4<;:k1a0<72-8>;7<j4:l113<732c9i>4?:%063?4b<2d99;4>;:k1a7<72-8>;7<j4:l113<532c9i<4?:%063?4b<2d99;4<;:k1ad<72-8>;7<j9:l113<732c9i54?:%063?4b12d99;4>;:k1a2<72-8>;7<j9:l113<532c9i;4?:%063?4b12d99;4<;:m276<72-8>;7?<2:l113<732e:?<4?:%063?74:2d99;4>;:m26c<72-8>;7?<2:l113<532e:>h4?:%063?74:2d99;4<;:m26a<72-8>;7?<2:l113<332e:>n4?:%063?74:2d99;4:;:m26g<72-8>;7?<2:l113<132e:>l4?:%063?74:2d99;48;:m26<<72-8>;7?<2:l113<?32e:>54?:%063?74:2d99;46;:m262<72-8>;7?<2:l113<f32e:>;4?:%063?74:2d99;4m;:m261<72-8>;7?<2:l113<d32e:>>4?:%063?74:2d99;4k;:m267<72-8>;7?<2:l113<b32e:><4?:%063?74:2d99;4i;:m265<72-8>;7?<2:l113<6821d=<h50;&112<6;;1e>8851098k47b290/>8951208j73128807b?>d;29 73028997c<:6;30?>i69j0;6)<:7;306>h5=?0:865`10`94?"5=>0:??5a244950=<g89j6=4+2459564<f;?=6<84;n30=?6=,;?<6<==;o062?7032e:?54?:%063?74:2d99;4>8:9l561=83.99:4>339m600=9010c<=9:18'601=9:80b?;9:0c8?j74=3:1(?;8:011?k42>3;i76a>3583>!42?3;8>6`=5782g>=h9::1<7*=568277=i:<<1=i54o006>5<#:<=1=><4n375>4c<3f;:m7>5$374>4553g8>:7?i;:m210<72-8>;7?:4:l113<732e:9>4?:%063?72<2d99;4>;:m214<72-8>;7?:4:l113<532e:9=4?:%063?72<2d99;4<;:m20c<72-8>;7?:4:l113<332e:8h4?:%063?72<2d99;4:;:m20a<72-8>;7?:4:l113<132e:8n4?:%063?72<2d99;48;:m20g<72-8>;7?:4:l113<?32e:8l4?:%063?72<2d99;46;:m20<<72-8>;7?:4:l113<f32e:854?:%063?72<2d99;4m;:m203<72-8>;7?:4:l113<d32e:884?:%063?72<2d99;4k;:m201<72-8>;7?:4:l113<b32e:8>4?:%063?72<2d99;4i;:m207<72-8>;7?:4:l113<6821d=9?50;&112<6==1e>8851098k427290/>8951468j73128807b?<f;29 73028??7c<:6;30?>i6;l0;6)<:7;360>h5=?0:865`12f94?"5=>0:995a244950=<g8?h6=4+2459502<f;?=6<84;n36f?6=,;?<6<;;;o062?7032e:9l4?:%063?72<2d99;4>8:9l50?=83.99:4>559m600=9010c<;7:18'601=9<>0b?;9:0c8?j72?3:1(?;8:077?k42>3;i76a>5783>!42?3;>86`=5782g>=h9<81<7*=568211=i:<<1=i54o064>5<#:<=1=8:4n375>4c<3f;8o7>5$374>4333g8>:7?i;:m227<72-8>;7?91:l113<732e::=4?:%063?7192d99;4>;:m21c<72-8>;7?91:l113<532e:9h4?:%063?7192d99;4<;:m222<72-8>;7?96:l113<732e::84?:%063?71>2d99;4>;:m221<72-8>;7?96:l113<532e::>4?:%063?71>2d99;4<;:\7fa=11=83i96=4?{%0g=?4e>2B8<85G2ef8^3g=kr826?o52e81a?522:<1?:4<8;12>64=;008m7s+1b697>"6k<087)?l6;18 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<097)?j6;08 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>e682<c=#9l21=5h4$3`4>7373-8i47<:0:l1gg<63g8ho7?4$3f1>7373-;j>7=4$0c0>6=#:li1>hl4$3gg>7ce3g8ni7?4n3ge>4=i9:h1<6`>5e83?!4c93;3j6*=d481?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*=d781?l0c2900e;k50;9j31<722c<97>5;h3;f?6=3`8hh7>5;h0`a?6=3`;3o7>5;h0g3?6=3`8o47>5;n4e>5<<g>:1<75f4`83>!42?3>27c<:6;28?l2?290/>895489m600=921b8:4?:%063?2>3g8>:7<4;h65>5<#:<=1845a24497>=n<<0;6)<:7;6:?k42>3>07d;<:18'601=<01e>8855:9j17<72-8>;7:6;o062?0<3`?:6=4+24590<=i:<<1;65f5183>!42?3>27c<:6;:8?l2a290/>895489m600=121b8h4?:%063?2>3g8>:7o4;h6g>5<#:<=1845a2449f>=n<j0;6)<:7;6:?k42>3i07d:m:18'601=<01e>885d:9j01<72-8>;7:6;o062?c<3`?j6=4+24591<=i:<<1<65f5983>!42?3?27c<:6;38?l30290/>895589m600=:21b9;4?:%063?3>3g8>:7=4;h76>5<#:<=1945a24490>=n>:0;6)<:7;7:?k42>3?07d8=:18'601==01e>8856:9j24<72-8>;7;6;o062?1<3`<;6=4+24591<=i:<<1465f5g83>!42?3?27c<:6;;8?l3b290/>895589m600=i21b9i4?:%063?3>3g8>:7l4;h7`>5<#:<=1945a2449g>=n=k0;6)<:7;7:?k42>3n07d;;:18'601==01e>885e:9jg3<72-8>;7m:;o062?6<3`i?6=4+2459g0=i:<<1=65fc383>!42?3i>7c<:6;08?le6290/>895c49m600=;21bo=4?:%063?e23g8>:7:4;h`e>5<#:<=1o85a24491>=njl0;6)<:7;a6?k42>3<07dlk:18'601=k<1e>8857:9jff<72-8>;7m:;o062?><3`hi6=4+2459g0=i:<<1565fb`83>!42?3i>7c<:6;c8?ld>290/>895c49m600=j21bn:4?:%063?e23g8>:7m4;h`5>5<#:<=1o85a2449`>=nj<0;6)<:7;a6?k42>3o07dl;:18'601=k<1e>885f:9jf6<72-8>;7m:;o062?7732ci>7>5$374>f3<f;?=6<?4;h`2>5<#:<=1o85a244957=<ak:1<7*=568`1>h5=?0:?65fag83>!42?3i>7c<:6;37?>ofm3:1(?;8:b78j73128?07dmk:18'601=k<1e>8851798mfe=83.99:4l5:l113<6?21boo4?:%063?e23g8>:7?7;:k`e?6=,;?<6n;4n375>4?<3`i26=4+2459g0=i:<<1=l54ib:94?"5=>0h96`=5782f>=nk>0;6)<:7;a6?k42>3;h76gl3;29 7302j?0b?;9:0f8?ld?290/>895c49m600=9l10elj50;&112<d=2d99;4>f:9ja2<72-8>;7k9;o062?6<3`o>6=4+2459a3=i:<<1=65fe283>!42?3o=7c<:6;08?lc5290/>895e79m600=;21bi<4?:%063?c13g8>:7:4;hg3>5<#:<=1i;5a24491>=nlo0;6)<:7;g5?k42>3<07djj:18'601=m?1e>8857:9j`a<72-8>;7k9;o062?><3`nh6=4+2459a3=i:<<1565fdc83>!42?3o=7c<:6;c8?lbf290/>895e79m600=j21bh54?:%063?c13g8>:7m4;hf4>5<#:<=1i;5a2449`>=nl?0;6)<:7;g5?k42>3o07dj::18'601=m?1e>885f:9j`1<72-8>;7k9;o062?7732co?7>5$374>`0<f;?=6<?4;hf1>5<#:<=1i;5a244957=<am;1<7*=568f2>h5=?0:?65fd183>!42?3o=7c<:6;37?>odn3:1(?;8:d48j73128?07dkj:18'601=m?1e>8851798m`b=83.99:4j6:l113<6?21bin4?:%063?c13g8>:7?7;:kff?6=,;?<6h84n375>4?<3`oj6=4+2459a3=i:<<1=l54id;94?"5=>0n:6`=5782f>=nm10;6)<:7;g5?k42>3;h76gj4;29 7302l<0b?;9:0f8?lb>290/>895e79m600=9l10enk50;&112<b>2d99;4>f:9j542=83.99:4>129m600=821b=<<50;&112<69:1e>8851:9j547=83.99:4>129m600=:21b=<>50;&112<69:1e>8853:9j54?=83.99:4>199m600=821b=<950;&112<6911e>8851:9j540=83.99:4>199m600=:21b=<;50;&112<6911e>8853:9j6`3=83.99:4=e59m600=821b>h=50;&112<5m=1e>8851:9j6`4=83.99:4=e59m600=:21b>h?50;&112<5m=1e>8853:9j6`g=83.99:4=e89m600=821b>h650;&112<5m01e>8851:9j6`1=83.99:4=e89m600=:21b>h850;&112<5m01e>8853:9l565=83.99:4>339m600=821d=>?50;&112<6;;1e>8851:9l57`=83.99:4>339m600=:21d=?k50;&112<6;;1e>8853:9l57b=83.99:4>339m600=<21d=?m50;&112<6;;1e>8855:9l57d=83.99:4>339m600=>21d=?o50;&112<6;;1e>8857:9l57?=83.99:4>339m600=021d=?650;&112<6;;1e>8859:9l571=83.99:4>339m600=i21d=?850;&112<6;;1e>885b:9l572=83.99:4>339m600=k21d=?=50;&112<6;;1e>885d:9l574=83.99:4>339m600=m21d=??50;&112<6;;1e>885f:9l576=83.99:4>339m600=9910c<?i:18'601=9:80b?;9:038?j76m3:1(?;8:011?k42>3;976a>1e83>!42?3;8>6`=57827>=h98i1<7*=568277=i:<<1=954o03a>5<#:<=1=><4n375>43<3f;8m7>5$374>4553g8>:7?9;:m27<<72-8>;7?<2:l113<6?21d=>650;&112<6;;1e>8851998k450290/>8951208j73128307b?<6;29 73028997c<:6;3b?>i6;<0;6)<:7;306>h5=?0:n65`12694?"5=>0:??5a24495f=<g89;6=4+2459564<f;?=6<j4;n311?6=,;?<6<==;o062?7b32e:=l4?:%063?74:2d99;4>f:9l503=83.99:4>559m600=821d=8=50;&112<6==1e>8851:9l507=83.99:4>559m600=:21d=8>50;&112<6==1e>8853:9l51`=83.99:4>559m600=<21d=9k50;&112<6==1e>8855:9l51b=83.99:4>559m600=>21d=9m50;&112<6==1e>8857:9l51d=83.99:4>559m600=021d=9o50;&112<6==1e>8859:9l51?=83.99:4>559m600=i21d=9650;&112<6==1e>885b:9l510=83.99:4>559m600=k21d=9;50;&112<6==1e>885d:9l512=83.99:4>559m600=m21d=9=50;&112<6==1e>885f:9l514=83.99:4>559m600=9910c<:>:18'601=9<>0b?;9:038?j7383:1(?;8:077?k42>3;976a>3g83>!42?3;>86`=57827>=h9:o1<7*=568211=i:<<1=954o01g>5<#:<=1=8:4n375>43<3f;>o7>5$374>4333g8>:7?9;:m21g<72-8>;7?:4:l113<6?21d=8o50;&112<6==1e>8851998k43>290/>8951468j73128307b?:8;29 73028??7c<:6;3b?>i6=>0;6)<:7;360>h5=?0:n65`14494?"5=>0:995a24495f=<g8?96=4+2459502<f;?=6<j4;n373?6=,;?<6<;;;o062?7b32e:?n4?:%063?72<2d99;4>f:9l534=83.99:4>609m600=821d=;>50;&112<6>81e>8851:9l50`=83.99:4>609m600=:21d=8k50;&112<6>81e>8853:9l531=83.99:4>679m600=821d=;;50;&112<6>?1e>8851:9l532=83.99:4>679m600=:21d=;=50;&112<6>?1e>8853:9~f<36290h>7>50z&1`<<5j?1C?=;4H3fg?_0f2jq957<n:3f96`<4=39=6>9539805?552:31?l4r$0a7>6=#9j?1?6*>c780?!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1>6*>e781?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1d595=`<,8o36<6i;%0a3?4282.9n54=519m6fd=92d9on4>;%0g6?4282.:m?4<;%3b7?5<,;oh6?km;%0f`?4bj2d9ih4>;o0fb?7<f89i6=5a14f94>"5l80:4k5+2e796>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+2e496>o1l3:17d8j:188m22=831b;84?::k2<g<722c9oi4?::k1g`<722c:4n4?::k1`2<722c9h54?::m5b?6=3f=;6=44i5c94?"5=>0?56`=5783?>o303:1(?;8:5;8j7312810e9950;&112<312d99;4=;:k72?6=,;?<6974n375>6=<a=?1<7*=5687=>h5=?0?76g:3;29 7302=30b?;9:498m04=83.99:4;9:l113<132c>=7>5$374>1?<f;?=6:54i4294?"5=>0?56`=578;?>o3n3:1(?;8:5;8j7312010e9k50;&112<312d99;4n;:k7`?6=,;?<6974n375>g=<a=i1<7*=5687=>h5=?0h76g;b;29 7302=30b?;9:e98m12=83.99:4;9:l113<b32c>m7>5$374>0?<f;?=6=54i4:94?"5=>0>56`=5782?>o2?3:1(?;8:4;8j7312;10e8850;&112<212d99;4<;:k61?6=,;?<6874n375>1=<a?91<7*=5686=>h5=?0>76g92;29 7302<30b?;9:798m37=83.99:4:9:l113<032c=<7>5$374>0?<f;?=6554i4d94?"5=>0>56`=578:?>o2m3:1(?;8:4;8j7312h10e8j50;&112<212d99;4m;:k6g?6=,;?<6874n375>f=<a<h1<7*=5686=>h5=?0o76g:4;29 7302<30b?;9:d98mf0=83.99:4l5:l113<732ch87>5$374>f3<f;?=6<54ib094?"5=>0h96`=5781?>od93:1(?;8:b78j7312:10en>50;&112<d=2d99;4;;:kab?6=,;?<6n;4n375>0=<ako1<7*=568`1>h5=?0=76gmd;29 7302j?0b?;9:698mge=83.99:4l5:l113<?32cin7>5$374>f3<f;?=6454icc94?"5=>0h96`=578b?>oe13:1(?;8:b78j7312k10eo950;&112<d=2d99;4l;:ka2?6=,;?<6n;4n375>a=<ak?1<7*=568`1>h5=?0n76gm4;29 7302j?0b?;9:g98mg5=83.99:4l5:l113<6821bn?4?:%063?e23g8>:7?>;:ka5?6=,;?<6n;4n375>44<3`h;6=4+2459g0=i:<<1=>54i`d94?"5=>0h96`=57820>=nil0;6)<:7;a6?k42>3;>76gld;29 7302j?0b?;9:048?led290/>895c49m600=9>10enl50;&112<d=2d99;4>8:9jgd<72-8>;7m:;o062?7>32ch57>5$374>f3<f;?=6<o4;ha;>5<#:<=1o85a24495g=<aj=1<7*=568`1>h5=?0:o65fc283>!42?3i>7c<:6;3g?>oe03:1(?;8:b78j73128o07dok:18'601=k<1e>8851g98m`1=83.99:4j6:l113<732cn97>5$374>`0<f;?=6<54id194?"5=>0n:6`=5781?>ob:3:1(?;8:d48j7312:10eh?50;&112<b>2d99;4;;:kf4?6=,;?<6h84n375>0=<aml1<7*=568f2>h5=?0=76gke;29 7302l<0b?;9:698mab=83.99:4j6:l113<?32coo7>5$374>`0<f;?=6454ie`94?"5=>0n:6`=578b?>oci3:1(?;8:d48j7312k10ei650;&112<b>2d99;4l;:kg3?6=,;?<6h84n375>a=<am<1<7*=568f2>h5=?0n76gk5;29 7302l<0b?;9:g98ma2=83.99:4j6:l113<6821bh>4?:%063?c13g8>:7?>;:kg6?6=,;?<6h84n375>44<3`n:6=4+2459a3=i:<<1=>54ie294?"5=>0n:6`=57820>=nko0;6)<:7;g5?k42>3;>76gje;29 7302l<0b?;9:048?lcc290/>895e79m600=9>10ehm50;&112<b>2d99;4>8:9jag<72-8>;7k9;o062?7>32cnm7>5$374>`0<f;?=6<o4;hg:>5<#:<=1i;5a24495g=<al21<7*=568f2>h5=?0:o65fe583>!42?3o=7c<:6;3g?>oc13:1(?;8:d48j73128o07dmj:18'601=m?1e>8851g98m473290/>8951018j7312910e<?=:18'601=9890b?;9:098m476290/>8951018j7312;10e<??:18'601=9890b?;9:298m47>290/>89510:8j7312910e<?8:18'601=9820b?;9:098m471290/>89510:8j7312;10e<?::18'601=9820b?;9:298m7c2290/>8952d68j7312910e?k<:18'601=:l>0b?;9:098m7c5290/>8952d68j7312;10e?k>:18'601=:l>0b?;9:298m7cf290/>8952d;8j7312910e?k7:18'601=:l30b?;9:098m7c0290/>8952d;8j7312;10e?k9:18'601=:l30b?;9:298k454290/>8951208j7312910c<=>:18'601=9:80b?;9:098k44a290/>8951208j7312;10c<<j:18'601=9:80b?;9:298k44c290/>8951208j7312=10c<<l:18'601=9:80b?;9:498k44e290/>8951208j7312?10c<<n:18'601=9:80b?;9:698k44>290/>8951208j7312110c<<7:18'601=9:80b?;9:898k440290/>8951208j7312h10c<<9:18'601=9:80b?;9:c98k443290/>8951208j7312j10c<<<:18'601=9:80b?;9:e98k445290/>8951208j7312l10c<<>:18'601=9:80b?;9:g98k447290/>8951208j73128:07b?>f;29 73028997c<:6;32?>i69l0;6)<:7;306>h5=?0:>65`10f94?"5=>0:??5a244956=<g8;h6=4+2459564<f;?=6<:4;n32f?6=,;?<6<==;o062?7232e:?l4?:%063?74:2d99;4>6:9l56?=83.99:4>339m600=9>10c<=7:18'601=9:80b?;9:0:8?j74?3:1(?;8:011?k42>3;276a>3783>!42?3;8>6`=5782e>=h9:?1<7*=568277=i:<<1=o54o017>5<#:<=1=><4n375>4e<3f;8<7>5$374>4553g8>:7?k;:m260<72-8>;7?<2:l113<6m21d=<o50;&112<6;;1e>8851g98k432290/>8951468j7312910c<;<:18'601=9<>0b?;9:098k436290/>8951468j7312;10c<;?:18'601=9<>0b?;9:298k42a290/>8951468j7312=10c<:j:18'601=9<>0b?;9:498k42c290/>8951468j7312?10c<:l:18'601=9<>0b?;9:698k42e290/>8951468j7312110c<:n:18'601=9<>0b?;9:898k42>290/>8951468j7312h10c<:7:18'601=9<>0b?;9:c98k421290/>8951468j7312j10c<:::18'601=9<>0b?;9:e98k423290/>8951468j7312l10c<:<:18'601=9<>0b?;9:g98k425290/>8951468j73128:07b?;1;29 73028??7c<:6;32?>i6<90;6)<:7;360>h5=?0:>65`12d94?"5=>0:995a244956=<g89n6=4+2459502<f;?=6<:4;n30`?6=,;?<6<;;;o062?7232e:9n4?:%063?72<2d99;4>6:9l50d=83.99:4>559m600=9>10c<;n:18'601=9<>0b?;9:0:8?j7213:1(?;8:077?k42>3;276a>5983>!42?3;>86`=5782e>=h9<=1<7*=568211=i:<<1=o54o075>5<#:<=1=8:4n375>4e<3f;>>7>5$374>4333g8>:7?k;:m202<72-8>;7?:4:l113<6m21d=>m50;&112<6==1e>8851g98k405290/>8951738j7312910c<8?:18'601=9?;0b?;9:098k43a290/>8951738j7312;10c<;j:18'601=9?;0b?;9:298k400290/>8951748j7312910c<8::18'601=9?<0b?;9:098k403290/>8951748j7312;10c<8<:18'601=9?<0b?;9:298yg?2:3:1o?4?:1y'6a?=:k<0D>>:;I0g`>\1i3ip>44=a;0g>7c=;<08:7=8:2:974<4:3926>o5}%3`0?5<,8i>6>5+1b497>"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6?5+1d496>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0g4>4>a3-;n47?7f:&1f2<5=91/>o652428j7ee281e>nm51:&1`7<5=91/=l<53:&2e6<43-8no7<jb:&1aa<5mk1e>hk51:l1ac<63g;8n7>4n07g>5=#:m;1=5h4$3f6>7=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$3f5>7=n>m0;66g9e;29?l132900e:;50;9j5=d=831b>nj50;9j6fc=831b=5m50;9j6a1=831b>i650;9l2c<722e<<7>5;h6b>5<#:<=1845a24494>=n<10;6)<:7;6:?k42>3;07d:8:18'601=<01e>8852:9j03<72-8>;7:6;o062?5<3`>>6=4+24590<=i:<<1865f5283>!42?3>27c<:6;78?l35290/>895489m600=>21b9<4?:%063?2>3g8>:794;h73>5<#:<=1845a2449<>=n<o0;6)<:7;6:?k42>3307d:j:18'601=<01e>885a:9j0a<72-8>;7:6;o062?d<3`>h6=4+24590<=i:<<1o65f4c83>!42?3>27c<:6;f8?l23290/>895489m600=m21b9l4?:%063?3>3g8>:7>4;h7;>5<#:<=1945a24495>=n=>0;6)<:7;7:?k42>3807d;9:18'601==01e>8853:9j10<72-8>;7;6;o062?2<3`<86=4+24591<=i:<<1965f6383>!42?3?27c<:6;48?l06290/>895589m600=?21b:=4?:%063?3>3g8>:764;h7e>5<#:<=1945a2449=>=n=l0;6)<:7;7:?k42>3k07d;k:18'601==01e>885b:9j1f<72-8>;7;6;o062?e<3`?i6=4+24591<=i:<<1h65f5583>!42?3?27c<:6;g8?le1290/>895c49m600=821bo94?:%063?e23g8>:7?4;ha1>5<#:<=1o85a24496>=nk80;6)<:7;a6?k42>3907dm?:18'601=k<1e>8854:9jfc<72-8>;7m:;o062?3<3`hn6=4+2459g0=i:<<1:65fbe83>!42?3i>7c<:6;58?ldd290/>895c49m600=021bno4?:%063?e23g8>:774;h`b>5<#:<=1o85a2449e>=nj00;6)<:7;a6?k42>3h07dl8:18'601=k<1e>885c:9jf3<72-8>;7m:;o062?b<3`h>6=4+2459g0=i:<<1i65fb583>!42?3i>7c<:6;d8?ld4290/>895c49m600=9910eo<50;&112<d=2d99;4>1:9jf4<72-8>;7m:;o062?7532ci<7>5$374>f3<f;?=6<=4;hce>5<#:<=1o85a244951=<aho1<7*=568`1>h5=?0:965fce83>!42?3i>7c<:6;35?>odk3:1(?;8:b78j73128=07dmm:18'601=k<1e>8851998mfg=83.99:4l5:l113<6121bo44?:%063?e23g8>:7?n;:k`<?6=,;?<6n;4n375>4d<3`i<6=4+2459g0=i:<<1=n54ib194?"5=>0h96`=5782`>=nj10;6)<:7;a6?k42>3;n76gnd;29 7302j?0b?;9:0d8?lc0290/>895e79m600=821bi84?:%063?c13g8>:7?4;hg0>5<#:<=1i;5a24496>=nm;0;6)<:7;g5?k42>3907dk>:18'601=m?1e>8854:9ja5<72-8>;7k9;o062?3<3`nm6=4+2459a3=i:<<1:65fdd83>!42?3o=7c<:6;58?lbc290/>895e79m600=021bhn4?:%063?c13g8>:774;hfa>5<#:<=1i;5a2449e>=nlh0;6)<:7;g5?k42>3h07dj7:18'601=m?1e>885c:9j`2<72-8>;7k9;o062?b<3`n=6=4+2459a3=i:<<1i65fd483>!42?3o=7c<:6;d8?lb3290/>895e79m600=9910ei=50;&112<b>2d99;4>1:9j`7<72-8>;7k9;o062?7532co=7>5$374>`0<f;?=6<=4;hf3>5<#:<=1i;5a244951=<ajl1<7*=568f2>h5=?0:965fed83>!42?3o=7c<:6;35?>obl3:1(?;8:d48j73128=07dkl:18'601=m?1e>8851998m`d=83.99:4j6:l113<6121bil4?:%063?c13g8>:7?n;:kf=?6=,;?<6h84n375>4d<3`o36=4+2459a3=i:<<1=n54id694?"5=>0n:6`=5782`>=nl00;6)<:7;g5?k42>3;n76gle;29 7302l<0b?;9:0d8?l76<3:1(?;8:030?k42>3:07d?>2;29 73028;87c<:6;38?l7693:1(?;8:030?k42>3807d?>0;29 73028;87c<:6;18?l7613:1(?;8:03;?k42>3:07d?>7;29 73028;37c<:6;38?l76>3:1(?;8:03;?k42>3807d?>5;29 73028;37c<:6;18?l4b=3:1(?;8:3g7?k42>3:07d<j3;29 7302;o?7c<:6;38?l4b:3:1(?;8:3g7?k42>3807d<j1;29 7302;o?7c<:6;18?l4bi3:1(?;8:3g:?k42>3:07d<j8;29 7302;o27c<:6;38?l4b?3:1(?;8:3g:?k42>3807d<j6;29 7302;o27c<:6;18?j74;3:1(?;8:011?k42>3:07b?<1;29 73028997c<:6;38?j75n3:1(?;8:011?k42>3807b?=e;29 73028997c<:6;18?j75l3:1(?;8:011?k42>3>07b?=c;29 73028997c<:6;78?j75j3:1(?;8:011?k42>3<07b?=a;29 73028997c<:6;58?j7513:1(?;8:011?k42>3207b?=8;29 73028997c<:6;;8?j75?3:1(?;8:011?k42>3k07b?=6;29 73028997c<:6;`8?j75<3:1(?;8:011?k42>3i07b?=3;29 73028997c<:6;f8?j75:3:1(?;8:011?k42>3o07b?=1;29 73028997c<:6;d8?j7583:1(?;8:011?k42>3;;76a>1g83>!42?3;8>6`=57825>=h98o1<7*=568277=i:<<1=?54o03g>5<#:<=1=><4n375>45<3f;:o7>5$374>4553g8>:7?;;:m25g<72-8>;7?<2:l113<6=21d=>o50;&112<6;;1e>8851798k45>290/>8951208j73128=07b?<8;29 73028997c<:6;3;?>i6;>0;6)<:7;306>h5=?0:565`12494?"5=>0:??5a24495d=<g89>6=4+2459564<f;?=6<l4;n300?6=,;?<6<==;o062?7d32e:?=4?:%063?74:2d99;4>d:9l573=83.99:4>339m600=9l10c<?n:18'601=9:80b?;9:0d8?j72=3:1(?;8:077?k42>3:07b?:3;29 73028??7c<:6;38?j7293:1(?;8:077?k42>3807b?:0;29 73028??7c<:6;18?j73n3:1(?;8:077?k42>3>07b?;e;29 73028??7c<:6;78?j73l3:1(?;8:077?k42>3<07b?;c;29 73028??7c<:6;58?j73j3:1(?;8:077?k42>3207b?;a;29 73028??7c<:6;;8?j7313:1(?;8:077?k42>3k07b?;8;29 73028??7c<:6;`8?j73>3:1(?;8:077?k42>3i07b?;5;29 73028??7c<:6;f8?j73<3:1(?;8:077?k42>3o07b?;3;29 73028??7c<:6;d8?j73:3:1(?;8:077?k42>3;;76a>4083>!42?3;>86`=57825>=h9=:1<7*=568211=i:<<1=?54o01e>5<#:<=1=8:4n375>45<3f;8i7>5$374>4333g8>:7?;;:m27a<72-8>;7?:4:l113<6=21d=8m50;&112<6==1e>8851798k43e290/>8951468j73128=07b?:a;29 73028??7c<:6;3;?>i6=00;6)<:7;360>h5=?0:565`14:94?"5=>0:995a24495d=<g8?<6=4+2459502<f;?=6<l4;n362?6=,;?<6<;;;o062?7d32e:9?4?:%063?72<2d99;4>d:9l511=83.99:4>559m600=9l10c<=l:18'601=9<>0b?;9:0d8?j71:3:1(?;8:042?k42>3:07b?90;29 73028<:7c<:6;38?j72n3:1(?;8:042?k42>3807b?:e;29 73028<:7c<:6;18?j71?3:1(?;8:045?k42>3:07b?95;29 73028<=7c<:6;38?j71<3:1(?;8:045?k42>3807b?93;29 73028<=7c<:6;18?xd>=:0;6n<50;2x 7b>2;h=7E=?5:J1`a=]>h0hw?752`81`?4b2:?1?;4<7;1;>67=;;0857=n:|&2g1<43-;h97=4$0a5>6=#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97<4$0g5>7=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3f3?7?n2.:i54>8g9'6g1=:<:0(?l7:373?k4dj3;0b?ml:09'6a4=:<:0(<o=:29'5d5=;2.9in4=ec9'6`b=:lh0b?kj:09m6``=92d:?o4?;o36`?6<,;n:6<6i;%0g1?4<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%0g2?4<a?n1<75f6d83>>o0<3:17d9::188m4>e2900e?mk:188m7eb2900e<6l:188m7b02900e?j7:188k3`=831d;=4?::k7e?6=,;?<6974n375>5=<a=21<7*=5687=>h5=?0:76g;7;29 7302=30b?;9:398m10=83.99:4;9:l113<432c?97>5$374>1?<f;?=6954i4194?"5=>0?56`=5786?>o2:3:1(?;8:5;8j7312?10e8?50;&112<312d99;48;:k64?6=,;?<6974n375>==<a=l1<7*=5687=>h5=?0276g;e;29 7302=30b?;9:`98m1b=83.99:4;9:l113<e32c?o7>5$374>1?<f;?=6n54i5`94?"5=>0?56`=578g?>o3<3:1(?;8:5;8j7312l10e8o50;&112<212d99;4?;:k6<?6=,;?<6874n375>4=<a<=1<7*=5686=>h5=?0976g:6;29 7302<30b?;9:298m03=83.99:4:9:l113<332c=?7>5$374>0?<f;?=6854i7094?"5=>0>56`=5785?>o193:1(?;8:4;8j7312>10e;>50;&112<212d99;47;:k6b?6=,;?<6874n375><=<a<o1<7*=5686=>h5=?0j76g:d;29 7302<30b?;9:c98m0e=83.99:4:9:l113<d32c>n7>5$374>0?<f;?=6i54i4694?"5=>0>56`=578f?>od>3:1(?;8:b78j7312910en:50;&112<d=2d99;4>;:k`6?6=,;?<6n;4n375>7=<aj;1<7*=568`1>h5=?0876gl0;29 7302j?0b?;9:598mg`=83.99:4l5:l113<232cii7>5$374>f3<f;?=6;54icf94?"5=>0h96`=5784?>oek3:1(?;8:b78j7312110eol50;&112<d=2d99;46;:kae?6=,;?<6n;4n375>d=<ak31<7*=568`1>h5=?0i76gm7;29 7302j?0b?;9:b98mg0=83.99:4l5:l113<c32ci97>5$374>f3<f;?=6h54ic694?"5=>0h96`=578e?>oe;3:1(?;8:b78j73128:07dl=:18'601=k<1e>8851098mg7=83.99:4l5:l113<6:21bn=4?:%063?e23g8>:7?<;:kbb?6=,;?<6n;4n375>42<3`kn6=4+2459g0=i:<<1=854ibf94?"5=>0h96`=57822>=nkj0;6)<:7;a6?k42>3;<76glb;29 7302j?0b?;9:0:8?lef290/>895c49m600=9010en750;&112<d=2d99;4>a:9jg=<72-8>;7m:;o062?7e32ch;7>5$374>f3<f;?=6<m4;ha0>5<#:<=1o85a24495a=<ak21<7*=568`1>h5=?0:i65fae83>!42?3i>7c<:6;3e?>ob?3:1(?;8:d48j7312910eh;50;&112<b>2d99;4>;:kf7?6=,;?<6h84n375>7=<al81<7*=568f2>h5=?0876gj1;29 7302l<0b?;9:598m`6=83.99:4j6:l113<232coj7>5$374>`0<f;?=6;54ieg94?"5=>0n:6`=5784?>ocl3:1(?;8:d48j7312110eim50;&112<b>2d99;46;:kgf?6=,;?<6h84n375>d=<amk1<7*=568f2>h5=?0i76gk8;29 7302l<0b?;9:b98ma1=83.99:4j6:l113<c32co:7>5$374>`0<f;?=6h54ie794?"5=>0n:6`=578e?>oc<3:1(?;8:d48j73128:07dj<:18'601=m?1e>8851098ma4=83.99:4j6:l113<6:21bh<4?:%063?c13g8>:7?<;:kg4?6=,;?<6h84n375>42<3`im6=4+2459a3=i:<<1=854idg94?"5=>0n:6`=57822>=nmm0;6)<:7;g5?k42>3;<76gjc;29 7302l<0b?;9:0:8?lce290/>895e79m600=9010eho50;&112<b>2d99;4>a:9ja<<72-8>;7k9;o062?7e32cn47>5$374>`0<f;?=6<m4;hg7>5<#:<=1i;5a24495a=<am31<7*=568f2>h5=?0:i65fcd83>!42?3o=7c<:6;3e?>o69=0;6)<:7;327>h5=?0;76g>1383>!42?3;:?6`=5782?>o6980;6)<:7;327>h5=?0976g>1183>!42?3;:?6`=5780?>o6900;6)<:7;32<>h5=?0;76g>1683>!42?3;:46`=5782?>o69?0;6)<:7;32<>h5=?0976g>1483>!42?3;:46`=5780?>o5m<0;6)<:7;0f0>h5=?0;76g=e283>!42?38n86`=5782?>o5m;0;6)<:7;0f0>h5=?0976g=e083>!42?38n86`=5780?>o5mh0;6)<:7;0f=>h5=?0;76g=e983>!42?38n56`=5782?>o5m>0;6)<:7;0f=>h5=?0976g=e783>!42?38n56`=5780?>i6;:0;6)<:7;306>h5=?0;76a>3083>!42?3;8>6`=5782?>i6:o0;6)<:7;306>h5=?0976a>2d83>!42?3;8>6`=5780?>i6:m0;6)<:7;306>h5=?0?76a>2b83>!42?3;8>6`=5786?>i6:k0;6)<:7;306>h5=?0=76a>2`83>!42?3;8>6`=5784?>i6:00;6)<:7;306>h5=?0376a>2983>!42?3;8>6`=578:?>i6:>0;6)<:7;306>h5=?0j76a>2783>!42?3;8>6`=578a?>i6:=0;6)<:7;306>h5=?0h76a>2283>!42?3;8>6`=578g?>i6:;0;6)<:7;306>h5=?0n76a>2083>!42?3;8>6`=578e?>i6:90;6)<:7;306>h5=?0:<65`10d94?"5=>0:??5a244954=<g8;n6=4+2459564<f;?=6<<4;n32`?6=,;?<6<==;o062?7432e:=n4?:%063?74:2d99;4>4:9l54d=83.99:4>339m600=9<10c<=n:18'601=9:80b?;9:048?j7413:1(?;8:011?k42>3;<76a>3983>!42?3;8>6`=5782<>=h9:=1<7*=568277=i:<<1=454o015>5<#:<=1=><4n375>4g<3f;897>5$374>4553g8>:7?m;:m271<72-8>;7?<2:l113<6k21d=>>50;&112<6;;1e>8851e98k442290/>8951208j73128o07b?>a;29 73028997c<:6;3e?>i6=<0;6)<:7;360>h5=?0;76a>5283>!42?3;>86`=5782?>i6=80;6)<:7;360>h5=?0976a>5183>!42?3;>86`=5780?>i6<o0;6)<:7;360>h5=?0?76a>4d83>!42?3;>86`=5786?>i6<m0;6)<:7;360>h5=?0=76a>4b83>!42?3;>86`=5784?>i6<k0;6)<:7;360>h5=?0376a>4`83>!42?3;>86`=578:?>i6<00;6)<:7;360>h5=?0j76a>4983>!42?3;>86`=578a?>i6<?0;6)<:7;360>h5=?0h76a>4483>!42?3;>86`=578g?>i6<=0;6)<:7;360>h5=?0n76a>4283>!42?3;>86`=578e?>i6<;0;6)<:7;360>h5=?0:<65`15394?"5=>0:995a244954=<g8>;6=4+2459502<f;?=6<<4;n30b?6=,;?<6<;;;o062?7432e:?h4?:%063?72<2d99;4>4:9l56b=83.99:4>559m600=9<10c<;l:18'601=9<>0b?;9:048?j72j3:1(?;8:077?k42>3;<76a>5`83>!42?3;>86`=5782<>=h9<31<7*=568211=i:<<1=454o07;>5<#:<=1=8:4n375>4g<3f;>;7>5$374>4333g8>:7?m;:m213<72-8>;7?:4:l113<6k21d=8<50;&112<6==1e>8851e98k420290/>8951468j73128o07b?<c;29 73028??7c<:6;3e?>i6>;0;6)<:7;355>h5=?0;76a>6183>!42?3;==6`=5782?>i6=o0;6)<:7;355>h5=?0976a>5d83>!42?3;==6`=5780?>i6>>0;6)<:7;352>h5=?0;76a>6483>!42?3;=:6`=5782?>i6>=0;6)<:7;352>h5=?0976a>6283>!42?3;=:6`=5780?>{e1<>1<7m=:183\7f!4c138i:6F<049K6ab<R?k1ov<6:3c96a<5m39>6>853680<?562:81?44<a;\7f'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=380(<k9:39'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?j7;3;b>"6m10:4k5+2c59606<,;h36?;?;o0`f??<f;ih645+2e09606<,8k96>5+1`197>"5mj09io5+2df96`d<f;on645a2dd9=>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43g;8n7>4n07g>5=#:m;1=5h4$3f6>7=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&1`3<53`<o6=44i7g94?=n?=0;66g85;29?l7?j3:17d<ld;29?l4dm3:17d?7c;29?l4c?3:17d<k8;29?j0a2900c:>50;9j0d<72-8>;7:6;o062?6<3`>36=4+24590<=i:<<1=65f4683>!42?3>27c<:6;08?l21290/>895489m600=;21b884?:%063?2>3g8>:7:4;h70>5<#:<=1845a24491>=n=;0;6)<:7;6:?k42>3<07d;>:18'601=<01e>8857:9j15<72-8>;7:6;o062?><3`>m6=4+24590<=i:<<1565f4d83>!42?3>27c<:6;c8?l2c290/>895489m600=j21b8n4?:%063?2>3g8>:7m4;h6a>5<#:<=1845a2449`>=n<=0;6)<:7;6:?k42>3o07d;n:18'601==01e>8850:9j1=<72-8>;7;6;o062?7<3`?<6=4+24591<=i:<<1>65f5783>!42?3?27c<:6;18?l32290/>895589m600=<21b:>4?:%063?3>3g8>:7;4;h41>5<#:<=1945a24492>=n>80;6)<:7;7:?k42>3=07d8?:18'601==01e>8858:9j1c<72-8>;7;6;o062??<3`?n6=4+24591<=i:<<1m65f5e83>!42?3?27c<:6;`8?l3d290/>895589m600=k21b9o4?:%063?3>3g8>:7j4;h77>5<#:<=1945a2449a>=nk?0;6)<:7;a6?k42>3:07dm;:18'601=k<1e>8851:9jg7<72-8>;7m:;o062?4<3`i:6=4+2459g0=i:<<1?65fc183>!42?3i>7c<:6;68?lda290/>895c49m600==21bnh4?:%063?e23g8>:784;h`g>5<#:<=1o85a24493>=njj0;6)<:7;a6?k42>3207dlm:18'601=k<1e>8859:9jfd<72-8>;7m:;o062?g<3`h26=4+2459g0=i:<<1n65fb683>!42?3i>7c<:6;a8?ld1290/>895c49m600=l21bn84?:%063?e23g8>:7k4;h`7>5<#:<=1o85a2449b>=nj:0;6)<:7;a6?k42>3;;76gm2;29 7302j?0b?;9:038?ld6290/>895c49m600=9;10eo>50;&112<d=2d99;4>3:9jec<72-8>;7m:;o062?7332cji7>5$374>f3<f;?=6<;4;hag>5<#:<=1o85a244953=<aji1<7*=568`1>h5=?0:;65fcc83>!42?3i>7c<:6;3;?>odi3:1(?;8:b78j73128307dm6:18'601=k<1e>8851`98mf>=83.99:4l5:l113<6j21bo:4?:%063?e23g8>:7?l;:k`7?6=,;?<6n;4n375>4b<3`h36=4+2459g0=i:<<1=h54i`f94?"5=>0h96`=5782b>=nm>0;6)<:7;g5?k42>3:07dk::18'601=m?1e>8851:9ja6<72-8>;7k9;o062?4<3`o96=4+2459a3=i:<<1?65fe083>!42?3o=7c<:6;68?lc7290/>895e79m600==21bhk4?:%063?c13g8>:784;hff>5<#:<=1i;5a24493>=nlm0;6)<:7;g5?k42>3207djl:18'601=m?1e>8859:9j`g<72-8>;7k9;o062?g<3`nj6=4+2459a3=i:<<1n65fd983>!42?3o=7c<:6;a8?lb0290/>895e79m600=l21bh;4?:%063?c13g8>:7k4;hf6>5<#:<=1i;5a2449b>=nl=0;6)<:7;g5?k42>3;;76gk3;29 7302l<0b?;9:038?lb5290/>895e79m600=9;10ei?50;&112<b>2d99;4>3:9j`5<72-8>;7k9;o062?7332chj7>5$374>`0<f;?=6<;4;hgf>5<#:<=1i;5a244953=<aln1<7*=568f2>h5=?0:;65feb83>!42?3o=7c<:6;3;?>obj3:1(?;8:d48j73128307dkn:18'601=m?1e>8851`98m`?=83.99:4j6:l113<6j21bi54?:%063?c13g8>:7?l;:kf0?6=,;?<6h84n375>4b<3`n26=4+2459a3=i:<<1=h54ibg94?"5=>0n:6`=5782b>=n98>1<7*=568256=i:<<1<65f10094?"5=>0:=>5a24495>=n98;1<7*=568256=i:<<1>65f10294?"5=>0:=>5a24497>=n9831<7*=56825==i:<<1<65f10594?"5=>0:=55a24495>=n98<1<7*=56825==i:<<1>65f10794?"5=>0:=55a24497>=n:l?1<7*=5681a1=i:<<1<65f2d194?"5=>09i95a24495>=n:l81<7*=5681a1=i:<<1>65f2d394?"5=>09i95a24497>=n:lk1<7*=5681a<=i:<<1<65f2d:94?"5=>09i45a24495>=n:l=1<7*=5681a<=i:<<1>65f2d494?"5=>09i45a24497>=h9:91<7*=568277=i:<<1<65`12394?"5=>0:??5a24495>=h9;l1<7*=568277=i:<<1>65`13g94?"5=>0:??5a24497>=h9;n1<7*=568277=i:<<1865`13a94?"5=>0:??5a24491>=h9;h1<7*=568277=i:<<1:65`13c94?"5=>0:??5a24493>=h9;31<7*=568277=i:<<1465`13:94?"5=>0:??5a2449=>=h9;=1<7*=568277=i:<<1m65`13494?"5=>0:??5a2449f>=h9;>1<7*=568277=i:<<1o65`13194?"5=>0:??5a2449`>=h9;81<7*=568277=i:<<1i65`13394?"5=>0:??5a2449b>=h9;:1<7*=568277=i:<<1==54o03e>5<#:<=1=><4n375>47<3f;:i7>5$374>4553g8>:7?=;:m25a<72-8>;7?<2:l113<6;21d=<m50;&112<6;;1e>8851598k47e290/>8951208j73128?07b?<a;29 73028997c<:6;35?>i6;00;6)<:7;306>h5=?0:;65`12:94?"5=>0:??5a24495==<g89<6=4+2459564<f;?=6<74;n302?6=,;?<6<==;o062?7f32e:?84?:%063?74:2d99;4>b:9l562=83.99:4>339m600=9j10c<=?:18'601=9:80b?;9:0f8?j75=3:1(?;8:011?k42>3;n76a>1`83>!42?3;8>6`=5782b>=h9<?1<7*=568211=i:<<1<65`14194?"5=>0:995a24495>=h9<;1<7*=568211=i:<<1>65`14294?"5=>0:995a24497>=h9=l1<7*=568211=i:<<1865`15g94?"5=>0:995a24491>=h9=n1<7*=568211=i:<<1:65`15a94?"5=>0:995a24493>=h9=h1<7*=568211=i:<<1465`15c94?"5=>0:995a2449=>=h9=31<7*=568211=i:<<1m65`15:94?"5=>0:995a2449f>=h9=<1<7*=568211=i:<<1o65`15794?"5=>0:995a2449`>=h9=>1<7*=568211=i:<<1i65`15194?"5=>0:995a2449b>=h9=81<7*=568211=i:<<1==54o062>5<#:<=1=8:4n375>47<3f;?<7>5$374>4333g8>:7?=;:m27c<72-8>;7?:4:l113<6;21d=>k50;&112<6==1e>8851598k45c290/>8951468j73128?07b?:c;29 73028??7c<:6;35?>i6=k0;6)<:7;360>h5=?0:;65`14c94?"5=>0:995a24495==<g8?26=4+2459502<f;?=6<74;n36<?6=,;?<6<;;;o062?7f32e:9:4?:%063?72<2d99;4>b:9l500=83.99:4>559m600=9j10c<;=:18'601=9<>0b?;9:0f8?j73?3:1(?;8:077?k42>3;n76a>3b83>!42?3;>86`=5782b>=h9?81<7*=568224=i:<<1<65`17294?"5=>0::<5a24495>=h9<l1<7*=568224=i:<<1>65`14g94?"5=>0::<5a24497>=h9?=1<7*=568223=i:<<1<65`17794?"5=>0::;5a24495>=h9?>1<7*=568223=i:<<1>65`17194?"5=>0::;5a24497>=zj0?>6=4l2;294~"5l009n;5G3178L7bc3S<j6nu=9;0b>7b=:l0897=9:2597=<493996>753`8~ 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<097)?j6;08 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>e682<c=#9l21=5h4$3`4>7373-8i47<:0:l1gg<>3g8ho774$3f1>7373-;j>7=4$0c0>6=#:li1>hl4$3gg>7ce3g8ni774n3ge><=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2d:?o4?;o36`?6<,;n:6<6i;%0g1?4<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'6a0=:2c=h7>5;h4f>5<<a>>1<75f7483>>o60k0;66g=ce83>>o5kl0;66g>8b83>>o5l>0;66g=d983>>i1n3:17b9?:188m1g=83.99:4;9:l113<732c?47>5$374>1?<f;?=6<54i5594?"5=>0?56`=5781?>o3>3:1(?;8:5;8j7312:10e9;50;&112<312d99;4;;:k67?6=,;?<6974n375>0=<a<81<7*=5687=>h5=?0=76g:1;29 7302=30b?;9:698m06=83.99:4;9:l113<?32c?j7>5$374>1?<f;?=6454i5g94?"5=>0?56`=578b?>o3l3:1(?;8:5;8j7312k10e9m50;&112<312d99;4l;:k7f?6=,;?<6974n375>a=<a=>1<7*=5687=>h5=?0n76g:a;29 7302<30b?;9:198m0>=83.99:4:9:l113<632c>;7>5$374>0?<f;?=6?54i4494?"5=>0>56`=5780?>o2=3:1(?;8:4;8j7312=10e;=50;&112<212d99;4:;:k56?6=,;?<6874n375>3=<a?;1<7*=5686=>h5=?0<76g90;29 7302<30b?;9:998m0`=83.99:4:9:l113<>32c>i7>5$374>0?<f;?=6l54i4f94?"5=>0>56`=578a?>o2k3:1(?;8:4;8j7312j10e8l50;&112<212d99;4k;:k60?6=,;?<6874n375>`=<aj<1<7*=568`1>h5=?0;76gl4;29 7302j?0b?;9:098mf4=83.99:4l5:l113<532ch=7>5$374>f3<f;?=6>54ib294?"5=>0h96`=5787?>oen3:1(?;8:b78j7312<10eok50;&112<d=2d99;49;:ka`?6=,;?<6n;4n375>2=<aki1<7*=568`1>h5=?0376gmb;29 7302j?0b?;9:898mgg=83.99:4l5:l113<f32ci57>5$374>f3<f;?=6o54ic594?"5=>0h96`=578`?>oe>3:1(?;8:b78j7312m10eo;50;&112<d=2d99;4j;:ka0?6=,;?<6n;4n375>c=<ak91<7*=568`1>h5=?0:<65fb383>!42?3i>7c<:6;32?>oe93:1(?;8:b78j73128807dl?:18'601=k<1e>8851298md`=83.99:4l5:l113<6<21bmh4?:%063?e23g8>:7?:;:k``?6=,;?<6n;4n375>40<3`ih6=4+2459g0=i:<<1=:54ib`94?"5=>0h96`=5782<>=nkh0;6)<:7;a6?k42>3;276gl9;29 7302j?0b?;9:0c8?le?290/>895c49m600=9k10en950;&112<d=2d99;4>c:9jg6<72-8>;7m:;o062?7c32ci47>5$374>f3<f;?=6<k4;hcg>5<#:<=1o85a24495c=<al=1<7*=568f2>h5=?0;76gj5;29 7302l<0b?;9:098m`5=83.99:4j6:l113<532cn>7>5$374>`0<f;?=6>54id394?"5=>0n:6`=5787?>ob83:1(?;8:d48j7312<10eih50;&112<b>2d99;49;:kga?6=,;?<6h84n375>2=<amn1<7*=568f2>h5=?0376gkc;29 7302l<0b?;9:898mad=83.99:4j6:l113<f32com7>5$374>`0<f;?=6o54ie:94?"5=>0n:6`=578`?>oc?3:1(?;8:d48j7312m10ei850;&112<b>2d99;4j;:kg1?6=,;?<6h84n375>c=<am>1<7*=568f2>h5=?0:<65fd283>!42?3o=7c<:6;32?>oc:3:1(?;8:d48j73128807dj>:18'601=m?1e>8851298ma6=83.99:4j6:l113<6<21bok4?:%063?c13g8>:7?:;:kfa?6=,;?<6h84n375>40<3`oo6=4+2459a3=i:<<1=:54ida94?"5=>0n:6`=5782<>=nmk0;6)<:7;g5?k42>3;276gja;29 7302l<0b?;9:0c8?lc>290/>895e79m600=9k10eh650;&112<b>2d99;4>c:9ja1<72-8>;7k9;o062?7c32co57>5$374>`0<f;?=6<k4;haf>5<#:<=1i;5a24495c=<a8;?6=4+2459545<f;?=6=54i031>5<#:<=1=<=4n375>4=<a8;:6=4+2459545<f;?=6?54i033>5<#:<=1=<=4n375>6=<a8;26=4+245954><f;?=6=54i034>5<#:<=1=<64n375>4=<a8;=6=4+245954><f;?=6?54i036>5<#:<=1=<64n375>6=<a;o>6=4+24596`2<f;?=6=54i3g0>5<#:<=1>h:4n375>4=<a;o96=4+24596`2<f;?=6?54i3g2>5<#:<=1>h:4n375>6=<a;oj6=4+24596`?<f;?=6=54i3g;>5<#:<=1>h74n375>4=<a;o<6=4+24596`?<f;?=6?54i3g5>5<#:<=1>h74n375>6=<g8986=4+2459564<f;?=6=54o012>5<#:<=1=><4n375>4=<g88m6=4+2459564<f;?=6?54o00f>5<#:<=1=><4n375>6=<g88o6=4+2459564<f;?=6954o00`>5<#:<=1=><4n375>0=<g88i6=4+2459564<f;?=6;54o00b>5<#:<=1=><4n375>2=<g8826=4+2459564<f;?=6554o00;>5<#:<=1=><4n375><=<g88<6=4+2459564<f;?=6l54o005>5<#:<=1=><4n375>g=<g88?6=4+2459564<f;?=6n54o000>5<#:<=1=><4n375>a=<g8896=4+2459564<f;?=6h54o002>5<#:<=1=><4n375>c=<g88;6=4+2459564<f;?=6<>4;n32b?6=,;?<6<==;o062?7632e:=h4?:%063?74:2d99;4>2:9l54b=83.99:4>339m600=9:10c<?l:18'601=9:80b?;9:068?j76j3:1(?;8:011?k42>3;>76a>3`83>!42?3;8>6`=57822>=h9:31<7*=568277=i:<<1=:54o01;>5<#:<=1=><4n375>4><3f;8;7>5$374>4553g8>:7?6;:m273<72-8>;7?<2:l113<6i21d=>;50;&112<6;;1e>8851c98k453290/>8951208j73128i07b?<0;29 73028997c<:6;3g?>i6:<0;6)<:7;306>h5=?0:i65`10c94?"5=>0:??5a24495c=<g8?>6=4+2459502<f;?=6=54o070>5<#:<=1=8:4n375>4=<g8?:6=4+2459502<f;?=6?54o073>5<#:<=1=8:4n375>6=<g8>m6=4+2459502<f;?=6954o06f>5<#:<=1=8:4n375>0=<g8>o6=4+2459502<f;?=6;54o06`>5<#:<=1=8:4n375>2=<g8>i6=4+2459502<f;?=6554o06b>5<#:<=1=8:4n375><=<g8>26=4+2459502<f;?=6l54o06;>5<#:<=1=8:4n375>g=<g8>=6=4+2459502<f;?=6n54o066>5<#:<=1=8:4n375>a=<g8>?6=4+2459502<f;?=6h54o060>5<#:<=1=8:4n375>c=<g8>96=4+2459502<f;?=6<>4;n375?6=,;?<6<;;;o062?7632e:8=4?:%063?72<2d99;4>2:9l56`=83.99:4>559m600=9:10c<=j:18'601=9<>0b?;9:068?j74l3:1(?;8:077?k42>3;>76a>5b83>!42?3;>86`=57822>=h9<h1<7*=568211=i:<<1=:54o07b>5<#:<=1=8:4n375>4><3f;>57>5$374>4333g8>:7?6;:m21=<72-8>;7?:4:l113<6i21d=8950;&112<6==1e>8851c98k431290/>8951468j73128i07b?:2;29 73028??7c<:6;3g?>i6<>0;6)<:7;360>h5=?0:i65`12a94?"5=>0:995a24495c=<g8<96=4+2459537<f;?=6=54o043>5<#:<=1=;?4n375>4=<g8?m6=4+2459537<f;?=6?54o07f>5<#:<=1=;?4n375>6=<g8<<6=4+2459530<f;?=6=54o046>5<#:<=1=;84n375>4=<g8<?6=4+2459530<f;?=6?54o040>5<#:<=1=;84n375>6=<uk3>:7>5c383>5}#:m31>o84H226?M4cl2P=m7mt2881e?4c2;o1?84<6;14>6>=;808>7=6:2c9y!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1>6*>e781?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1d595=`<,8o36<6i;%0a3?4282.9n54=519m6fd=12d9on46;%0g6?4282.:m?4<;%3b7?5<,;oh6?km;%0f`?4bj2d9ih46;o0fb??<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1e=>l50:l21a<73-8o=7?7f:&1`0<53-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 7b12;1b:i4?::k5a?6=3`=?6=44i6794?=n91h1<75f2bf94?=n:jo1<75f19a94?=n:m=1<75f2e:94?=h>o0;66a80;29?l2f290/>895489m600=821b854?:%063?2>3g8>:7?4;h64>5<#:<=1845a24496>=n<?0;6)<:7;6:?k42>3907d:::18'601=<01e>8854:9j16<72-8>;7:6;o062?3<3`?96=4+24590<=i:<<1:65f5083>!42?3>27c<:6;58?l37290/>895489m600=021b8k4?:%063?2>3g8>:774;h6f>5<#:<=1845a2449e>=n<m0;6)<:7;6:?k42>3h07d:l:18'601=<01e>885c:9j0g<72-8>;7:6;o062?b<3`>?6=4+24590<=i:<<1i65f5`83>!42?3?27c<:6;28?l3?290/>895589m600=921b9:4?:%063?3>3g8>:7<4;h75>5<#:<=1945a24497>=n=<0;6)<:7;7:?k42>3>07d8<:18'601==01e>8855:9j27<72-8>;7;6;o062?0<3`<:6=4+24591<=i:<<1;65f6183>!42?3?27c<:6;:8?l3a290/>895589m600=121b9h4?:%063?3>3g8>:7o4;h7g>5<#:<=1945a2449f>=n=j0;6)<:7;7:?k42>3i07d;m:18'601==01e>885d:9j11<72-8>;7;6;o062?c<3`i=6=4+2459g0=i:<<1<65fc583>!42?3i>7c<:6;38?le5290/>895c49m600=:21bo<4?:%063?e23g8>:7=4;ha3>5<#:<=1o85a24490>=njo0;6)<:7;a6?k42>3?07dlj:18'601=k<1e>8856:9jfa<72-8>;7m:;o062?1<3`hh6=4+2459g0=i:<<1465fbc83>!42?3i>7c<:6;;8?ldf290/>895c49m600=i21bn44?:%063?e23g8>:7l4;h`4>5<#:<=1o85a2449g>=nj?0;6)<:7;a6?k42>3n07dl::18'601=k<1e>885e:9jf1<72-8>;7m:;o062?`<3`h86=4+2459g0=i:<<1==54ic094?"5=>0h96`=57825>=nj80;6)<:7;a6?k42>3;976gm0;29 7302j?0b?;9:018?lga290/>895c49m600=9=10elk50;&112<d=2d99;4>5:9jga<72-8>;7m:;o062?7132cho7>5$374>f3<f;?=6<94;haa>5<#:<=1o85a24495==<ajk1<7*=568`1>h5=?0:565fc883>!42?3i>7c<:6;3b?>od03:1(?;8:b78j73128h07dm8:18'601=k<1e>8851b98mf5=83.99:4l5:l113<6l21bn54?:%063?e23g8>:7?j;:kb`?6=,;?<6n;4n375>4`<3`o<6=4+2459a3=i:<<1<65fe483>!42?3o=7c<:6;38?lc4290/>895e79m600=:21bi?4?:%063?c13g8>:7=4;hg2>5<#:<=1i;5a24490>=nm90;6)<:7;g5?k42>3?07dji:18'601=m?1e>8856:9j``<72-8>;7k9;o062?1<3`no6=4+2459a3=i:<<1465fdb83>!42?3o=7c<:6;;8?lbe290/>895e79m600=i21bhl4?:%063?c13g8>:7l4;hf;>5<#:<=1i;5a2449g>=nl>0;6)<:7;g5?k42>3n07dj9:18'601=m?1e>885e:9j`0<72-8>;7k9;o062?`<3`n?6=4+2459a3=i:<<1==54ie194?"5=>0n:6`=57825>=nl;0;6)<:7;g5?k42>3;976gk1;29 7302l<0b?;9:018?lb7290/>895e79m600=9=10enh50;&112<b>2d99;4>5:9ja`<72-8>;7k9;o062?7132cnh7>5$374>`0<f;?=6<94;hg`>5<#:<=1i;5a24495==<alh1<7*=568f2>h5=?0:565fe`83>!42?3o=7c<:6;3b?>ob13:1(?;8:d48j73128h07dk7:18'601=m?1e>8851b98m`2=83.99:4j6:l113<6l21bh44?:%063?c13g8>:7?j;:k`a?6=,;?<6h84n375>4`<3`;:87>5$374>4743g8>:7>4;h326?6=,;?<6<?<;o062?7<3`;:=7>5$374>4743g8>:7<4;h324?6=,;?<6<?<;o062?5<3`;:57>5$374>47?3g8>:7>4;h323?6=,;?<6<?7;o062?7<3`;::7>5$374>47?3g8>:7<4;h321?6=,;?<6<?7;o062?5<3`8n97>5$374>7c33g8>:7>4;h0f7?6=,;?<6?k;;o062?7<3`8n>7>5$374>7c33g8>:7<4;h0f5?6=,;?<6?k;;o062?5<3`8nm7>5$374>7c>3g8>:7>4;h0f<?6=,;?<6?k6;o062?7<3`8n;7>5$374>7c>3g8>:7<4;h0f2?6=,;?<6?k6;o062?5<3f;8?7>5$374>4553g8>:7>4;n305?6=,;?<6<==;o062?7<3f;9j7>5$374>4553g8>:7<4;n31a?6=,;?<6<==;o062?5<3f;9h7>5$374>4553g8>:7:4;n31g?6=,;?<6<==;o062?3<3f;9n7>5$374>4553g8>:784;n31e?6=,;?<6<==;o062?1<3f;957>5$374>4553g8>:764;n31<?6=,;?<6<==;o062??<3f;9;7>5$374>4553g8>:7o4;n312?6=,;?<6<==;o062?d<3f;987>5$374>4553g8>:7m4;n317?6=,;?<6<==;o062?b<3f;9>7>5$374>4553g8>:7k4;n315?6=,;?<6<==;o062?`<3f;9<7>5$374>4553g8>:7??;:m25c<72-8>;7?<2:l113<6921d=<k50;&112<6;;1e>8851398k47c290/>8951208j73128907b?>c;29 73028997c<:6;37?>i69k0;6)<:7;306>h5=?0:965`12c94?"5=>0:??5a244953=<g8926=4+2459564<f;?=6<94;n30<?6=,;?<6<==;o062?7?32e:?:4?:%063?74:2d99;4>9:9l560=83.99:4>339m600=9h10c<=::18'601=9:80b?;9:0`8?j74<3:1(?;8:011?k42>3;h76a>3183>!42?3;8>6`=5782`>=h9;?1<7*=568277=i:<<1=h54o03b>5<#:<=1=><4n375>4`<3f;>97>5$374>4333g8>:7>4;n367?6=,;?<6<;;;o062?7<3f;>=7>5$374>4333g8>:7<4;n364?6=,;?<6<;;;o062?5<3f;?j7>5$374>4333g8>:7:4;n37a?6=,;?<6<;;;o062?3<3f;?h7>5$374>4333g8>:784;n37g?6=,;?<6<;;;o062?1<3f;?n7>5$374>4333g8>:764;n37e?6=,;?<6<;;;o062??<3f;?57>5$374>4333g8>:7o4;n37<?6=,;?<6<;;;o062?d<3f;?:7>5$374>4333g8>:7m4;n371?6=,;?<6<;;;o062?b<3f;?87>5$374>4333g8>:7k4;n377?6=,;?<6<;;;o062?`<3f;?>7>5$374>4333g8>:7??;:m204<72-8>;7?:4:l113<6921d=9>50;&112<6==1e>8851398k45a290/>8951468j73128907b?<e;29 73028??7c<:6;37?>i6;m0;6)<:7;360>h5=?0:965`14a94?"5=>0:995a244953=<g8?i6=4+2459502<f;?=6<94;n36e?6=,;?<6<;;;o062?7?32e:944?:%063?72<2d99;4>9:9l50>=83.99:4>559m600=9h10c<;8:18'601=9<>0b?;9:0`8?j72>3:1(?;8:077?k42>3;h76a>5383>!42?3;>86`=5782`>=h9==1<7*=568211=i:<<1=h54o01`>5<#:<=1=8:4n375>4`<3f;=>7>5$374>4063g8>:7>4;n354?6=,;?<6<8>;o062?7<3f;>j7>5$374>4063g8>:7<4;n36a?6=,;?<6<8>;o062?5<3f;=;7>5$374>4013g8>:7>4;n351?6=,;?<6<89;o062?7<3f;=87>5$374>4013g8>:7<4;n357?6=,;?<6<89;o062?5<3th29:4?:b094?6|,;n26?l9;I131>N5lm1Q:l4l{3;96d<5l38n6>;537803?5?2:;1??4<9;1b>x"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6?5+1d496>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0g4>4>a3-;n47?7f:&1f2<5=91/>o652428j7ee201e>nm59:&1`7<5=91/=l<53:&2e6<43-8no7<jb:&1aa<5mk1e>hk59:l1ac<>3-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390b<=m:19m50b=82.9h<4>8g9'6a3=:2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!4c>380e;j50;9j2`<722c<87>5;h56>5<<a82i6=44i3ag>5<<a;in6=44i0:`>5<<a;n<6=44i3f;>5<<g?l1<75`7183>>o3i3:1(?;8:5;8j7312910e9650;&112<312d99;4>;:k73?6=,;?<6974n375>7=<a=<1<7*=5687=>h5=?0876g;5;29 7302=30b?;9:598m05=83.99:4;9:l113<232c>>7>5$374>1?<f;?=6;54i4394?"5=>0?56`=5784?>o283:1(?;8:5;8j7312110e9h50;&112<312d99;46;:k7a?6=,;?<6974n375>d=<a=n1<7*=5687=>h5=?0i76g;c;29 7302=30b?;9:b98m1d=83.99:4;9:l113<c32c?87>5$374>1?<f;?=6h54i4c94?"5=>0>56`=5783?>o203:1(?;8:4;8j7312810e8950;&112<212d99;4=;:k62?6=,;?<6874n375>6=<a<?1<7*=5686=>h5=?0?76g93;29 7302<30b?;9:498m34=83.99:4:9:l113<132c==7>5$374>0?<f;?=6:54i7294?"5=>0>56`=578;?>o2n3:1(?;8:4;8j7312010e8k50;&112<212d99;4n;:k6`?6=,;?<6874n375>g=<a<i1<7*=5686=>h5=?0h76g:b;29 7302<30b?;9:e98m02=83.99:4:9:l113<b32ch:7>5$374>f3<f;?=6=54ib694?"5=>0h96`=5782?>od:3:1(?;8:b78j7312;10en?50;&112<d=2d99;4<;:k`4?6=,;?<6n;4n375>1=<akl1<7*=568`1>h5=?0>76gme;29 7302j?0b?;9:798mgb=83.99:4l5:l113<032cio7>5$374>f3<f;?=6554ic`94?"5=>0h96`=578:?>oei3:1(?;8:b78j7312h10eo750;&112<d=2d99;4m;:ka3?6=,;?<6n;4n375>f=<ak<1<7*=568`1>h5=?0o76gm5;29 7302j?0b?;9:d98mg2=83.99:4l5:l113<a32ci?7>5$374>f3<f;?=6<>4;h`1>5<#:<=1o85a244954=<ak;1<7*=568`1>h5=?0:>65fb183>!42?3i>7c<:6;30?>ofn3:1(?;8:b78j73128>07doj:18'601=k<1e>8851498mfb=83.99:4l5:l113<6>21bon4?:%063?e23g8>:7?8;:k`f?6=,;?<6n;4n375>4><3`ij6=4+2459g0=i:<<1=454ib;94?"5=>0h96`=5782e>=nk10;6)<:7;a6?k42>3;i76gl7;29 7302j?0b?;9:0a8?le4290/>895c49m600=9m10eo650;&112<d=2d99;4>e:9jea<72-8>;7m:;o062?7a32cn;7>5$374>`0<f;?=6=54id794?"5=>0n:6`=5782?>ob;3:1(?;8:d48j7312;10eh<50;&112<b>2d99;4<;:kf5?6=,;?<6h84n375>1=<al:1<7*=568f2>h5=?0>76gkf;29 7302l<0b?;9:798mac=83.99:4j6:l113<032coh7>5$374>`0<f;?=6554iea94?"5=>0n:6`=578:?>ocj3:1(?;8:d48j7312h10eio50;&112<b>2d99;4m;:kg<?6=,;?<6h84n375>f=<am=1<7*=568f2>h5=?0o76gk6;29 7302l<0b?;9:d98ma3=83.99:4j6:l113<a32co87>5$374>`0<f;?=6<>4;hf0>5<#:<=1i;5a244954=<am81<7*=568f2>h5=?0:>65fd083>!42?3o=7c<:6;30?>oc83:1(?;8:d48j73128>07dmi:18'601=m?1e>8851498m`c=83.99:4j6:l113<6>21bii4?:%063?c13g8>:7?8;:kfg?6=,;?<6h84n375>4><3`oi6=4+2459a3=i:<<1=454idc94?"5=>0n:6`=5782e>=nm00;6)<:7;g5?k42>3;i76gj8;29 7302l<0b?;9:0a8?lc3290/>895e79m600=9m10ei750;&112<b>2d99;4>e:9jg`<72-8>;7k9;o062?7a32c:=94?:%063?76;2d99;4?;:k257<72-8>;7?>3:l113<632c:=<4?:%063?76;2d99;4=;:k255<72-8>;7?>3:l113<432c:=44?:%063?7602d99;4?;:k252<72-8>;7?>8:l113<632c:=;4?:%063?7602d99;4=;:k250<72-8>;7?>8:l113<432c9i84?:%063?4b<2d99;4?;:k1a6<72-8>;7<j4:l113<632c9i?4?:%063?4b<2d99;4=;:k1a4<72-8>;7<j4:l113<432c9il4?:%063?4b12d99;4?;:k1a=<72-8>;7<j9:l113<632c9i:4?:%063?4b12d99;4=;:k1a3<72-8>;7<j9:l113<432e:?>4?:%063?74:2d99;4?;:m274<72-8>;7?<2:l113<632e:>k4?:%063?74:2d99;4=;:m26`<72-8>;7?<2:l113<432e:>i4?:%063?74:2d99;4;;:m26f<72-8>;7?<2:l113<232e:>o4?:%063?74:2d99;49;:m26d<72-8>;7?<2:l113<032e:>44?:%063?74:2d99;47;:m26=<72-8>;7?<2:l113<>32e:>:4?:%063?74:2d99;4n;:m263<72-8>;7?<2:l113<e32e:>94?:%063?74:2d99;4l;:m266<72-8>;7?<2:l113<c32e:>?4?:%063?74:2d99;4j;:m264<72-8>;7?<2:l113<a32e:>=4?:%063?74:2d99;4>0:9l54`=83.99:4>339m600=9810c<?j:18'601=9:80b?;9:008?j76l3:1(?;8:011?k42>3;876a>1b83>!42?3;8>6`=57820>=h98h1<7*=568277=i:<<1=854o01b>5<#:<=1=><4n375>40<3f;857>5$374>4553g8>:7?8;:m27=<72-8>;7?<2:l113<6021d=>950;&112<6;;1e>8851898k451290/>8951208j73128k07b?<5;29 73028997c<:6;3a?>i6;=0;6)<:7;306>h5=?0:o65`12294?"5=>0:??5a24495a=<g88>6=4+2459564<f;?=6<k4;n32e?6=,;?<6<==;o062?7a32e:984?:%063?72<2d99;4?;:m216<72-8>;7?:4:l113<632e:9<4?:%063?72<2d99;4=;:m215<72-8>;7?:4:l113<432e:8k4?:%063?72<2d99;4;;:m20`<72-8>;7?:4:l113<232e:8i4?:%063?72<2d99;49;:m20f<72-8>;7?:4:l113<032e:8o4?:%063?72<2d99;47;:m20d<72-8>;7?:4:l113<>32e:844?:%063?72<2d99;4n;:m20=<72-8>;7?:4:l113<e32e:8;4?:%063?72<2d99;4l;:m200<72-8>;7?:4:l113<c32e:894?:%063?72<2d99;4j;:m206<72-8>;7?:4:l113<a32e:8?4?:%063?72<2d99;4>0:9l517=83.99:4>559m600=9810c<:?:18'601=9<>0b?;9:008?j74n3:1(?;8:077?k42>3;876a>3d83>!42?3;>86`=57820>=h9:n1<7*=568211=i:<<1=854o07`>5<#:<=1=8:4n375>40<3f;>n7>5$374>4333g8>:7?8;:m21d<72-8>;7?:4:l113<6021d=8750;&112<6==1e>8851898k43?290/>8951468j73128k07b?:7;29 73028??7c<:6;3a?>i6=?0;6)<:7;360>h5=?0:o65`14094?"5=>0:995a24495a=<g8><6=4+2459502<f;?=6<k4;n30g?6=,;?<6<;;;o062?7a32e::?4?:%063?7192d99;4?;:m225<72-8>;7?91:l113<632e:9k4?:%063?7192d99;4=;:m21`<72-8>;7?91:l113<432e:::4?:%063?71>2d99;4?;:m220<72-8>;7?96:l113<632e::94?:%063?71>2d99;4=;:m226<72-8>;7?96:l113<432wi58650;a1>5<7s-8o57<m6:J040=O:mn0V;o5cz0:>7g=:m09i7=::24972<4039:6><53880e?{#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97<4$0g5>7=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3f3?7?n2.:i54>8g9'6g1=:<:0(?l7:373?k4dj330b?ml:89'6a4=:<:0(<o=:29'5d5=;2.9in4=ec9'6`b=:lh0b?kj:89m6``=12.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087c?<b;28j43c291/>i?519d8 7b22;1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"5l?097d8k:188m3c=831b;94?::k41?6=3`;3n7>5;h0``?6=3`8hi7>5;h3;g?6=3`8o;7>5;h0g<?6=3f<m6=44o6294?=n<h0;6)<:7;6:?k42>3:07d:7:18'601=<01e>8851:9j02<72-8>;7:6;o062?4<3`>=6=4+24590<=i:<<1?65f4483>!42?3>27c<:6;68?l34290/>895489m600==21b9?4?:%063?2>3g8>:784;h72>5<#:<=1845a24493>=n=90;6)<:7;6:?k42>3207d:i:18'601=<01e>8859:9j0`<72-8>;7:6;o062?g<3`>o6=4+24590<=i:<<1n65f4b83>!42?3>27c<:6;a8?l2e290/>895489m600=l21b894?:%063?2>3g8>:7k4;h7b>5<#:<=1945a24494>=n=10;6)<:7;7:?k42>3;07d;8:18'601==01e>8852:9j13<72-8>;7;6;o062?5<3`?>6=4+24591<=i:<<1865f6283>!42?3?27c<:6;78?l05290/>895589m600=>21b:<4?:%063?3>3g8>:794;h43>5<#:<=1945a2449<>=n=o0;6)<:7;7:?k42>3307d;j:18'601==01e>885a:9j1a<72-8>;7;6;o062?d<3`?h6=4+24591<=i:<<1o65f5c83>!42?3?27c<:6;f8?l33290/>895589m600=m21bo;4?:%063?e23g8>:7>4;ha7>5<#:<=1o85a24495>=nk;0;6)<:7;a6?k42>3807dm>:18'601=k<1e>8853:9jg5<72-8>;7m:;o062?2<3`hm6=4+2459g0=i:<<1965fbd83>!42?3i>7c<:6;48?ldc290/>895c49m600=?21bnn4?:%063?e23g8>:764;h`a>5<#:<=1o85a2449=>=njh0;6)<:7;a6?k42>3k07dl6:18'601=k<1e>885b:9jf2<72-8>;7m:;o062?e<3`h=6=4+2459g0=i:<<1h65fb483>!42?3i>7c<:6;g8?ld3290/>895c49m600=n21bn>4?:%063?e23g8>:7??;:ka6?6=,;?<6n;4n375>47<3`h:6=4+2459g0=i:<<1=?54ic294?"5=>0h96`=57827>=nio0;6)<:7;a6?k42>3;?76gne;29 7302j?0b?;9:078?lec290/>895c49m600=9?10enm50;&112<d=2d99;4>7:9jgg<72-8>;7m:;o062?7?32chm7>5$374>f3<f;?=6<74;ha:>5<#:<=1o85a24495d=<aj21<7*=568`1>h5=?0:n65fc683>!42?3i>7c<:6;3`?>od;3:1(?;8:b78j73128n07dl7:18'601=k<1e>8851d98mdb=83.99:4l5:l113<6n21bi:4?:%063?c13g8>:7>4;hg6>5<#:<=1i;5a24495>=nm:0;6)<:7;g5?k42>3807dk=:18'601=m?1e>8853:9ja4<72-8>;7k9;o062?2<3`o;6=4+2459a3=i:<<1965fdg83>!42?3o=7c<:6;48?lbb290/>895e79m600=?21bhi4?:%063?c13g8>:764;hf`>5<#:<=1i;5a2449=>=nlk0;6)<:7;g5?k42>3k07djn:18'601=m?1e>885b:9j`=<72-8>;7k9;o062?e<3`n<6=4+2459a3=i:<<1h65fd783>!42?3o=7c<:6;g8?lb2290/>895e79m600=n21bh94?:%063?c13g8>:7??;:kg7?6=,;?<6h84n375>47<3`n96=4+2459a3=i:<<1=?54ie394?"5=>0n:6`=57827>=nl90;6)<:7;g5?k42>3;?76glf;29 7302l<0b?;9:078?lcb290/>895e79m600=9?10ehj50;&112<b>2d99;4>7:9jaf<72-8>;7k9;o062?7?32cnn7>5$374>`0<f;?=6<74;hgb>5<#:<=1i;5a24495d=<al31<7*=568f2>h5=?0:n65fe983>!42?3o=7c<:6;3`?>ob<3:1(?;8:d48j73128n07dj6:18'601=m?1e>8851d98mfc=83.99:4j6:l113<6n21b=<:50;&112<69:1e>8850:9j544=83.99:4>129m600=921b=<?50;&112<69:1e>8852:9j546=83.99:4>129m600=;21b=<750;&112<6911e>8850:9j541=83.99:4>199m600=921b=<850;&112<6911e>8852:9j543=83.99:4>199m600=;21b>h;50;&112<5m=1e>8850:9j6`5=83.99:4=e59m600=921b>h<50;&112<5m=1e>8852:9j6`7=83.99:4=e59m600=;21b>ho50;&112<5m01e>8850:9j6`>=83.99:4=e89m600=921b>h950;&112<5m01e>8852:9j6`0=83.99:4=e89m600=;21d=>=50;&112<6;;1e>8850:9l567=83.99:4>339m600=921d=?h50;&112<6;;1e>8852:9l57c=83.99:4>339m600=;21d=?j50;&112<6;;1e>8854:9l57e=83.99:4>339m600==21d=?l50;&112<6;;1e>8856:9l57g=83.99:4>339m600=?21d=?750;&112<6;;1e>8858:9l57>=83.99:4>339m600=121d=?950;&112<6;;1e>885a:9l570=83.99:4>339m600=j21d=?:50;&112<6;;1e>885c:9l575=83.99:4>339m600=l21d=?<50;&112<6;;1e>885e:9l577=83.99:4>339m600=n21d=?>50;&112<6;;1e>8851198k47a290/>8951208j73128;07b?>e;29 73028997c<:6;31?>i69m0;6)<:7;306>h5=?0:?65`10a94?"5=>0:??5a244951=<g8;i6=4+2459564<f;?=6<;4;n30e?6=,;?<6<==;o062?7132e:?44?:%063?74:2d99;4>7:9l56>=83.99:4>339m600=9110c<=8:18'601=9:80b?;9:0;8?j74>3:1(?;8:011?k42>3;j76a>3483>!42?3;8>6`=5782f>=h9:>1<7*=568277=i:<<1=n54o013>5<#:<=1=><4n375>4b<3f;997>5$374>4553g8>:7?j;:m25d<72-8>;7?<2:l113<6n21d=8;50;&112<6==1e>8850:9l505=83.99:4>559m600=921d=8?50;&112<6==1e>8852:9l506=83.99:4>559m600=;21d=9h50;&112<6==1e>8854:9l51c=83.99:4>559m600==21d=9j50;&112<6==1e>8856:9l51e=83.99:4>559m600=?21d=9l50;&112<6==1e>8858:9l51g=83.99:4>559m600=121d=9750;&112<6==1e>885a:9l51>=83.99:4>559m600=j21d=9850;&112<6==1e>885c:9l513=83.99:4>559m600=l21d=9:50;&112<6==1e>885e:9l515=83.99:4>559m600=n21d=9<50;&112<6==1e>8851198k426290/>8951468j73128;07b?;0;29 73028??7c<:6;31?>i6;o0;6)<:7;360>h5=?0:?65`12g94?"5=>0:995a244951=<g89o6=4+2459502<f;?=6<;4;n36g?6=,;?<6<;;;o062?7132e:9o4?:%063?72<2d99;4>7:9l50g=83.99:4>559m600=9110c<;6:18'601=9<>0b?;9:0;8?j7203:1(?;8:077?k42>3;j76a>5683>!42?3;>86`=5782f>=h9<<1<7*=568211=i:<<1=n54o071>5<#:<=1=8:4n375>4b<3f;?;7>5$374>4333g8>:7?j;:m27f<72-8>;7?:4:l113<6n21d=;<50;&112<6>81e>8850:9l536=83.99:4>609m600=921d=8h50;&112<6>81e>8852:9l50c=83.99:4>609m600=;21d=;950;&112<6>?1e>8850:9l533=83.99:4>679m600=921d=;:50;&112<6>?1e>8852:9l535=83.99:4>679m600=;21vn4=k:18`6?6=8r.9h44=b79K753<@;no7W8n:by1=?4f2;n1>h4<5;15>61=;108=7==:2;97d<z,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84=;%3f2?4<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&2a2<60o1/=h6519d8 7d02;?;7)<m8;064>h5kk027c<lc;;8 7b52;?;7)?n2;18 4g42:1/>hm52d`8 7cc2;oi7c<je;;8j7ca201/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6`>3c83?k72l3:0(?j>:0:e?!4c=380(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#:m<1>6g9d;29?l0b2900e::50;9j30<722c:4o4?::k1ga<722c9oh4?::k2<f<722c9h:4?::k1`=<722e=j7>5;n53>5<<a=k1<7*=5687=>h5=?0;76g;8;29 7302=30b?;9:098m11=83.99:4;9:l113<532c?:7>5$374>1?<f;?=6>54i5794?"5=>0?56`=5787?>o2;3:1(?;8:5;8j7312<10e8<50;&112<312d99;49;:k65?6=,;?<6974n375>2=<a<:1<7*=5687=>h5=?0376g;f;29 7302=30b?;9:898m1c=83.99:4;9:l113<f32c?h7>5$374>1?<f;?=6o54i5a94?"5=>0?56`=578`?>o3j3:1(?;8:5;8j7312m10e9:50;&112<312d99;4j;:k6e?6=,;?<6874n375>5=<a<21<7*=5686=>h5=?0:76g:7;29 7302<30b?;9:398m00=83.99:4:9:l113<432c>97>5$374>0?<f;?=6954i7194?"5=>0>56`=5786?>o1:3:1(?;8:4;8j7312?10e;?50;&112<212d99;48;:k54?6=,;?<6874n375>==<a<l1<7*=5686=>h5=?0276g:e;29 7302<30b?;9:`98m0b=83.99:4:9:l113<e32c>o7>5$374>0?<f;?=6n54i4`94?"5=>0>56`=578g?>o2<3:1(?;8:4;8j7312l10en850;&112<d=2d99;4?;:k`0?6=,;?<6n;4n375>4=<aj81<7*=568`1>h5=?0976gl1;29 7302j?0b?;9:298mf6=83.99:4l5:l113<332cij7>5$374>f3<f;?=6854icg94?"5=>0h96`=5785?>oel3:1(?;8:b78j7312>10eom50;&112<d=2d99;47;:kaf?6=,;?<6n;4n375><=<akk1<7*=568`1>h5=?0j76gm9;29 7302j?0b?;9:c98mg1=83.99:4l5:l113<d32ci:7>5$374>f3<f;?=6i54ic794?"5=>0h96`=578f?>oe<3:1(?;8:b78j7312o10eo=50;&112<d=2d99;4>0:9jf7<72-8>;7m:;o062?7632ci=7>5$374>f3<f;?=6<<4;h`3>5<#:<=1o85a244956=<ahl1<7*=568`1>h5=?0:865fad83>!42?3i>7c<:6;36?>odl3:1(?;8:b78j73128<07dml:18'601=k<1e>8851698mfd=83.99:4l5:l113<6021bol4?:%063?e23g8>:7?6;:k`=?6=,;?<6n;4n375>4g<3`i36=4+2459g0=i:<<1=o54ib594?"5=>0h96`=5782g>=nk:0;6)<:7;a6?k42>3;o76gm8;29 7302j?0b?;9:0g8?lgc290/>895c49m600=9o10eh950;&112<b>2d99;4?;:kf1?6=,;?<6h84n375>4=<al91<7*=568f2>h5=?0976gj2;29 7302l<0b?;9:298m`7=83.99:4j6:l113<332cn<7>5$374>`0<f;?=6854ied94?"5=>0n:6`=5785?>ocm3:1(?;8:d48j7312>10eij50;&112<b>2d99;47;:kgg?6=,;?<6h84n375><=<amh1<7*=568f2>h5=?0j76gka;29 7302l<0b?;9:c98ma>=83.99:4j6:l113<d32co;7>5$374>`0<f;?=6i54ie494?"5=>0n:6`=578f?>oc=3:1(?;8:d48j7312o10ei:50;&112<b>2d99;4>0:9j`6<72-8>;7k9;o062?7632co>7>5$374>`0<f;?=6<<4;hf2>5<#:<=1i;5a244956=<am:1<7*=568f2>h5=?0:865fcg83>!42?3o=7c<:6;36?>obm3:1(?;8:d48j73128<07dkk:18'601=m?1e>8851698m`e=83.99:4j6:l113<6021bio4?:%063?c13g8>:7?6;:kfe?6=,;?<6h84n375>4g<3`o26=4+2459a3=i:<<1=o54id:94?"5=>0n:6`=5782g>=nm=0;6)<:7;g5?k42>3;o76gk9;29 7302l<0b?;9:0g8?leb290/>895e79m600=9o10e<?;:18'601=9890b?;9:198m475290/>8951018j7312810e<?>:18'601=9890b?;9:398m477290/>8951018j7312:10e<?6:18'601=9820b?;9:198m470290/>89510:8j7312810e<?9:18'601=9820b?;9:398m472290/>89510:8j7312:10e?k::18'601=:l>0b?;9:198m7c4290/>8952d68j7312810e?k=:18'601=:l>0b?;9:398m7c6290/>8952d68j7312:10e?kn:18'601=:l30b?;9:198m7c?290/>8952d;8j7312810e?k8:18'601=:l30b?;9:398m7c1290/>8952d;8j7312:10c<=<:18'601=9:80b?;9:198k456290/>8951208j7312810c<<i:18'601=9:80b?;9:398k44b290/>8951208j7312:10c<<k:18'601=9:80b?;9:598k44d290/>8951208j7312<10c<<m:18'601=9:80b?;9:798k44f290/>8951208j7312>10c<<6:18'601=9:80b?;9:998k44?290/>8951208j7312010c<<8:18'601=9:80b?;9:`98k441290/>8951208j7312k10c<<;:18'601=9:80b?;9:b98k444290/>8951208j7312m10c<<=:18'601=9:80b?;9:d98k446290/>8951208j7312o10c<<?:18'601=9:80b?;9:028?j76n3:1(?;8:011?k42>3;:76a>1d83>!42?3;8>6`=57826>=h98n1<7*=568277=i:<<1=>54o03`>5<#:<=1=><4n375>42<3f;:n7>5$374>4553g8>:7?:;:m27d<72-8>;7?<2:l113<6>21d=>750;&112<6;;1e>8851698k45?290/>8951208j73128207b?<7;29 73028997c<:6;3:?>i6;?0;6)<:7;306>h5=?0:m65`12794?"5=>0:??5a24495g=<g89?6=4+2459564<f;?=6<m4;n304?6=,;?<6<==;o062?7c32e:>84?:%063?74:2d99;4>e:9l54g=83.99:4>339m600=9o10c<;::18'601=9<>0b?;9:198k434290/>8951468j7312810c<;>:18'601=9<>0b?;9:398k437290/>8951468j7312:10c<:i:18'601=9<>0b?;9:598k42b290/>8951468j7312<10c<:k:18'601=9<>0b?;9:798k42d290/>8951468j7312>10c<:m:18'601=9<>0b?;9:998k42f290/>8951468j7312010c<:6:18'601=9<>0b?;9:`98k42?290/>8951468j7312k10c<:9:18'601=9<>0b?;9:b98k422290/>8951468j7312m10c<:;:18'601=9<>0b?;9:d98k424290/>8951468j7312o10c<:=:18'601=9<>0b?;9:028?j7393:1(?;8:077?k42>3;:76a>4183>!42?3;>86`=57826>=h9:l1<7*=568211=i:<<1=>54o01f>5<#:<=1=8:4n375>42<3f;8h7>5$374>4333g8>:7?:;:m21f<72-8>;7?:4:l113<6>21d=8l50;&112<6==1e>8851698k43f290/>8951468j73128207b?:9;29 73028??7c<:6;3:?>i6=10;6)<:7;360>h5=?0:m65`14594?"5=>0:995a24495g=<g8?=6=4+2459502<f;?=6<m4;n366?6=,;?<6<;;;o062?7c32e:8:4?:%063?72<2d99;4>e:9l56e=83.99:4>559m600=9o10c<8=:18'601=9?;0b?;9:198k407290/>8951738j7312810c<;i:18'601=9?;0b?;9:398k43b290/>8951738j7312:10c<88:18'601=9?<0b?;9:198k402290/>8951748j7312810c<8;:18'601=9?<0b?;9:398k404290/>8951748j7312:10qo7<e;29g7<729q/>i752c48L6623A8oh6T9a;ax6<<5i38o6?k534802?502:21?<4<2;1:>6g=u-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;52:&2a3<53-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5`1=91l0(<k7:0:e?!4e?38><6*=b98115=i:jh156`=cb8:?!4c:38><6*>a380?!7f;390(?kl:3ga?!4bl38nn6`=ed8:?k4bn330(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5a12`94>h6=m0;7)<k1;3;b>"5l<097)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,;n=6?5f6e83>>o1m3:17d9;:188m23=831b=5l50;9j6fb=831b>nk50;9j5=e=831b>i950;9j6a>=831d:k4?::m44?6=3`>j6=4+24590<=i:<<1<65f4983>!42?3>27c<:6;38?l20290/>895489m600=:21b8;4?:%063?2>3g8>:7=4;h66>5<#:<=1845a24490>=n=:0;6)<:7;6:?k42>3?07d;=:18'601=<01e>8856:9j14<72-8>;7:6;o062?1<3`?;6=4+24590<=i:<<1465f4g83>!42?3>27c<:6;;8?l2b290/>895489m600=i21b8i4?:%063?2>3g8>:7l4;h6`>5<#:<=1845a2449g>=n<k0;6)<:7;6:?k42>3n07d:;:18'601=<01e>885e:9j1d<72-8>;7;6;o062?6<3`?36=4+24591<=i:<<1=65f5683>!42?3?27c<:6;08?l31290/>895589m600=;21b984?:%063?3>3g8>:7:4;h40>5<#:<=1945a24491>=n>;0;6)<:7;7:?k42>3<07d8>:18'601==01e>8857:9j25<72-8>;7;6;o062?><3`?m6=4+24591<=i:<<1565f5d83>!42?3?27c<:6;c8?l3c290/>895589m600=j21b9n4?:%063?3>3g8>:7m4;h7a>5<#:<=1945a2449`>=n==0;6)<:7;7:?k42>3o07dm9:18'601=k<1e>8850:9jg1<72-8>;7m:;o062?7<3`i96=4+2459g0=i:<<1>65fc083>!42?3i>7c<:6;18?le7290/>895c49m600=<21bnk4?:%063?e23g8>:7;4;h`f>5<#:<=1o85a24492>=njm0;6)<:7;a6?k42>3=07dll:18'601=k<1e>8858:9jfg<72-8>;7m:;o062??<3`hj6=4+2459g0=i:<<1m65fb883>!42?3i>7c<:6;`8?ld0290/>895c49m600=k21bn;4?:%063?e23g8>:7j4;h`6>5<#:<=1o85a2449a>=nj=0;6)<:7;a6?k42>3l07dl<:18'601=k<1e>8851198mg4=83.99:4l5:l113<6921bn<4?:%063?e23g8>:7?=;:ka4?6=,;?<6n;4n375>45<3`km6=4+2459g0=i:<<1=954i`g94?"5=>0h96`=57821>=nkm0;6)<:7;a6?k42>3;=76glc;29 7302j?0b?;9:058?lee290/>895c49m600=9110eno50;&112<d=2d99;4>9:9jg<<72-8>;7m:;o062?7f32ch47>5$374>f3<f;?=6<l4;ha4>5<#:<=1o85a24495f=<aj91<7*=568`1>h5=?0:h65fb983>!42?3i>7c<:6;3f?>ofl3:1(?;8:b78j73128l07dk8:18'601=m?1e>8850:9ja0<72-8>;7k9;o062?7<3`o86=4+2459a3=i:<<1>65fe383>!42?3o=7c<:6;18?lc6290/>895e79m600=<21bi=4?:%063?c13g8>:7;4;hfe>5<#:<=1i;5a24492>=nll0;6)<:7;g5?k42>3=07djk:18'601=m?1e>8858:9j`f<72-8>;7k9;o062??<3`ni6=4+2459a3=i:<<1m65fd`83>!42?3o=7c<:6;`8?lb?290/>895e79m600=k21bh:4?:%063?c13g8>:7j4;hf5>5<#:<=1i;5a2449a>=nl<0;6)<:7;g5?k42>3l07dj;:18'601=m?1e>8851198ma5=83.99:4j6:l113<6921bh?4?:%063?c13g8>:7?=;:kg5?6=,;?<6h84n375>45<3`n;6=4+2459a3=i:<<1=954ibd94?"5=>0n:6`=57821>=nml0;6)<:7;g5?k42>3;=76gjd;29 7302l<0b?;9:058?lcd290/>895e79m600=9110ehl50;&112<b>2d99;4>9:9jad<72-8>;7k9;o062?7f32cn57>5$374>`0<f;?=6<l4;hg;>5<#:<=1i;5a24495f=<al>1<7*=568f2>h5=?0:h65fd883>!42?3o=7c<:6;3f?>odm3:1(?;8:d48j73128l07d?>4;29 73028;87c<:6;28?l76:3:1(?;8:030?k42>3;07d?>1;29 73028;87c<:6;08?l7683:1(?;8:030?k42>3907d?>9;29 73028;37c<:6;28?l76?3:1(?;8:03;?k42>3;07d?>6;29 73028;37c<:6;08?l76=3:1(?;8:03;?k42>3907d<j5;29 7302;o?7c<:6;28?l4b;3:1(?;8:3g7?k42>3;07d<j2;29 7302;o?7c<:6;08?l4b93:1(?;8:3g7?k42>3907d<ja;29 7302;o27c<:6;28?l4b03:1(?;8:3g:?k42>3;07d<j7;29 7302;o27c<:6;08?l4b>3:1(?;8:3g:?k42>3907b?<3;29 73028997c<:6;28?j7493:1(?;8:011?k42>3;07b?=f;29 73028997c<:6;08?j75m3:1(?;8:011?k42>3907b?=d;29 73028997c<:6;68?j75k3:1(?;8:011?k42>3?07b?=b;29 73028997c<:6;48?j75i3:1(?;8:011?k42>3=07b?=9;29 73028997c<:6;:8?j7503:1(?;8:011?k42>3307b?=7;29 73028997c<:6;c8?j75>3:1(?;8:011?k42>3h07b?=4;29 73028997c<:6;a8?j75;3:1(?;8:011?k42>3n07b?=2;29 73028997c<:6;g8?j7593:1(?;8:011?k42>3l07b?=0;29 73028997c<:6;33?>i69o0;6)<:7;306>h5=?0:=65`10g94?"5=>0:??5a244957=<g8;o6=4+2459564<f;?=6<=4;n32g?6=,;?<6<==;o062?7332e:=o4?:%063?74:2d99;4>5:9l56g=83.99:4>339m600=9?10c<=6:18'601=9:80b?;9:058?j7403:1(?;8:011?k42>3;376a>3683>!42?3;8>6`=5782=>=h9:<1<7*=568277=i:<<1=l54o016>5<#:<=1=><4n375>4d<3f;887>5$374>4553g8>:7?l;:m275<72-8>;7?<2:l113<6l21d=?;50;&112<6;;1e>8851d98k47f290/>8951208j73128l07b?:5;29 73028??7c<:6;28?j72;3:1(?;8:077?k42>3;07b?:1;29 73028??7c<:6;08?j7283:1(?;8:077?k42>3907b?;f;29 73028??7c<:6;68?j73m3:1(?;8:077?k42>3?07b?;d;29 73028??7c<:6;48?j73k3:1(?;8:077?k42>3=07b?;b;29 73028??7c<:6;:8?j73i3:1(?;8:077?k42>3307b?;9;29 73028??7c<:6;c8?j7303:1(?;8:077?k42>3h07b?;6;29 73028??7c<:6;a8?j73=3:1(?;8:077?k42>3n07b?;4;29 73028??7c<:6;g8?j73;3:1(?;8:077?k42>3l07b?;2;29 73028??7c<:6;33?>i6<80;6)<:7;360>h5=?0:=65`15294?"5=>0:995a244957=<g89m6=4+2459502<f;?=6<=4;n30a?6=,;?<6<;;;o062?7332e:?i4?:%063?72<2d99;4>5:9l50e=83.99:4>559m600=9?10c<;m:18'601=9<>0b?;9:058?j72i3:1(?;8:077?k42>3;376a>5883>!42?3;>86`=5782=>=h9<21<7*=568211=i:<<1=l54o074>5<#:<=1=8:4n375>4d<3f;>:7>5$374>4333g8>:7?l;:m217<72-8>;7?:4:l113<6l21d=9950;&112<6==1e>8851d98k45d290/>8951468j73128l07b?92;29 73028<:7c<:6;28?j7183:1(?;8:042?k42>3;07b?:f;29 73028<:7c<:6;08?j72m3:1(?;8:042?k42>3907b?97;29 73028<=7c<:6;28?j71=3:1(?;8:045?k42>3;07b?94;29 73028<=7c<:6;08?j71;3:1(?;8:045?k42>3907pl63g83>f4=83:p(?j6:3`5?M57=2B9hi5U6`8`\7f7?=:h09h7<j:27973<4?3936>?53380=?5f2t.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::39'5`0=:2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4c0282m7)?j8;3;b>"5j>099=5+2c:9606<f;ii645a2ba9=>"5l;099=5+1`097>"6i:087)<jc;0ff>"5mm09io5a2dg9=>h5mo027)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4n01a>5=i9<n1<6*=d082<c=#:m?1>6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-8o:7<4i7f94?=n>l0;66g84;29?l122900e<6m:188m7ec2900e?mj:188m4>d2900e?j8:188m7b?2900c;h50;9l35<722c?m7>5$374>1?<f;?=6=54i5:94?"5=>0?56`=5782?>o3?3:1(?;8:5;8j7312;10e9850;&112<312d99;4<;:k71?6=,;?<6974n375>1=<a<91<7*=5687=>h5=?0>76g:2;29 7302=30b?;9:798m07=83.99:4;9:l113<032c><7>5$374>1?<f;?=6554i5d94?"5=>0?56`=578:?>o3m3:1(?;8:5;8j7312h10e9j50;&112<312d99;4m;:k7g?6=,;?<6974n375>f=<a=h1<7*=5687=>h5=?0o76g;4;29 7302=30b?;9:d98m0g=83.99:4:9:l113<732c>47>5$374>0?<f;?=6<54i4594?"5=>0>56`=5781?>o2>3:1(?;8:4;8j7312:10e8;50;&112<212d99;4;;:k57?6=,;?<6874n375>0=<a?81<7*=5686=>h5=?0=76g91;29 7302<30b?;9:698m36=83.99:4:9:l113<?32c>j7>5$374>0?<f;?=6454i4g94?"5=>0>56`=578b?>o2l3:1(?;8:4;8j7312k10e8m50;&112<212d99;4l;:k6f?6=,;?<6874n375>a=<a<>1<7*=5686=>h5=?0n76gl6;29 7302j?0b?;9:198mf2=83.99:4l5:l113<632ch>7>5$374>f3<f;?=6?54ib394?"5=>0h96`=5780?>od83:1(?;8:b78j7312=10eoh50;&112<d=2d99;4:;:kaa?6=,;?<6n;4n375>3=<akn1<7*=568`1>h5=?0<76gmc;29 7302j?0b?;9:998mgd=83.99:4l5:l113<>32cim7>5$374>f3<f;?=6l54ic;94?"5=>0h96`=578a?>oe?3:1(?;8:b78j7312j10eo850;&112<d=2d99;4k;:ka1?6=,;?<6n;4n375>`=<ak>1<7*=568`1>h5=?0m76gm3;29 7302j?0b?;9:028?ld5290/>895c49m600=9810eo?50;&112<d=2d99;4>2:9jf5<72-8>;7m:;o062?7432cjj7>5$374>f3<f;?=6<:4;hcf>5<#:<=1o85a244950=<ajn1<7*=568`1>h5=?0::65fcb83>!42?3i>7c<:6;34?>odj3:1(?;8:b78j73128207dmn:18'601=k<1e>8851898mf?=83.99:4l5:l113<6i21bo54?:%063?e23g8>:7?m;:k`3?6=,;?<6n;4n375>4e<3`i86=4+2459g0=i:<<1=i54ic:94?"5=>0h96`=5782a>=nim0;6)<:7;a6?k42>3;m76gj7;29 7302l<0b?;9:198m`3=83.99:4j6:l113<632cn?7>5$374>`0<f;?=6?54id094?"5=>0n:6`=5780?>ob93:1(?;8:d48j7312=10eh>50;&112<b>2d99;4:;:kgb?6=,;?<6h84n375>3=<amo1<7*=568f2>h5=?0<76gkd;29 7302l<0b?;9:998mae=83.99:4j6:l113<>32con7>5$374>`0<f;?=6l54iec94?"5=>0n:6`=578a?>oc03:1(?;8:d48j7312j10ei950;&112<b>2d99;4k;:kg2?6=,;?<6h84n375>`=<am?1<7*=568f2>h5=?0m76gk4;29 7302l<0b?;9:028?lb4290/>895e79m600=9810ei<50;&112<b>2d99;4>2:9j`4<72-8>;7k9;o062?7432co<7>5$374>`0<f;?=6<:4;hae>5<#:<=1i;5a244950=<alo1<7*=568f2>h5=?0::65fee83>!42?3o=7c<:6;34?>obk3:1(?;8:d48j73128207dkm:18'601=m?1e>8851898m`g=83.99:4j6:l113<6i21bi44?:%063?c13g8>:7?m;:kf<?6=,;?<6h84n375>4e<3`o?6=4+2459a3=i:<<1=i54ie;94?"5=>0n:6`=5782a>=nkl0;6)<:7;g5?k42>3;m76g>1583>!42?3;:?6`=5783?>o69;0;6)<:7;327>h5=?0:76g>1083>!42?3;:?6`=5781?>o6990;6)<:7;327>h5=?0876g>1883>!42?3;:46`=5783?>o69>0;6)<:7;32<>h5=?0:76g>1783>!42?3;:46`=5781?>o69<0;6)<:7;32<>h5=?0876g=e483>!42?38n86`=5783?>o5m:0;6)<:7;0f0>h5=?0:76g=e383>!42?38n86`=5781?>o5m80;6)<:7;0f0>h5=?0876g=e`83>!42?38n56`=5783?>o5m10;6)<:7;0f=>h5=?0:76g=e683>!42?38n56`=5781?>o5m?0;6)<:7;0f=>h5=?0876a>3283>!42?3;8>6`=5783?>i6;80;6)<:7;306>h5=?0:76a>2g83>!42?3;8>6`=5781?>i6:l0;6)<:7;306>h5=?0876a>2e83>!42?3;8>6`=5787?>i6:j0;6)<:7;306>h5=?0>76a>2c83>!42?3;8>6`=5785?>i6:h0;6)<:7;306>h5=?0<76a>2883>!42?3;8>6`=578;?>i6:10;6)<:7;306>h5=?0276a>2683>!42?3;8>6`=578b?>i6:?0;6)<:7;306>h5=?0i76a>2583>!42?3;8>6`=578`?>i6::0;6)<:7;306>h5=?0o76a>2383>!42?3;8>6`=578f?>i6:80;6)<:7;306>h5=?0m76a>2183>!42?3;8>6`=57824>=h98l1<7*=568277=i:<<1=<54o03f>5<#:<=1=><4n375>44<3f;:h7>5$374>4553g8>:7?<;:m25f<72-8>;7?<2:l113<6<21d=<l50;&112<6;;1e>8851498k45f290/>8951208j73128<07b?<9;29 73028997c<:6;34?>i6;10;6)<:7;306>h5=?0:465`12594?"5=>0:??5a24495<=<g89=6=4+2459564<f;?=6<o4;n301?6=,;?<6<==;o062?7e32e:?94?:%063?74:2d99;4>c:9l566=83.99:4>339m600=9m10c<<::18'601=9:80b?;9:0g8?j76i3:1(?;8:011?k42>3;m76a>5483>!42?3;>86`=5783?>i6=:0;6)<:7;360>h5=?0:76a>5083>!42?3;>86`=5781?>i6=90;6)<:7;360>h5=?0876a>4g83>!42?3;>86`=5787?>i6<l0;6)<:7;360>h5=?0>76a>4e83>!42?3;>86`=5785?>i6<j0;6)<:7;360>h5=?0<76a>4c83>!42?3;>86`=578;?>i6<h0;6)<:7;360>h5=?0276a>4883>!42?3;>86`=578b?>i6<10;6)<:7;360>h5=?0i76a>4783>!42?3;>86`=578`?>i6<<0;6)<:7;360>h5=?0o76a>4583>!42?3;>86`=578f?>i6<:0;6)<:7;360>h5=?0m76a>4383>!42?3;>86`=57824>=h9=;1<7*=568211=i:<<1=<54o063>5<#:<=1=8:4n375>44<3f;8j7>5$374>4333g8>:7?<;:m27`<72-8>;7?:4:l113<6<21d=>j50;&112<6==1e>8851498k43d290/>8951468j73128<07b?:b;29 73028??7c<:6;34?>i6=h0;6)<:7;360>h5=?0:465`14;94?"5=>0:995a24495<=<g8?36=4+2459502<f;?=6<o4;n363?6=,;?<6<;;;o062?7e32e:9;4?:%063?72<2d99;4>c:9l504=83.99:4>559m600=9m10c<:8:18'601=9<>0b?;9:0g8?j74k3:1(?;8:077?k42>3;m76a>6383>!42?3;==6`=5783?>i6>90;6)<:7;355>h5=?0:76a>5g83>!42?3;==6`=5781?>i6=l0;6)<:7;355>h5=?0876a>6683>!42?3;=:6`=5783?>i6><0;6)<:7;352>h5=?0:76a>6583>!42?3;=:6`=5781?>i6>:0;6)<:7;352>h5=?0876sm95294?e5290;w)<k9;0a2>N48<1C>ij4Z7c9g~4>2;k1>i4=e;16>60=;>0847=>:2097<<4i3w/=n953:&2g=<43-;h57=4$0ab>6=#9jh1?6*>cb80?!7dl390(<mj:29'5f`=;2.:h=4<;%3g5?5<,8n96>5+1e197>"6l=087)?k5;18 4b12:1/=i953:&2`=<43-;o57=4$0fb>6=#9mh1?6*>db80?!7cl390(<jj:29'5a`=;2.:i=4<;%3f5?5<,8o96>5+1d197>"6m=087)?j5;08 4c12;1/=4m53:&2=a<43-;2i7=4$0;e>6=#9h:1?6*>a080?!7b?3;3j6*>e982<c=#:k=1>8>4$3`;>7373g8hn774n3a`><=#:m81>8>4$0c1>6=#9h91?6*=eb81ag=#:ln1>hl4n3gf><=i:ll156*>b380?!7e;390(<l;:29'5g3=;2.:n;4<;%3a3?5<,8h36>5+1c;97>"6jh087)?mb;18 4dd2:1/=oj53:&2f`<43-;ij7=4$0a3>6=#9j;1?6*>c380?!7d;390(<m;:29'5f3=;2.:o;4<;o30f?6<f8?o6=5+2e395=`<,;n>6?5+1`797>"6i?087)?n7;18 4g?2:1/=l753:&2ed<43-;jn7=4$0c`>6=#9hn1?6*>ad80?!7fn390(<l?:29'5g7=;2.9h;4=;h4g>5<<a?o1<75f7583>>o0=3:17d?7b;29?l4dl3:17d<le;29?l7?k3:17d<k7;29?l4c03:17b8i:188k26=831b8l4?:%063?2>3g8>:7>4;h6;>5<#:<=1845a24495>=n<>0;6)<:7;6:?k42>3807d:9:18'601=<01e>8853:9j00<72-8>;7:6;o062?2<3`?86=4+24590<=i:<<1965f5383>!42?3>27c<:6;48?l36290/>895489m600=?21b9=4?:%063?2>3g8>:764;h6e>5<#:<=1845a2449=>=n<l0;6)<:7;6:?k42>3k07d:k:18'601=<01e>885b:9j0f<72-8>;7:6;o062?e<3`>i6=4+24590<=i:<<1h65f4583>!42?3>27c<:6;g8?l3f290/>895589m600=821b954?:%063?3>3g8>:7?4;h74>5<#:<=1945a24496>=n=?0;6)<:7;7:?k42>3907d;::18'601==01e>8854:9j26<72-8>;7;6;o062?3<3`<96=4+24591<=i:<<1:65f6083>!42?3?27c<:6;58?l07290/>895589m600=021b9k4?:%063?3>3g8>:774;h7f>5<#:<=1945a2449e>=n=m0;6)<:7;7:?k42>3h07d;l:18'601==01e>885c:9j1g<72-8>;7;6;o062?b<3`??6=4+24591<=i:<<1i65fc783>!42?3i>7c<:6;28?le3290/>895c49m600=921bo?4?:%063?e23g8>:7<4;ha2>5<#:<=1o85a24497>=nk90;6)<:7;a6?k42>3>07dli:18'601=k<1e>8855:9jf`<72-8>;7m:;o062?0<3`ho6=4+2459g0=i:<<1;65fbb83>!42?3i>7c<:6;:8?lde290/>895c49m600=121bnl4?:%063?e23g8>:7o4;h`:>5<#:<=1o85a2449f>=nj>0;6)<:7;a6?k42>3i07dl9:18'601=k<1e>885d:9jf0<72-8>;7m:;o062?c<3`h?6=4+2459g0=i:<<1j65fb283>!42?3i>7c<:6;33?>oe:3:1(?;8:b78j73128;07dl>:18'601=k<1e>8851398mg6=83.99:4l5:l113<6;21bmk4?:%063?e23g8>:7?;;:kba?6=,;?<6n;4n375>43<3`io6=4+2459g0=i:<<1=;54iba94?"5=>0h96`=57823>=nkk0;6)<:7;a6?k42>3;376gla;29 7302j?0b?;9:0;8?le>290/>895c49m600=9h10en650;&112<d=2d99;4>b:9jg2<72-8>;7m:;o062?7d32ch?7>5$374>f3<f;?=6<j4;h`;>5<#:<=1o85a24495`=<ahn1<7*=568`1>h5=?0:j65fe683>!42?3o=7c<:6;28?lc2290/>895e79m600=921bi>4?:%063?c13g8>:7<4;hg1>5<#:<=1i;5a24497>=nm80;6)<:7;g5?k42>3>07dk?:18'601=m?1e>8855:9j`c<72-8>;7k9;o062?0<3`nn6=4+2459a3=i:<<1;65fde83>!42?3o=7c<:6;:8?lbd290/>895e79m600=121bho4?:%063?c13g8>:7o4;hfb>5<#:<=1i;5a2449f>=nl10;6)<:7;g5?k42>3i07dj8:18'601=m?1e>885d:9j`3<72-8>;7k9;o062?c<3`n>6=4+2459a3=i:<<1j65fd583>!42?3o=7c<:6;33?>oc;3:1(?;8:d48j73128;07dj=:18'601=m?1e>8851398ma7=83.99:4j6:l113<6;21bh=4?:%063?c13g8>:7?;;:k`b?6=,;?<6h84n375>43<3`on6=4+2459a3=i:<<1=;54idf94?"5=>0n:6`=57823>=nmj0;6)<:7;g5?k42>3;376gjb;29 7302l<0b?;9:0;8?lcf290/>895e79m600=9h10eh750;&112<b>2d99;4>b:9ja=<72-8>;7k9;o062?7d32cn87>5$374>`0<f;?=6<j4;hf:>5<#:<=1i;5a24495`=<ajo1<7*=568f2>h5=?0:j65f10694?"5=>0:=>5a24494>=n9881<7*=568256=i:<<1=65f10394?"5=>0:=>5a24496>=n98:1<7*=568256=i:<<1?65f10;94?"5=>0:=55a24494>=n98=1<7*=56825==i:<<1=65f10494?"5=>0:=55a24496>=n98?1<7*=56825==i:<<1?65f2d794?"5=>09i95a24494>=n:l91<7*=5681a1=i:<<1=65f2d094?"5=>09i95a24496>=n:l;1<7*=5681a1=i:<<1?65f2dc94?"5=>09i45a24494>=n:l21<7*=5681a<=i:<<1=65f2d594?"5=>09i45a24496>=n:l<1<7*=5681a<=i:<<1?65`12194?"5=>0:??5a24494>=h9:;1<7*=568277=i:<<1=65`13d94?"5=>0:??5a24496>=h9;o1<7*=568277=i:<<1?65`13f94?"5=>0:??5a24490>=h9;i1<7*=568277=i:<<1965`13`94?"5=>0:??5a24492>=h9;k1<7*=568277=i:<<1;65`13;94?"5=>0:??5a2449<>=h9;21<7*=568277=i:<<1565`13594?"5=>0:??5a2449e>=h9;<1<7*=568277=i:<<1n65`13694?"5=>0:??5a2449g>=h9;91<7*=568277=i:<<1h65`13094?"5=>0:??5a2449a>=h9;;1<7*=568277=i:<<1j65`13294?"5=>0:??5a244955=<g8;m6=4+2459564<f;?=6<?4;n32a?6=,;?<6<==;o062?7532e:=i4?:%063?74:2d99;4>3:9l54e=83.99:4>339m600=9=10c<?m:18'601=9:80b?;9:078?j74i3:1(?;8:011?k42>3;=76a>3883>!42?3;8>6`=57823>=h9:21<7*=568277=i:<<1=554o014>5<#:<=1=><4n375>4?<3f;8:7>5$374>4553g8>:7?n;:m270<72-8>;7?<2:l113<6j21d=>:50;&112<6;;1e>8851b98k457290/>8951208j73128n07b?=5;29 73028997c<:6;3f?>i69h0;6)<:7;306>h5=?0:j65`14794?"5=>0:995a24494>=h9<91<7*=568211=i:<<1=65`14394?"5=>0:995a24496>=h9<:1<7*=568211=i:<<1?65`15d94?"5=>0:995a24490>=h9=o1<7*=568211=i:<<1965`15f94?"5=>0:995a24492>=h9=i1<7*=568211=i:<<1;65`15`94?"5=>0:995a2449<>=h9=k1<7*=568211=i:<<1565`15;94?"5=>0:995a2449e>=h9=21<7*=568211=i:<<1n65`15494?"5=>0:995a2449g>=h9=?1<7*=568211=i:<<1h65`15694?"5=>0:995a2449a>=h9=91<7*=568211=i:<<1j65`15094?"5=>0:995a244955=<g8>:6=4+2459502<f;?=6<?4;n374?6=,;?<6<;;;o062?7532e:?k4?:%063?72<2d99;4>3:9l56c=83.99:4>559m600=9=10c<=k:18'601=9<>0b?;9:078?j72k3:1(?;8:077?k42>3;=76a>5c83>!42?3;>86`=57823>=h9<k1<7*=568211=i:<<1=554o07:>5<#:<=1=8:4n375>4?<3f;>47>5$374>4333g8>:7?n;:m212<72-8>;7?:4:l113<6j21d=8850;&112<6==1e>8851b98k435290/>8951468j73128n07b?;7;29 73028??7c<:6;3f?>i6;j0;6)<:7;360>h5=?0:j65`17094?"5=>0::<5a24494>=h9?:1<7*=568224=i:<<1=65`14d94?"5=>0::<5a24496>=h9<o1<7*=568224=i:<<1?65`17594?"5=>0::;5a24494>=h9??1<7*=568223=i:<<1=65`17694?"5=>0::;5a24496>=h9?91<7*=568223=i:<<1?65rb862>5<d:3:1<v*=d881f3=O;9?0D?jk;[4b>f}5138j6?j52d801?512:=1?54<1;11>6?=;h0v(<m8:29'5f>=;2.:o44<;%3`e?5<,8ii6>5+1ba97>"6km087)?le;18 4ea2:1/=i>53:&2`4<43-;o>7=4$0f0>6=#9m>1?6*>d480?!7c>390(<j8:29'5a>=;2.:h44<;%3ge?5<,8ni6>5+1ea97>"6lm087)?ke;18 4ba2:1/=h>53:&2a4<43-;n>7=4$0g0>6=#9l>1?6*>e481?!7b>380(<7l:29'5<b=;2.:5h4<;%3:b?5<,8k;6>5+1`397>"6m>0:4k5+1d:95=`<,;h<6?;?;%0a<?4282d9oo46;o0`g??<,;n96?;?;%3b6?5<,8k86>5+2da96`d<,;oo6?km;o0fa??<f;om645+1c097>"6j:087)?m4;18 4d22:1/=o853:&2f2<43-;i47=4$0`:>6=#9kk1?6*>bc80?!7ek390(<lk:29'5gc=;2.:nk4<;%3`4?5<,8i:6>5+1b097>"6k:087)?l4;18 4e22:1/=n853:l27g<73g;>h7>4$3f2>4>a3-8o97<4$0c6>6=#9h<1?6*>a680?!7f0390(<o6:29'5dg=;2.:mo4<;%3bg?5<,8ko6>5+1`g97>"6io087)?m0;18 4d62:1/>i852:k5`?6=3`<n6=44i6694?=n?<0;66g>8c83>>o5km0;66g=cd83>>o60j0;66g=d683>>o5l10;66a9f;29?j172900e9o50;&112<312d99;4?;:k7<?6=,;?<6974n375>4=<a==1<7*=5687=>h5=?0976g;6;29 7302=30b?;9:298m13=83.99:4;9:l113<332c>?7>5$374>1?<f;?=6854i4094?"5=>0?56`=5785?>o293:1(?;8:5;8j7312>10e8>50;&112<312d99;47;:k7b?6=,;?<6974n375><=<a=o1<7*=5687=>h5=?0j76g;d;29 7302=30b?;9:c98m1e=83.99:4;9:l113<d32c?n7>5$374>1?<f;?=6i54i5694?"5=>0?56`=578f?>o2i3:1(?;8:4;8j7312910e8650;&112<212d99;4>;:k63?6=,;?<6874n375>7=<a<<1<7*=5686=>h5=?0876g:5;29 7302<30b?;9:598m35=83.99:4:9:l113<232c=>7>5$374>0?<f;?=6;54i7394?"5=>0>56`=5784?>o183:1(?;8:4;8j7312110e8h50;&112<212d99;46;:k6a?6=,;?<6874n375>d=<a<n1<7*=5686=>h5=?0i76g:c;29 7302<30b?;9:b98m0d=83.99:4:9:l113<c32c>87>5$374>0?<f;?=6h54ib494?"5=>0h96`=5783?>od<3:1(?;8:b78j7312810en<50;&112<d=2d99;4=;:k`5?6=,;?<6n;4n375>6=<aj:1<7*=568`1>h5=?0?76gmf;29 7302j?0b?;9:498mgc=83.99:4l5:l113<132cih7>5$374>f3<f;?=6:54ica94?"5=>0h96`=578;?>oej3:1(?;8:b78j7312010eoo50;&112<d=2d99;4n;:ka=?6=,;?<6n;4n375>g=<ak=1<7*=568`1>h5=?0h76gm6;29 7302j?0b?;9:e98mg3=83.99:4l5:l113<b32ci87>5$374>f3<f;?=6k54ic194?"5=>0h96`=57824>=nj;0;6)<:7;a6?k42>3;:76gm1;29 7302j?0b?;9:008?ld7290/>895c49m600=9:10elh50;&112<d=2d99;4>4:9je`<72-8>;7m:;o062?7232chh7>5$374>f3<f;?=6<84;ha`>5<#:<=1o85a244952=<ajh1<7*=568`1>h5=?0:465fc`83>!42?3i>7c<:6;3:?>od13:1(?;8:b78j73128k07dm7:18'601=k<1e>8851c98mf1=83.99:4l5:l113<6k21bo>4?:%063?e23g8>:7?k;:ka<?6=,;?<6n;4n375>4c<3`ko6=4+2459g0=i:<<1=k54id594?"5=>0n:6`=5783?>ob=3:1(?;8:d48j7312810eh=50;&112<b>2d99;4=;:kf6?6=,;?<6h84n375>6=<al;1<7*=568f2>h5=?0?76gj0;29 7302l<0b?;9:498ma`=83.99:4j6:l113<132coi7>5$374>`0<f;?=6:54ief94?"5=>0n:6`=578;?>ock3:1(?;8:d48j7312010eil50;&112<b>2d99;4n;:kge?6=,;?<6h84n375>g=<am21<7*=568f2>h5=?0h76gk7;29 7302l<0b?;9:e98ma0=83.99:4j6:l113<b32co97>5$374>`0<f;?=6k54ie694?"5=>0n:6`=57824>=nl:0;6)<:7;g5?k42>3;:76gk2;29 7302l<0b?;9:008?lb6290/>895e79m600=9:10ei>50;&112<b>2d99;4>4:9jgc<72-8>;7k9;o062?7232cni7>5$374>`0<f;?=6<84;hgg>5<#:<=1i;5a244952=<ali1<7*=568f2>h5=?0:465fec83>!42?3o=7c<:6;3:?>obi3:1(?;8:d48j73128k07dk6:18'601=m?1e>8851c98m`>=83.99:4j6:l113<6k21bi94?:%063?c13g8>:7?k;:kg=?6=,;?<6h84n375>4c<3`in6=4+2459a3=i:<<1=k54i037>5<#:<=1=<=4n375>5=<a8;96=4+2459545<f;?=6<54i032>5<#:<=1=<=4n375>7=<a8;;6=4+2459545<f;?=6>54i03:>5<#:<=1=<64n375>5=<a8;<6=4+245954><f;?=6<54i035>5<#:<=1=<64n375>7=<a8;>6=4+245954><f;?=6>54i3g6>5<#:<=1>h:4n375>5=<a;o86=4+24596`2<f;?=6<54i3g1>5<#:<=1>h:4n375>7=<a;o:6=4+24596`2<f;?=6>54i3gb>5<#:<=1>h74n375>5=<a;o36=4+24596`?<f;?=6<54i3g4>5<#:<=1>h74n375>7=<a;o=6=4+24596`?<f;?=6>54o010>5<#:<=1=><4n375>5=<g89:6=4+2459564<f;?=6<54o00e>5<#:<=1=><4n375>7=<g88n6=4+2459564<f;?=6>54o00g>5<#:<=1=><4n375>1=<g88h6=4+2459564<f;?=6854o00a>5<#:<=1=><4n375>3=<g88j6=4+2459564<f;?=6:54o00:>5<#:<=1=><4n375>==<g8836=4+2459564<f;?=6454o004>5<#:<=1=><4n375>d=<g88=6=4+2459564<f;?=6o54o007>5<#:<=1=><4n375>f=<g8886=4+2459564<f;?=6i54o001>5<#:<=1=><4n375>`=<g88:6=4+2459564<f;?=6k54o003>5<#:<=1=><4n375>46<3f;:j7>5$374>4553g8>:7?>;:m25`<72-8>;7?<2:l113<6:21d=<j50;&112<6;;1e>8851298k47d290/>8951208j73128>07b?>b;29 73028997c<:6;36?>i6;h0;6)<:7;306>h5=?0::65`12;94?"5=>0:??5a244952=<g8936=4+2459564<f;?=6<64;n303?6=,;?<6<==;o062?7>32e:?;4?:%063?74:2d99;4>a:9l563=83.99:4>339m600=9k10c<=;:18'601=9:80b?;9:0a8?j7483:1(?;8:011?k42>3;o76a>2483>!42?3;8>6`=5782a>=h98k1<7*=568277=i:<<1=k54o076>5<#:<=1=8:4n375>5=<g8?86=4+2459502<f;?=6<54o072>5<#:<=1=8:4n375>7=<g8?;6=4+2459502<f;?=6>54o06e>5<#:<=1=8:4n375>1=<g8>n6=4+2459502<f;?=6854o06g>5<#:<=1=8:4n375>3=<g8>h6=4+2459502<f;?=6:54o06a>5<#:<=1=8:4n375>==<g8>j6=4+2459502<f;?=6454o06:>5<#:<=1=8:4n375>d=<g8>36=4+2459502<f;?=6o54o065>5<#:<=1=8:4n375>f=<g8>>6=4+2459502<f;?=6i54o067>5<#:<=1=8:4n375>`=<g8>86=4+2459502<f;?=6k54o061>5<#:<=1=8:4n375>46<3f;?=7>5$374>4333g8>:7?>;:m205<72-8>;7?:4:l113<6:21d=>h50;&112<6==1e>8851298k45b290/>8951468j73128>07b?<d;29 73028??7c<:6;36?>i6=j0;6)<:7;360>h5=?0::65`14`94?"5=>0:995a244952=<g8?j6=4+2459502<f;?=6<64;n36=?6=,;?<6<;;;o062?7>32e:954?:%063?72<2d99;4>a:9l501=83.99:4>559m600=9k10c<;9:18'601=9<>0b?;9:0a8?j72:3:1(?;8:077?k42>3;o76a>4683>!42?3;>86`=5782a>=h9:i1<7*=568211=i:<<1=k54o041>5<#:<=1=;?4n375>5=<g8<;6=4+2459537<f;?=6<54o07e>5<#:<=1=;?4n375>7=<g8?n6=4+2459537<f;?=6>54o044>5<#:<=1=;84n375>5=<g8<>6=4+2459530<f;?=6<54o047>5<#:<=1=;84n375>7=<g8<86=4+2459530<f;?=6>54}c;76?6=k;0;6=u+2e;96g0<@::>7E<kd:X5e?e|:009m7<k:3g970<4>39<6>6530806?5>2:k1q)?l7;18 4e?2:1/=n753:&2gd<43-;hn7=4$0a`>6=#9jn1?6*>cd80?!7dn390(<j?:29'5a7=;2.:h?4<;%3g7?5<,8n?6>5+1e797>"6l?087)?k7;18 4b?2:1/=i753:&2`d<43-;on7=4$0f`>6=#9mn1?6*>dd80?!7cn390(<k?:29'5`7=;2.:i?4<;%3f7?5<,8o?6>5+1d796>"6m?097)?6c;18 4?c2:1/=4k53:&2=c<43-;j<7=4$0c2>6=#9l=1=5h4$0g;>4>a3-8i;7<:0:&1f=<5=91e>nl59:l1gf<>3-8o>7<:0:&2e7<43-;j?7=4$3g`>7ce3-8nh7<jb:l1a`<>3g8nj774$0`1>6=#9k91?6*>b580?!7e=390(<l9:29'5g1=;2.:n54<;%3a=?5<,8hj6>5+1c`97>"6jj087)?md;18 4db2:1/=oh53:&2g5<43-;h=7=4$0a1>6=#9j91?6*>c580?!7d=390(<m9:29m56d=82d:9i4?;%0g5?7?n2.9h84=;%3b1?5<,8k=6>5+1`597>"6i1087)?n9;18 4gf2:1/=ll53:&2ef<43-;jh7=4$0cf>6=#9hl1?6*>b180?!7e9390(?j9:39j2a<722c=i7>5;h57>5<<a>?1<75f19`94?=n:jn1<75f2bg94?=n91i1<75f2e594?=n:m21<75`6g83>>i083:17d:n:18'601=<01e>8850:9j0=<72-8>;7:6;o062?7<3`><6=4+24590<=i:<<1>65f4783>!42?3>27c<:6;18?l22290/>895489m600=<21b9>4?:%063?2>3g8>:7;4;h71>5<#:<=1845a24492>=n=80;6)<:7;6:?k42>3=07d;?:18'601=<01e>8858:9j0c<72-8>;7:6;o062??<3`>n6=4+24590<=i:<<1m65f4e83>!42?3>27c<:6;`8?l2d290/>895489m600=k21b8o4?:%063?2>3g8>:7j4;h67>5<#:<=1845a2449a>=n=h0;6)<:7;7:?k42>3:07d;7:18'601==01e>8851:9j12<72-8>;7;6;o062?4<3`?=6=4+24591<=i:<<1?65f5483>!42?3?27c<:6;68?l04290/>895589m600==21b:?4?:%063?3>3g8>:784;h42>5<#:<=1945a24493>=n>90;6)<:7;7:?k42>3207d;i:18'601==01e>8859:9j1`<72-8>;7;6;o062?g<3`?o6=4+24591<=i:<<1n65f5b83>!42?3?27c<:6;a8?l3e290/>895589m600=l21b994?:%063?3>3g8>:7k4;ha5>5<#:<=1o85a24494>=nk=0;6)<:7;a6?k42>3;07dm=:18'601=k<1e>8852:9jg4<72-8>;7m:;o062?5<3`i;6=4+2459g0=i:<<1865fbg83>!42?3i>7c<:6;78?ldb290/>895c49m600=>21bni4?:%063?e23g8>:794;h``>5<#:<=1o85a2449<>=njk0;6)<:7;a6?k42>3307dln:18'601=k<1e>885a:9jf<<72-8>;7m:;o062?d<3`h<6=4+2459g0=i:<<1o65fb783>!42?3i>7c<:6;f8?ld2290/>895c49m600=m21bn94?:%063?e23g8>:7h4;h`0>5<#:<=1o85a244955=<ak81<7*=568`1>h5=?0:=65fb083>!42?3i>7c<:6;31?>oe83:1(?;8:b78j73128907doi:18'601=k<1e>8851598mdc=83.99:4l5:l113<6=21boi4?:%063?e23g8>:7?9;:k`g?6=,;?<6n;4n375>41<3`ii6=4+2459g0=i:<<1=554ibc94?"5=>0h96`=5782=>=nk00;6)<:7;a6?k42>3;j76gl8;29 7302j?0b?;9:0`8?le0290/>895c49m600=9j10en=50;&112<d=2d99;4>d:9jf=<72-8>;7m:;o062?7b32cjh7>5$374>f3<f;?=6<h4;hg4>5<#:<=1i;5a24494>=nm<0;6)<:7;g5?k42>3;07dk<:18'601=m?1e>8852:9ja7<72-8>;7k9;o062?5<3`o:6=4+2459a3=i:<<1865fe183>!42?3o=7c<:6;78?lba290/>895e79m600=>21bhh4?:%063?c13g8>:794;hfg>5<#:<=1i;5a2449<>=nlj0;6)<:7;g5?k42>3307djm:18'601=m?1e>885a:9j`d<72-8>;7k9;o062?d<3`n36=4+2459a3=i:<<1o65fd683>!42?3o=7c<:6;f8?lb1290/>895e79m600=m21bh84?:%063?c13g8>:7h4;hf7>5<#:<=1i;5a244955=<am91<7*=568f2>h5=?0:=65fd383>!42?3o=7c<:6;31?>oc93:1(?;8:d48j73128907dj?:18'601=m?1e>8851598mf`=83.99:4j6:l113<6=21bih4?:%063?c13g8>:7?9;:kf`?6=,;?<6h84n375>41<3`oh6=4+2459a3=i:<<1=554id`94?"5=>0n:6`=5782=>=nmh0;6)<:7;g5?k42>3;j76gj9;29 7302l<0b?;9:0`8?lc?290/>895e79m600=9j10eh:50;&112<b>2d99;4>d:9j`<<72-8>;7k9;o062?7b32chi7>5$374>`0<f;?=6<h4;h320?6=,;?<6<?<;o062?6<3`;:>7>5$374>4743g8>:7?4;h325?6=,;?<6<?<;o062?4<3`;:<7>5$374>4743g8>:7=4;h32=?6=,;?<6<?7;o062?6<3`;:;7>5$374>47?3g8>:7?4;h322?6=,;?<6<?7;o062?4<3`;:97>5$374>47?3g8>:7=4;h0f1?6=,;?<6?k;;o062?6<3`8n?7>5$374>7c33g8>:7?4;h0f6?6=,;?<6?k;;o062?4<3`8n=7>5$374>7c33g8>:7=4;h0fe?6=,;?<6?k6;o062?6<3`8n47>5$374>7c>3g8>:7?4;h0f3?6=,;?<6?k6;o062?4<3`8n:7>5$374>7c>3g8>:7=4;n307?6=,;?<6<==;o062?6<3f;8=7>5$374>4553g8>:7?4;n31b?6=,;?<6<==;o062?4<3f;9i7>5$374>4553g8>:7=4;n31`?6=,;?<6<==;o062?2<3f;9o7>5$374>4553g8>:7;4;n31f?6=,;?<6<==;o062?0<3f;9m7>5$374>4553g8>:794;n31=?6=,;?<6<==;o062?><3f;947>5$374>4553g8>:774;n313?6=,;?<6<==;o062?g<3f;9:7>5$374>4553g8>:7l4;n310?6=,;?<6<==;o062?e<3f;9?7>5$374>4553g8>:7j4;n316?6=,;?<6<==;o062?c<3f;9=7>5$374>4553g8>:7h4;n314?6=,;?<6<==;o062?7732e:=k4?:%063?74:2d99;4>1:9l54c=83.99:4>339m600=9;10c<?k:18'601=9:80b?;9:018?j76k3:1(?;8:011?k42>3;?76a>1c83>!42?3;8>6`=57821>=h9:k1<7*=568277=i:<<1=;54o01:>5<#:<=1=><4n375>41<3f;847>5$374>4553g8>:7?7;:m272<72-8>;7?<2:l113<6121d=>850;&112<6;;1e>8851`98k452290/>8951208j73128h07b?<4;29 73028997c<:6;3`?>i6;90;6)<:7;306>h5=?0:h65`13794?"5=>0:??5a24495`=<g8;j6=4+2459564<f;?=6<h4;n361?6=,;?<6<;;;o062?6<3f;>?7>5$374>4333g8>:7?4;n365?6=,;?<6<;;;o062?4<3f;><7>5$374>4333g8>:7=4;n37b?6=,;?<6<;;;o062?2<3f;?i7>5$374>4333g8>:7;4;n37`?6=,;?<6<;;;o062?0<3f;?o7>5$374>4333g8>:794;n37f?6=,;?<6<;;;o062?><3f;?m7>5$374>4333g8>:774;n37=?6=,;?<6<;;;o062?g<3f;?47>5$374>4333g8>:7l4;n372?6=,;?<6<;;;o062?e<3f;?97>5$374>4333g8>:7j4;n370?6=,;?<6<;;;o062?c<3f;??7>5$374>4333g8>:7h4;n376?6=,;?<6<;;;o062?7732e:8<4?:%063?72<2d99;4>1:9l516=83.99:4>559m600=9;10c<=i:18'601=9<>0b?;9:018?j74m3:1(?;8:077?k42>3;?76a>3e83>!42?3;>86`=57821>=h9<i1<7*=568211=i:<<1=;54o07a>5<#:<=1=8:4n375>41<3f;>m7>5$374>4333g8>:7?7;:m21<<72-8>;7?:4:l113<6121d=8650;&112<6==1e>8851`98k430290/>8951468j73128h07b?:6;29 73028??7c<:6;3`?>i6=;0;6)<:7;360>h5=?0:h65`15594?"5=>0:995a24495`=<g89h6=4+2459502<f;?=6<h4;n356?6=,;?<6<8>;o062?6<3f;=<7>5$374>4063g8>:7?4;n36b?6=,;?<6<8>;o062?4<3f;>i7>5$374>4063g8>:7=4;n353?6=,;?<6<89;o062?6<3f;=97>5$374>4013g8>:7?4;n350?6=,;?<6<89;o062?4<3f;=?7>5$374>4013g8>:7=4;|`:06<72j81<7>t$3f:>7d13A9;96F=de9Y2d<ds;31>l4=d;0f>63=;?08;7=7:23977<4139j6p*>c680?!7d0390(<m6:29'5fg=;2.:oo4<;%3`g?5<,8io6>5+1bg97>"6ko087)?k0;18 4b62:1/=i<53:&2`6<43-;o87=4$0f6>6=#9m<1?6*>d680?!7c0390(<j6:29'5ag=;2.:ho4<;%3gg?5<,8no6>5+1eg97>"6lo087)?j0;18 4c62:1/=h<53:&2a6<43-;n87=4$0g6>7=#9l<1>6*>9b80?!7>l390(<7j:29'5<`=;2.:m=4<;%3b5?5<,8o<6<6i;%3f<?7?n2.9n:4=519'6g>=:<:0b?mm:89m6fe=12.9h?4=519'5d4=;2.:m>4<;%0fg?4bj2.9ii4=ec9m6`c=12d9ik46;%3a6?5<,8h86>5+1c697>"6j<087)?m6;18 4d02:1/=o653:&2f<<43-;im7=4$0`a>6=#9ki1?6*>be80?!7em390(<li:29'5f6=;2.:o<4<;%3`6?5<,8i86>5+1b697>"6k<087)?l6;18j45e291e=8j50:&1`4<60o1/>i;52:&2e0<43-;j:7=4$0c4>6=#9h21?6*>a880?!7fi390(<om:29'5de=;2.:mi4<;%3ba?5<,8km6>5+1c297>"6j8087)<k6;08m3b=831b:h4?::k40?6=3`=>6=44i0:a>5<<a;io6=44i3af>5<<a82h6=44i3f4>5<<a;n36=44o7d94?=h?90;66g;a;29 7302=30b?;9:198m1>=83.99:4;9:l113<632c?;7>5$374>1?<f;?=6?54i5494?"5=>0?56`=5780?>o3=3:1(?;8:5;8j7312=10e8=50;&112<312d99;4:;:k66?6=,;?<6974n375>3=<a<;1<7*=5687=>h5=?0<76g:0;29 7302=30b?;9:998m1`=83.99:4;9:l113<>32c?i7>5$374>1?<f;?=6l54i5f94?"5=>0?56`=578a?>o3k3:1(?;8:5;8j7312j10e9l50;&112<312d99;4k;:k70?6=,;?<6974n375>`=<a<k1<7*=5686=>h5=?0;76g:8;29 7302<30b?;9:098m01=83.99:4:9:l113<532c>:7>5$374>0?<f;?=6>54i4794?"5=>0>56`=5787?>o1;3:1(?;8:4;8j7312<10e;<50;&112<212d99;49;:k55?6=,;?<6874n375>2=<a?:1<7*=5686=>h5=?0376g:f;29 7302<30b?;9:898m0c=83.99:4:9:l113<f32c>h7>5$374>0?<f;?=6o54i4a94?"5=>0>56`=578`?>o2j3:1(?;8:4;8j7312m10e8:50;&112<212d99;4j;:k`2?6=,;?<6n;4n375>5=<aj>1<7*=568`1>h5=?0:76gl2;29 7302j?0b?;9:398mf7=83.99:4l5:l113<432ch<7>5$374>f3<f;?=6954icd94?"5=>0h96`=5786?>oem3:1(?;8:b78j7312?10eoj50;&112<d=2d99;48;:kag?6=,;?<6n;4n375>==<akh1<7*=568`1>h5=?0276gma;29 7302j?0b?;9:`98mg?=83.99:4l5:l113<e32ci;7>5$374>f3<f;?=6n54ic494?"5=>0h96`=578g?>oe=3:1(?;8:b78j7312l10eo:50;&112<d=2d99;4i;:ka7?6=,;?<6n;4n375>46<3`h96=4+2459g0=i:<<1=<54ic394?"5=>0h96`=57826>=nj90;6)<:7;a6?k42>3;876gnf;29 7302j?0b?;9:068?lgb290/>895c49m600=9<10enj50;&112<d=2d99;4>6:9jgf<72-8>;7m:;o062?7032chn7>5$374>f3<f;?=6<64;hab>5<#:<=1o85a24495<=<aj31<7*=568`1>h5=?0:m65fc983>!42?3i>7c<:6;3a?>od?3:1(?;8:b78j73128i07dm<:18'601=k<1e>8851e98mg>=83.99:4l5:l113<6m21bmi4?:%063?e23g8>:7?i;:kf3?6=,;?<6h84n375>5=<al?1<7*=568f2>h5=?0:76gj3;29 7302l<0b?;9:398m`4=83.99:4j6:l113<432cn=7>5$374>`0<f;?=6954id294?"5=>0n:6`=5786?>ocn3:1(?;8:d48j7312?10eik50;&112<b>2d99;48;:kg`?6=,;?<6h84n375>==<ami1<7*=568f2>h5=?0276gkb;29 7302l<0b?;9:`98mag=83.99:4j6:l113<e32co47>5$374>`0<f;?=6n54ie594?"5=>0n:6`=578g?>oc>3:1(?;8:d48j7312l10ei;50;&112<b>2d99;4i;:kg0?6=,;?<6h84n375>46<3`n86=4+2459a3=i:<<1=<54ie094?"5=>0n:6`=57826>=nl80;6)<:7;g5?k42>3;876gk0;29 7302l<0b?;9:068?lea290/>895e79m600=9<10ehk50;&112<b>2d99;4>6:9jaa<72-8>;7k9;o062?7032cno7>5$374>`0<f;?=6<64;hga>5<#:<=1i;5a24495<=<alk1<7*=568f2>h5=?0:m65fe883>!42?3o=7c<:6;3a?>ob03:1(?;8:d48j73128i07dk;:18'601=m?1e>8851e98ma?=83.99:4j6:l113<6m21boh4?:%063?c13g8>:7?i;:k251<72-8>;7?>3:l113<732c:=?4?:%063?76;2d99;4>;:k254<72-8>;7?>3:l113<532c:==4?:%063?76;2d99;4<;:k25<<72-8>;7?>8:l113<732c:=:4?:%063?7602d99;4>;:k253<72-8>;7?>8:l113<532c:=84?:%063?7602d99;4<;:k1a0<72-8>;7<j4:l113<732c9i>4?:%063?4b<2d99;4>;:k1a7<72-8>;7<j4:l113<532c9i<4?:%063?4b<2d99;4<;:k1ad<72-8>;7<j9:l113<732c9i54?:%063?4b12d99;4>;:k1a2<72-8>;7<j9:l113<532c9i;4?:%063?4b12d99;4<;:m276<72-8>;7?<2:l113<732e:?<4?:%063?74:2d99;4>;:m26c<72-8>;7?<2:l113<532e:>h4?:%063?74:2d99;4<;:m26a<72-8>;7?<2:l113<332e:>n4?:%063?74:2d99;4:;:m26g<72-8>;7?<2:l113<132e:>l4?:%063?74:2d99;48;:m26<<72-8>;7?<2:l113<?32e:>54?:%063?74:2d99;46;:m262<72-8>;7?<2:l113<f32e:>;4?:%063?74:2d99;4m;:m261<72-8>;7?<2:l113<d32e:>>4?:%063?74:2d99;4k;:m267<72-8>;7?<2:l113<b32e:><4?:%063?74:2d99;4i;:m265<72-8>;7?<2:l113<6821d=<h50;&112<6;;1e>8851098k47b290/>8951208j73128807b?>d;29 73028997c<:6;30?>i69j0;6)<:7;306>h5=?0:865`10`94?"5=>0:??5a244950=<g89j6=4+2459564<f;?=6<84;n30=?6=,;?<6<==;o062?7032e:?54?:%063?74:2d99;4>8:9l561=83.99:4>339m600=9010c<=9:18'601=9:80b?;9:0c8?j74=3:1(?;8:011?k42>3;i76a>3583>!42?3;8>6`=5782g>=h9::1<7*=568277=i:<<1=i54o006>5<#:<=1=><4n375>4c<3f;:m7>5$374>4553g8>:7?i;:m210<72-8>;7?:4:l113<732e:9>4?:%063?72<2d99;4>;:m214<72-8>;7?:4:l113<532e:9=4?:%063?72<2d99;4<;:m20c<72-8>;7?:4:l113<332e:8h4?:%063?72<2d99;4:;:m20a<72-8>;7?:4:l113<132e:8n4?:%063?72<2d99;48;:m20g<72-8>;7?:4:l113<?32e:8l4?:%063?72<2d99;46;:m20<<72-8>;7?:4:l113<f32e:854?:%063?72<2d99;4m;:m203<72-8>;7?:4:l113<d32e:884?:%063?72<2d99;4k;:m201<72-8>;7?:4:l113<b32e:8>4?:%063?72<2d99;4i;:m207<72-8>;7?:4:l113<6821d=9?50;&112<6==1e>8851098k427290/>8951468j73128807b?<f;29 73028??7c<:6;30?>i6;l0;6)<:7;360>h5=?0:865`12f94?"5=>0:995a244950=<g8?h6=4+2459502<f;?=6<84;n36f?6=,;?<6<;;;o062?7032e:9l4?:%063?72<2d99;4>8:9l50?=83.99:4>559m600=9010c<;7:18'601=9<>0b?;9:0c8?j72?3:1(?;8:077?k42>3;i76a>5783>!42?3;>86`=5782g>=h9<81<7*=568211=i:<<1=i54o064>5<#:<=1=8:4n375>4c<3f;8o7>5$374>4333g8>:7?i;:m227<72-8>;7?91:l113<732e::=4?:%063?7192d99;4>;:m21c<72-8>;7?91:l113<532e:9h4?:%063?7192d99;4<;:m222<72-8>;7?96:l113<732e::84?:%063?71>2d99;4>;:m221<72-8>;7?96:l113<532e::>4?:%063?71>2d99;4<;:\7fa=12=83i96=4?{%0g=?4e>2B8<85G2ef8^3g=kr826?o52e81a?522:<1?:4<8;12>64=;008m7s+1b597>"6k1087)?l9;18 4ef2:1/=nl53:&2gf<43-;hh7=4$0af>6=#9jl1?6*>d180?!7c9390(<j=:29'5a5=;2.:h94<;%3g1?5<,8n=6>5+1e597>"6l1087)?k9;18 4bf2:1/=il53:&2`f<43-;oh7=4$0ff>6=#9ml1?6*>e180?!7b9390(<k=:29'5`5=;2.:i94<;%3f1?4<,8o=6?5+18a97>"61m087)?6e;18 4?a2:1/=l>53:&2e4<43-;n;7?7f:&2a=<60o1/>o952428 7d?2;?;7c<lb;;8j7ed201/>i<52428 4g52:1/=l=53:&1af<5mk1/>hj52d`8j7cb201e>hh59:&2f7<43-;i?7=4$0`7>6=#9k?1?6*>b780?!7e?390(<l7:29'5g?=;2.:nl4<;%3af?5<,8hh6>5+1cf97>"6jl087)?mf;18 4e72:1/=n?53:&2g7<43-;h?7=4$0a7>6=#9j?1?6*>c780?k74j3:0b<;k:19'6a7=91l0(?j::39'5d3=;2.:m;4<;%3b3?5<,8k36>5+1`;97>"6ih087)?nb;18 4gd2:1/=lj53:&2e`<43-;jj7=4$0`3>6=#9k;1?6*=d781?l0c2900e;k50;9j31<722c<97>5;h3;f?6=3`8hh7>5;h0`a?6=3`;3o7>5;h0g3?6=3`8o47>5;n4e>5<<g>:1<75f4`83>!42?3>27c<:6;28?l2?290/>895489m600=921b8:4?:%063?2>3g8>:7<4;h65>5<#:<=1845a24497>=n<<0;6)<:7;6:?k42>3>07d;<:18'601=<01e>8855:9j17<72-8>;7:6;o062?0<3`?:6=4+24590<=i:<<1;65f5183>!42?3>27c<:6;:8?l2a290/>895489m600=121b8h4?:%063?2>3g8>:7o4;h6g>5<#:<=1845a2449f>=n<j0;6)<:7;6:?k42>3i07d:m:18'601=<01e>885d:9j01<72-8>;7:6;o062?c<3`?j6=4+24591<=i:<<1<65f5983>!42?3?27c<:6;38?l30290/>895589m600=:21b9;4?:%063?3>3g8>:7=4;h76>5<#:<=1945a24490>=n>:0;6)<:7;7:?k42>3?07d8=:18'601==01e>8856:9j24<72-8>;7;6;o062?1<3`<;6=4+24591<=i:<<1465f5g83>!42?3?27c<:6;;8?l3b290/>895589m600=i21b9i4?:%063?3>3g8>:7l4;h7`>5<#:<=1945a2449g>=n=k0;6)<:7;7:?k42>3n07d;;:18'601==01e>885e:9jg3<72-8>;7m:;o062?6<3`i?6=4+2459g0=i:<<1=65fc383>!42?3i>7c<:6;08?le6290/>895c49m600=;21bo=4?:%063?e23g8>:7:4;h`e>5<#:<=1o85a24491>=njl0;6)<:7;a6?k42>3<07dlk:18'601=k<1e>8857:9jff<72-8>;7m:;o062?><3`hi6=4+2459g0=i:<<1565fb`83>!42?3i>7c<:6;c8?ld>290/>895c49m600=j21bn:4?:%063?e23g8>:7m4;h`5>5<#:<=1o85a2449`>=nj<0;6)<:7;a6?k42>3o07dl;:18'601=k<1e>885f:9jf6<72-8>;7m:;o062?7732ci>7>5$374>f3<f;?=6<?4;h`2>5<#:<=1o85a244957=<ak:1<7*=568`1>h5=?0:?65fag83>!42?3i>7c<:6;37?>ofm3:1(?;8:b78j73128?07dmk:18'601=k<1e>8851798mfe=83.99:4l5:l113<6?21boo4?:%063?e23g8>:7?7;:k`e?6=,;?<6n;4n375>4?<3`i26=4+2459g0=i:<<1=l54ib:94?"5=>0h96`=5782f>=nk>0;6)<:7;a6?k42>3;h76gl3;29 7302j?0b?;9:0f8?ld?290/>895c49m600=9l10elj50;&112<d=2d99;4>f:9ja2<72-8>;7k9;o062?6<3`o>6=4+2459a3=i:<<1=65fe283>!42?3o=7c<:6;08?lc5290/>895e79m600=;21bi<4?:%063?c13g8>:7:4;hg3>5<#:<=1i;5a24491>=nlo0;6)<:7;g5?k42>3<07djj:18'601=m?1e>8857:9j`a<72-8>;7k9;o062?><3`nh6=4+2459a3=i:<<1565fdc83>!42?3o=7c<:6;c8?lbf290/>895e79m600=j21bh54?:%063?c13g8>:7m4;hf4>5<#:<=1i;5a2449`>=nl?0;6)<:7;g5?k42>3o07dj::18'601=m?1e>885f:9j`1<72-8>;7k9;o062?7732co?7>5$374>`0<f;?=6<?4;hf1>5<#:<=1i;5a244957=<am;1<7*=568f2>h5=?0:?65fd183>!42?3o=7c<:6;37?>odn3:1(?;8:d48j73128?07dkj:18'601=m?1e>8851798m`b=83.99:4j6:l113<6?21bin4?:%063?c13g8>:7?7;:kff?6=,;?<6h84n375>4?<3`oj6=4+2459a3=i:<<1=l54id;94?"5=>0n:6`=5782f>=nm10;6)<:7;g5?k42>3;h76gj4;29 7302l<0b?;9:0f8?lb>290/>895e79m600=9l10enk50;&112<b>2d99;4>f:9j542=83.99:4>129m600=821b=<<50;&112<69:1e>8851:9j547=83.99:4>129m600=:21b=<>50;&112<69:1e>8853:9j54?=83.99:4>199m600=821b=<950;&112<6911e>8851:9j540=83.99:4>199m600=:21b=<;50;&112<6911e>8853:9j6`3=83.99:4=e59m600=821b>h=50;&112<5m=1e>8851:9j6`4=83.99:4=e59m600=:21b>h?50;&112<5m=1e>8853:9j6`g=83.99:4=e89m600=821b>h650;&112<5m01e>8851:9j6`1=83.99:4=e89m600=:21b>h850;&112<5m01e>8853:9l565=83.99:4>339m600=821d=>?50;&112<6;;1e>8851:9l57`=83.99:4>339m600=:21d=?k50;&112<6;;1e>8853:9l57b=83.99:4>339m600=<21d=?m50;&112<6;;1e>8855:9l57d=83.99:4>339m600=>21d=?o50;&112<6;;1e>8857:9l57?=83.99:4>339m600=021d=?650;&112<6;;1e>8859:9l571=83.99:4>339m600=i21d=?850;&112<6;;1e>885b:9l572=83.99:4>339m600=k21d=?=50;&112<6;;1e>885d:9l574=83.99:4>339m600=m21d=??50;&112<6;;1e>885f:9l576=83.99:4>339m600=9910c<?i:18'601=9:80b?;9:038?j76m3:1(?;8:011?k42>3;976a>1e83>!42?3;8>6`=57827>=h98i1<7*=568277=i:<<1=954o03a>5<#:<=1=><4n375>43<3f;8m7>5$374>4553g8>:7?9;:m27<<72-8>;7?<2:l113<6?21d=>650;&112<6;;1e>8851998k450290/>8951208j73128307b?<6;29 73028997c<:6;3b?>i6;<0;6)<:7;306>h5=?0:n65`12694?"5=>0:??5a24495f=<g89;6=4+2459564<f;?=6<j4;n311?6=,;?<6<==;o062?7b32e:=l4?:%063?74:2d99;4>f:9l503=83.99:4>559m600=821d=8=50;&112<6==1e>8851:9l507=83.99:4>559m600=:21d=8>50;&112<6==1e>8853:9l51`=83.99:4>559m600=<21d=9k50;&112<6==1e>8855:9l51b=83.99:4>559m600=>21d=9m50;&112<6==1e>8857:9l51d=83.99:4>559m600=021d=9o50;&112<6==1e>8859:9l51?=83.99:4>559m600=i21d=9650;&112<6==1e>885b:9l510=83.99:4>559m600=k21d=9;50;&112<6==1e>885d:9l512=83.99:4>559m600=m21d=9=50;&112<6==1e>885f:9l514=83.99:4>559m600=9910c<:>:18'601=9<>0b?;9:038?j7383:1(?;8:077?k42>3;976a>3g83>!42?3;>86`=57827>=h9:o1<7*=568211=i:<<1=954o01g>5<#:<=1=8:4n375>43<3f;>o7>5$374>4333g8>:7?9;:m21g<72-8>;7?:4:l113<6?21d=8o50;&112<6==1e>8851998k43>290/>8951468j73128307b?:8;29 73028??7c<:6;3b?>i6=>0;6)<:7;360>h5=?0:n65`14494?"5=>0:995a24495f=<g8?96=4+2459502<f;?=6<j4;n373?6=,;?<6<;;;o062?7b32e:?n4?:%063?72<2d99;4>f:9l534=83.99:4>609m600=821d=;>50;&112<6>81e>8851:9l50`=83.99:4>609m600=:21d=8k50;&112<6>81e>8853:9l531=83.99:4>679m600=821d=;;50;&112<6>?1e>8851:9l532=83.99:4>679m600=:21d=;=50;&112<6>?1e>8853:9~f<22290h>7>50z&1`<<5j?1C?=;4H3fg?_0f2jq957<n:3f96`<4=39=6>9539805?552:31?l4r$0a4>6=#9j21?6*>c880?!7di390(<mm:29'5fe=;2.:oi4<;%3`a?5<,8im6>5+1e297>"6l8087)?k2;18 4b42:1/=i:53:&2`0<43-;o:7=4$0f4>6=#9m21?6*>d880?!7ci390(<jm:29'5ae=;2.:hi4<;%3ga?5<,8nm6>5+1d297>"6m8087)?j2;18 4c42:1/=h:53:&2a0<53-;n:7<4$0;`>6=#90n1?6*>9d80?!7>n390(<o?:29'5d7=;2.:i:4>8g9'5`>=91l0(?l8:373?!4e038><6`=cc8:?k4dk330(?j=:373?!7f:390(<o<:29'6`e=:lh0(?kk:3ga?k4bm330b?ki:89'5g4=;2.:n>4<;%3a0?5<,8h>6>5+1c497>"6j>087)?m8;18 4d>2:1/=oo53:&2fg<43-;io7=4$0`g>6=#9ko1?6*>bg80?!7d8390(<m>:29'5f4=;2.:o>4<;%3`0?5<,8i>6>5+1b497>h6;k0;7c?:d;28 7b6282m7)<k5;08 4g22:1/=l853:&2e2<43-;j47=4$0c:>6=#9hk1?6*>ac80?!7fk390(<ok:29'5dc=;2.:mk4<;%3a4?5<,8h:6>5+2e496>o1l3:17d8j:188m22=831b;84?::k2<g<722c9oi4?::k1g`<722c:4n4?::k1`2<722c9h54?::m5b?6=3f=;6=44i5c94?"5=>0?56`=5783?>o303:1(?;8:5;8j7312810e9950;&112<312d99;4=;:k72?6=,;?<6974n375>6=<a=?1<7*=5687=>h5=?0?76g:3;29 7302=30b?;9:498m04=83.99:4;9:l113<132c>=7>5$374>1?<f;?=6:54i4294?"5=>0?56`=578;?>o3n3:1(?;8:5;8j7312010e9k50;&112<312d99;4n;:k7`?6=,;?<6974n375>g=<a=i1<7*=5687=>h5=?0h76g;b;29 7302=30b?;9:e98m12=83.99:4;9:l113<b32c>m7>5$374>0?<f;?=6=54i4:94?"5=>0>56`=5782?>o2?3:1(?;8:4;8j7312;10e8850;&112<212d99;4<;:k61?6=,;?<6874n375>1=<a?91<7*=5686=>h5=?0>76g92;29 7302<30b?;9:798m37=83.99:4:9:l113<032c=<7>5$374>0?<f;?=6554i4d94?"5=>0>56`=578:?>o2m3:1(?;8:4;8j7312h10e8j50;&112<212d99;4m;:k6g?6=,;?<6874n375>f=<a<h1<7*=5686=>h5=?0o76g:4;29 7302<30b?;9:d98mf0=83.99:4l5:l113<732ch87>5$374>f3<f;?=6<54ib094?"5=>0h96`=5781?>od93:1(?;8:b78j7312:10en>50;&112<d=2d99;4;;:kab?6=,;?<6n;4n375>0=<ako1<7*=568`1>h5=?0=76gmd;29 7302j?0b?;9:698mge=83.99:4l5:l113<?32cin7>5$374>f3<f;?=6454icc94?"5=>0h96`=578b?>oe13:1(?;8:b78j7312k10eo950;&112<d=2d99;4l;:ka2?6=,;?<6n;4n375>a=<ak?1<7*=568`1>h5=?0n76gm4;29 7302j?0b?;9:g98mg5=83.99:4l5:l113<6821bn?4?:%063?e23g8>:7?>;:ka5?6=,;?<6n;4n375>44<3`h;6=4+2459g0=i:<<1=>54i`d94?"5=>0h96`=57820>=nil0;6)<:7;a6?k42>3;>76gld;29 7302j?0b?;9:048?led290/>895c49m600=9>10enl50;&112<d=2d99;4>8:9jgd<72-8>;7m:;o062?7>32ch57>5$374>f3<f;?=6<o4;ha;>5<#:<=1o85a24495g=<aj=1<7*=568`1>h5=?0:o65fc283>!42?3i>7c<:6;3g?>oe03:1(?;8:b78j73128o07dok:18'601=k<1e>8851g98m`1=83.99:4j6:l113<732cn97>5$374>`0<f;?=6<54id194?"5=>0n:6`=5781?>ob:3:1(?;8:d48j7312:10eh?50;&112<b>2d99;4;;:kf4?6=,;?<6h84n375>0=<aml1<7*=568f2>h5=?0=76gke;29 7302l<0b?;9:698mab=83.99:4j6:l113<?32coo7>5$374>`0<f;?=6454ie`94?"5=>0n:6`=578b?>oci3:1(?;8:d48j7312k10ei650;&112<b>2d99;4l;:kg3?6=,;?<6h84n375>a=<am<1<7*=568f2>h5=?0n76gk5;29 7302l<0b?;9:g98ma2=83.99:4j6:l113<6821bh>4?:%063?c13g8>:7?>;:kg6?6=,;?<6h84n375>44<3`n:6=4+2459a3=i:<<1=>54ie294?"5=>0n:6`=57820>=nko0;6)<:7;g5?k42>3;>76gje;29 7302l<0b?;9:048?lcc290/>895e79m600=9>10ehm50;&112<b>2d99;4>8:9jag<72-8>;7k9;o062?7>32cnm7>5$374>`0<f;?=6<o4;hg:>5<#:<=1i;5a24495g=<al21<7*=568f2>h5=?0:o65fe583>!42?3o=7c<:6;3g?>oc13:1(?;8:d48j73128o07dmj:18'601=m?1e>8851g98m473290/>8951018j7312910e<?=:18'601=9890b?;9:098m476290/>8951018j7312;10e<??:18'601=9890b?;9:298m47>290/>89510:8j7312910e<?8:18'601=9820b?;9:098m471290/>89510:8j7312;10e<?::18'601=9820b?;9:298m7c2290/>8952d68j7312910e?k<:18'601=:l>0b?;9:098m7c5290/>8952d68j7312;10e?k>:18'601=:l>0b?;9:298m7cf290/>8952d;8j7312910e?k7:18'601=:l30b?;9:098m7c0290/>8952d;8j7312;10e?k9:18'601=:l30b?;9:298k454290/>8951208j7312910c<=>:18'601=9:80b?;9:098k44a290/>8951208j7312;10c<<j:18'601=9:80b?;9:298k44c290/>8951208j7312=10c<<l:18'601=9:80b?;9:498k44e290/>8951208j7312?10c<<n:18'601=9:80b?;9:698k44>290/>8951208j7312110c<<7:18'601=9:80b?;9:898k440290/>8951208j7312h10c<<9:18'601=9:80b?;9:c98k443290/>8951208j7312j10c<<<:18'601=9:80b?;9:e98k445290/>8951208j7312l10c<<>:18'601=9:80b?;9:g98k447290/>8951208j73128:07b?>f;29 73028997c<:6;32?>i69l0;6)<:7;306>h5=?0:>65`10f94?"5=>0:??5a244956=<g8;h6=4+2459564<f;?=6<:4;n32f?6=,;?<6<==;o062?7232e:?l4?:%063?74:2d99;4>6:9l56?=83.99:4>339m600=9>10c<=7:18'601=9:80b?;9:0:8?j74?3:1(?;8:011?k42>3;276a>3783>!42?3;8>6`=5782e>=h9:?1<7*=568277=i:<<1=o54o017>5<#:<=1=><4n375>4e<3f;8<7>5$374>4553g8>:7?k;:m260<72-8>;7?<2:l113<6m21d=<o50;&112<6;;1e>8851g98k432290/>8951468j7312910c<;<:18'601=9<>0b?;9:098k436290/>8951468j7312;10c<;?:18'601=9<>0b?;9:298k42a290/>8951468j7312=10c<:j:18'601=9<>0b?;9:498k42c290/>8951468j7312?10c<:l:18'601=9<>0b?;9:698k42e290/>8951468j7312110c<:n:18'601=9<>0b?;9:898k42>290/>8951468j7312h10c<:7:18'601=9<>0b?;9:c98k421290/>8951468j7312j10c<:::18'601=9<>0b?;9:e98k423290/>8951468j7312l10c<:<:18'601=9<>0b?;9:g98k425290/>8951468j73128:07b?;1;29 73028??7c<:6;32?>i6<90;6)<:7;360>h5=?0:>65`12d94?"5=>0:995a244956=<g89n6=4+2459502<f;?=6<:4;n30`?6=,;?<6<;;;o062?7232e:9n4?:%063?72<2d99;4>6:9l50d=83.99:4>559m600=9>10c<;n:18'601=9<>0b?;9:0:8?j7213:1(?;8:077?k42>3;276a>5983>!42?3;>86`=5782e>=h9<=1<7*=568211=i:<<1=o54o075>5<#:<=1=8:4n375>4e<3f;>>7>5$374>4333g8>:7?k;:m202<72-8>;7?:4:l113<6m21d=>m50;&112<6==1e>8851g98k405290/>8951738j7312910c<8?:18'601=9?;0b?;9:098k43a290/>8951738j7312;10c<;j:18'601=9?;0b?;9:298k400290/>8951748j7312910c<8::18'601=9?<0b?;9:098k403290/>8951748j7312;10c<8<:18'601=9?<0b?;9:298yg?3>3:1o?4?:1y'6a?=:k<0D>>:;I0g`>\1i3ip>44=a;0g>7c=;<08:7=8:2:974<4:3926>o5}%3`3?5<,8i36>5+1b;97>"6kh087)?lb;18 4ed2:1/=nj53:&2g`<43-;hj7=4$0f3>6=#9m;1?6*>d380?!7c;390(<j;:29'5a3=;2.:h;4<;%3g3?5<,8n36>5+1e;97>"6lh087)?kb;18 4bd2:1/=ij53:&2``<43-;oj7=4$0g3>6=#9l;1?6*>e380?!7b;390(<k;:29'5`3=:2.:i;4=;%3:g?5<,83o6>5+18g97>"61o087)?n0;18 4g62:1/=h9519d8 4c?282m7)<m7;064>"5j1099=5a2b`9=>h5kj027)<k2;064>"6i;087)?n3;18 7cd2;oi7)<jd;0ff>h5ml027c<jf;;8 4d52:1/=o=53:&2f1<43-;i97=4$0`5>6=#9k=1?6*>b980?!7e1390(<ln:29'5gd=;2.:nn4<;%3a`?5<,8hn6>5+1cd97>"6k9087)?l1;18 4e52:1/=n=53:&2g1<43-;h97=4$0a5>6=i9:h1<6`>5e83?!4c93;3j6*=d481?!7f=390(<o9:29'5d1=;2.:m54<;%3b=?5<,8kj6>5+1``97>"6ij087)?nd;18 4gb2:1/=lh53:&2f5<43-;i=7=4$3f5>7=n>m0;66g9e;29?l132900e:;50;9j5=d=831b>nj50;9j6fc=831b=5m50;9j6a1=831b>i650;9l2c<722e<<7>5;h6b>5<#:<=1845a24494>=n<10;6)<:7;6:?k42>3;07d:8:18'601=<01e>8852:9j03<72-8>;7:6;o062?5<3`>>6=4+24590<=i:<<1865f5283>!42?3>27c<:6;78?l35290/>895489m600=>21b9<4?:%063?2>3g8>:794;h73>5<#:<=1845a2449<>=n<o0;6)<:7;6:?k42>3307d:j:18'601=<01e>885a:9j0a<72-8>;7:6;o062?d<3`>h6=4+24590<=i:<<1o65f4c83>!42?3>27c<:6;f8?l23290/>895489m600=m21b9l4?:%063?3>3g8>:7>4;h7;>5<#:<=1945a24495>=n=>0;6)<:7;7:?k42>3807d;9:18'601==01e>8853:9j10<72-8>;7;6;o062?2<3`<86=4+24591<=i:<<1965f6383>!42?3?27c<:6;48?l06290/>895589m600=?21b:=4?:%063?3>3g8>:764;h7e>5<#:<=1945a2449=>=n=l0;6)<:7;7:?k42>3k07d;k:18'601==01e>885b:9j1f<72-8>;7;6;o062?e<3`?i6=4+24591<=i:<<1h65f5583>!42?3?27c<:6;g8?le1290/>895c49m600=821bo94?:%063?e23g8>:7?4;ha1>5<#:<=1o85a24496>=nk80;6)<:7;a6?k42>3907dm?:18'601=k<1e>8854:9jfc<72-8>;7m:;o062?3<3`hn6=4+2459g0=i:<<1:65fbe83>!42?3i>7c<:6;58?ldd290/>895c49m600=021bno4?:%063?e23g8>:774;h`b>5<#:<=1o85a2449e>=nj00;6)<:7;a6?k42>3h07dl8:18'601=k<1e>885c:9jf3<72-8>;7m:;o062?b<3`h>6=4+2459g0=i:<<1i65fb583>!42?3i>7c<:6;d8?ld4290/>895c49m600=9910eo<50;&112<d=2d99;4>1:9jf4<72-8>;7m:;o062?7532ci<7>5$374>f3<f;?=6<=4;hce>5<#:<=1o85a244951=<aho1<7*=568`1>h5=?0:965fce83>!42?3i>7c<:6;35?>odk3:1(?;8:b78j73128=07dmm:18'601=k<1e>8851998mfg=83.99:4l5:l113<6121bo44?:%063?e23g8>:7?n;:k`<?6=,;?<6n;4n375>4d<3`i<6=4+2459g0=i:<<1=n54ib194?"5=>0h96`=5782`>=nj10;6)<:7;a6?k42>3;n76gnd;29 7302j?0b?;9:0d8?lc0290/>895e79m600=821bi84?:%063?c13g8>:7?4;hg0>5<#:<=1i;5a24496>=nm;0;6)<:7;g5?k42>3907dk>:18'601=m?1e>8854:9ja5<72-8>;7k9;o062?3<3`nm6=4+2459a3=i:<<1:65fdd83>!42?3o=7c<:6;58?lbc290/>895e79m600=021bhn4?:%063?c13g8>:774;hfa>5<#:<=1i;5a2449e>=nlh0;6)<:7;g5?k42>3h07dj7:18'601=m?1e>885c:9j`2<72-8>;7k9;o062?b<3`n=6=4+2459a3=i:<<1i65fd483>!42?3o=7c<:6;d8?lb3290/>895e79m600=9910ei=50;&112<b>2d99;4>1:9j`7<72-8>;7k9;o062?7532co=7>5$374>`0<f;?=6<=4;hf3>5<#:<=1i;5a244951=<ajl1<7*=568f2>h5=?0:965fed83>!42?3o=7c<:6;35?>obl3:1(?;8:d48j73128=07dkl:18'601=m?1e>8851998m`d=83.99:4j6:l113<6121bil4?:%063?c13g8>:7?n;:kf=?6=,;?<6h84n375>4d<3`o36=4+2459a3=i:<<1=n54id694?"5=>0n:6`=5782`>=nl00;6)<:7;g5?k42>3;n76gle;29 7302l<0b?;9:0d8?l76<3:1(?;8:030?k42>3:07d?>2;29 73028;87c<:6;38?l7693:1(?;8:030?k42>3807d?>0;29 73028;87c<:6;18?l7613:1(?;8:03;?k42>3:07d?>7;29 73028;37c<:6;38?l76>3:1(?;8:03;?k42>3807d?>5;29 73028;37c<:6;18?l4b=3:1(?;8:3g7?k42>3:07d<j3;29 7302;o?7c<:6;38?l4b:3:1(?;8:3g7?k42>3807d<j1;29 7302;o?7c<:6;18?l4bi3:1(?;8:3g:?k42>3:07d<j8;29 7302;o27c<:6;38?l4b?3:1(?;8:3g:?k42>3807d<j6;29 7302;o27c<:6;18?j74;3:1(?;8:011?k42>3:07b?<1;29 73028997c<:6;38?j75n3:1(?;8:011?k42>3807b?=e;29 73028997c<:6;18?j75l3:1(?;8:011?k42>3>07b?=c;29 73028997c<:6;78?j75j3:1(?;8:011?k42>3<07b?=a;29 73028997c<:6;58?j7513:1(?;8:011?k42>3207b?=8;29 73028997c<:6;;8?j75?3:1(?;8:011?k42>3k07b?=6;29 73028997c<:6;`8?j75<3:1(?;8:011?k42>3i07b?=3;29 73028997c<:6;f8?j75:3:1(?;8:011?k42>3o07b?=1;29 73028997c<:6;d8?j7583:1(?;8:011?k42>3;;76a>1g83>!42?3;8>6`=57825>=h98o1<7*=568277=i:<<1=?54o03g>5<#:<=1=><4n375>45<3f;:o7>5$374>4553g8>:7?;;:m25g<72-8>;7?<2:l113<6=21d=>o50;&112<6;;1e>8851798k45>290/>8951208j73128=07b?<8;29 73028997c<:6;3;?>i6;>0;6)<:7;306>h5=?0:565`12494?"5=>0:??5a24495d=<g89>6=4+2459564<f;?=6<l4;n300?6=,;?<6<==;o062?7d32e:?=4?:%063?74:2d99;4>d:9l573=83.99:4>339m600=9l10c<?n:18'601=9:80b?;9:0d8?j72=3:1(?;8:077?k42>3:07b?:3;29 73028??7c<:6;38?j7293:1(?;8:077?k42>3807b?:0;29 73028??7c<:6;18?j73n3:1(?;8:077?k42>3>07b?;e;29 73028??7c<:6;78?j73l3:1(?;8:077?k42>3<07b?;c;29 73028??7c<:6;58?j73j3:1(?;8:077?k42>3207b?;a;29 73028??7c<:6;;8?j7313:1(?;8:077?k42>3k07b?;8;29 73028??7c<:6;`8?j73>3:1(?;8:077?k42>3i07b?;5;29 73028??7c<:6;f8?j73<3:1(?;8:077?k42>3o07b?;3;29 73028??7c<:6;d8?j73:3:1(?;8:077?k42>3;;76a>4083>!42?3;>86`=57825>=h9=:1<7*=568211=i:<<1=?54o01e>5<#:<=1=8:4n375>45<3f;8i7>5$374>4333g8>:7?;;:m27a<72-8>;7?:4:l113<6=21d=8m50;&112<6==1e>8851798k43e290/>8951468j73128=07b?:a;29 73028??7c<:6;3;?>i6=00;6)<:7;360>h5=?0:565`14:94?"5=>0:995a24495d=<g8?<6=4+2459502<f;?=6<l4;n362?6=,;?<6<;;;o062?7d32e:9?4?:%063?72<2d99;4>d:9l511=83.99:4>559m600=9l10c<=l:18'601=9<>0b?;9:0d8?j71:3:1(?;8:042?k42>3:07b?90;29 73028<:7c<:6;38?j72n3:1(?;8:042?k42>3807b?:e;29 73028<:7c<:6;18?j71?3:1(?;8:045?k42>3:07b?95;29 73028<=7c<:6;38?j71<3:1(?;8:045?k42>3807b?93;29 73028<=7c<:6;18?xd><10;6n<50;2x 7b>2;h=7E=?5:J1`a=]>h0hw?752`81`?4b2:?1?;4<7;1;>67=;;0857=n:|&2g2<43-;h47=4$0a:>6=#9jk1?6*>cc80?!7dk390(<mk:29'5fc=;2.:ok4<;%3g4?5<,8n:6>5+1e097>"6l:087)?k4;18 4b22:1/=i853:&2`2<43-;o47=4$0f:>6=#9mk1?6*>dc80?!7ck390(<jk:29'5ac=;2.:hk4<;%3f4?5<,8o:6>5+1d097>"6m:087)?j4;18 4c22;1/=h852:&2=f<43-;2h7=4$0;f>6=#90l1?6*>a180?!7f9390(<k8:0:e?!7b03;3j6*=b68115=#:k21>8>4n3aa><=i:ji156*=d38115=#9h81?6*>a280?!4bk38nn6*=ee81ag=i:lo156`=eg8:?!7e:390(<l<:29'5g2=;2.:n84<;%3a2?5<,8h<6>5+1c:97>"6j0087)?ma;18 4de2:1/=om53:&2fa<43-;ii7=4$0`e>6=#9j:1?6*>c080?!7d:390(<m<:29'5f2=;2.:o84<;%3`2?5<f89i6=5a14f94>"5l80:4k5+2e796>"6i<087)?n6;18 4g02:1/=l653:&2e<<43-;jm7=4$0ca>6=#9hi1?6*>ae80?!7fm390(<oi:29'5g6=;2.:n<4<;%0g2?4<a?n1<75f6d83>>o0<3:17d9::188m4>e2900e?mk:188m7eb2900e<6l:188m7b02900e?j7:188k3`=831d;=4?::k7e?6=,;?<6974n375>5=<a=21<7*=5687=>h5=?0:76g;7;29 7302=30b?;9:398m10=83.99:4;9:l113<432c?97>5$374>1?<f;?=6954i4194?"5=>0?56`=5786?>o2:3:1(?;8:5;8j7312?10e8?50;&112<312d99;48;:k64?6=,;?<6974n375>==<a=l1<7*=5687=>h5=?0276g;e;29 7302=30b?;9:`98m1b=83.99:4;9:l113<e32c?o7>5$374>1?<f;?=6n54i5`94?"5=>0?56`=578g?>o3<3:1(?;8:5;8j7312l10e8o50;&112<212d99;4?;:k6<?6=,;?<6874n375>4=<a<=1<7*=5686=>h5=?0976g:6;29 7302<30b?;9:298m03=83.99:4:9:l113<332c=?7>5$374>0?<f;?=6854i7094?"5=>0>56`=5785?>o193:1(?;8:4;8j7312>10e;>50;&112<212d99;47;:k6b?6=,;?<6874n375><=<a<o1<7*=5686=>h5=?0j76g:d;29 7302<30b?;9:c98m0e=83.99:4:9:l113<d32c>n7>5$374>0?<f;?=6i54i4694?"5=>0>56`=578f?>od>3:1(?;8:b78j7312910en:50;&112<d=2d99;4>;:k`6?6=,;?<6n;4n375>7=<aj;1<7*=568`1>h5=?0876gl0;29 7302j?0b?;9:598mg`=83.99:4l5:l113<232cii7>5$374>f3<f;?=6;54icf94?"5=>0h96`=5784?>oek3:1(?;8:b78j7312110eol50;&112<d=2d99;46;:kae?6=,;?<6n;4n375>d=<ak31<7*=568`1>h5=?0i76gm7;29 7302j?0b?;9:b98mg0=83.99:4l5:l113<c32ci97>5$374>f3<f;?=6h54ic694?"5=>0h96`=578e?>oe;3:1(?;8:b78j73128:07dl=:18'601=k<1e>8851098mg7=83.99:4l5:l113<6:21bn=4?:%063?e23g8>:7?<;:kbb?6=,;?<6n;4n375>42<3`kn6=4+2459g0=i:<<1=854ibf94?"5=>0h96`=57822>=nkj0;6)<:7;a6?k42>3;<76glb;29 7302j?0b?;9:0:8?lef290/>895c49m600=9010en750;&112<d=2d99;4>a:9jg=<72-8>;7m:;o062?7e32ch;7>5$374>f3<f;?=6<m4;ha0>5<#:<=1o85a24495a=<ak21<7*=568`1>h5=?0:i65fae83>!42?3i>7c<:6;3e?>ob?3:1(?;8:d48j7312910eh;50;&112<b>2d99;4>;:kf7?6=,;?<6h84n375>7=<al81<7*=568f2>h5=?0876gj1;29 7302l<0b?;9:598m`6=83.99:4j6:l113<232coj7>5$374>`0<f;?=6;54ieg94?"5=>0n:6`=5784?>ocl3:1(?;8:d48j7312110eim50;&112<b>2d99;46;:kgf?6=,;?<6h84n375>d=<amk1<7*=568f2>h5=?0i76gk8;29 7302l<0b?;9:b98ma1=83.99:4j6:l113<c32co:7>5$374>`0<f;?=6h54ie794?"5=>0n:6`=578e?>oc<3:1(?;8:d48j73128:07dj<:18'601=m?1e>8851098ma4=83.99:4j6:l113<6:21bh<4?:%063?c13g8>:7?<;:kg4?6=,;?<6h84n375>42<3`im6=4+2459a3=i:<<1=854idg94?"5=>0n:6`=57822>=nmm0;6)<:7;g5?k42>3;<76gjc;29 7302l<0b?;9:0:8?lce290/>895e79m600=9010eho50;&112<b>2d99;4>a:9ja<<72-8>;7k9;o062?7e32cn47>5$374>`0<f;?=6<m4;hg7>5<#:<=1i;5a24495a=<am31<7*=568f2>h5=?0:i65fcd83>!42?3o=7c<:6;3e?>o69=0;6)<:7;327>h5=?0;76g>1383>!42?3;:?6`=5782?>o6980;6)<:7;327>h5=?0976g>1183>!42?3;:?6`=5780?>o6900;6)<:7;32<>h5=?0;76g>1683>!42?3;:46`=5782?>o69?0;6)<:7;32<>h5=?0976g>1483>!42?3;:46`=5780?>o5m<0;6)<:7;0f0>h5=?0;76g=e283>!42?38n86`=5782?>o5m;0;6)<:7;0f0>h5=?0976g=e083>!42?38n86`=5780?>o5mh0;6)<:7;0f=>h5=?0;76g=e983>!42?38n56`=5782?>o5m>0;6)<:7;0f=>h5=?0976g=e783>!42?38n56`=5780?>i6;:0;6)<:7;306>h5=?0;76a>3083>!42?3;8>6`=5782?>i6:o0;6)<:7;306>h5=?0976a>2d83>!42?3;8>6`=5780?>i6:m0;6)<:7;306>h5=?0?76a>2b83>!42?3;8>6`=5786?>i6:k0;6)<:7;306>h5=?0=76a>2`83>!42?3;8>6`=5784?>i6:00;6)<:7;306>h5=?0376a>2983>!42?3;8>6`=578:?>i6:>0;6)<:7;306>h5=?0j76a>2783>!42?3;8>6`=578a?>i6:=0;6)<:7;306>h5=?0h76a>2283>!42?3;8>6`=578g?>i6:;0;6)<:7;306>h5=?0n76a>2083>!42?3;8>6`=578e?>i6:90;6)<:7;306>h5=?0:<65`10d94?"5=>0:??5a244954=<g8;n6=4+2459564<f;?=6<<4;n32`?6=,;?<6<==;o062?7432e:=n4?:%063?74:2d99;4>4:9l54d=83.99:4>339m600=9<10c<=n:18'601=9:80b?;9:048?j7413:1(?;8:011?k42>3;<76a>3983>!42?3;8>6`=5782<>=h9:=1<7*=568277=i:<<1=454o015>5<#:<=1=><4n375>4g<3f;897>5$374>4553g8>:7?m;:m271<72-8>;7?<2:l113<6k21d=>>50;&112<6;;1e>8851e98k442290/>8951208j73128o07b?>a;29 73028997c<:6;3e?>i6=<0;6)<:7;360>h5=?0;76a>5283>!42?3;>86`=5782?>i6=80;6)<:7;360>h5=?0976a>5183>!42?3;>86`=5780?>i6<o0;6)<:7;360>h5=?0?76a>4d83>!42?3;>86`=5786?>i6<m0;6)<:7;360>h5=?0=76a>4b83>!42?3;>86`=5784?>i6<k0;6)<:7;360>h5=?0376a>4`83>!42?3;>86`=578:?>i6<00;6)<:7;360>h5=?0j76a>4983>!42?3;>86`=578a?>i6<?0;6)<:7;360>h5=?0h76a>4483>!42?3;>86`=578g?>i6<=0;6)<:7;360>h5=?0n76a>4283>!42?3;>86`=578e?>i6<;0;6)<:7;360>h5=?0:<65`15394?"5=>0:995a244954=<g8>;6=4+2459502<f;?=6<<4;n30b?6=,;?<6<;;;o062?7432e:?h4?:%063?72<2d99;4>4:9l56b=83.99:4>559m600=9<10c<;l:18'601=9<>0b?;9:048?j72j3:1(?;8:077?k42>3;<76a>5`83>!42?3;>86`=5782<>=h9<31<7*=568211=i:<<1=454o07;>5<#:<=1=8:4n375>4g<3f;>;7>5$374>4333g8>:7?m;:m213<72-8>;7?:4:l113<6k21d=8<50;&112<6==1e>8851e98k420290/>8951468j73128o07b?<c;29 73028??7c<:6;3e?>i6>;0;6)<:7;355>h5=?0;76a>6183>!42?3;==6`=5782?>i6=o0;6)<:7;355>h5=?0976a>5d83>!42?3;==6`=5780?>i6>>0;6)<:7;352>h5=?0;76a>6483>!42?3;=:6`=5782?>i6>=0;6)<:7;352>h5=?0976a>6283>!42?3;=:6`=5780?>{e1=31<7m=:183\7f!4c138i:6F<049K6ab<R?k1ov<6:3c96a<5m39>6>853680<?562:81?44<a;\7f'5f1=;2.:o54<;%3`=?5<,8ij6>5+1b`97>"6kj087)?ld;18 4eb2:1/=nh53:&2`5<43-;o=7=4$0f1>6=#9m91?6*>d580?!7c=390(<j9:29'5a1=;2.:h54<;%3g=?5<,8nj6>5+1e`97>"6lj087)?kd;18 4bb2:1/=ih53:&2a5<43-;n=7=4$0g1>6=#9l91?6*>e580?!7b=380(<k9:39'5<e=;2.:5i4<;%3:a?5<,83m6>5+1`297>"6i8087)?j7;3;b>"6m10:4k5+2c59606<,;h36?;?;o0`f??<f;ih645+2e09606<,8k96>5+1`197>"5mj09io5+2df96`d<f;on645a2dd9=>"6j;087)?m3;18 4d32:1/=o;53:&2f3<43-;i;7=4$0`;>6=#9k31?6*>b`80?!7ej390(<ll:29'5gb=;2.:nh4<;%3ab?5<,8i;6>5+1b397>"6k;087)?l3;18 4e32:1/=n;53:&2g3<43g;8n7>4n07g>5=#:m;1=5h4$3f6>7=#9h?1?6*>a780?!7f?390(<o7:29'5d?=;2.:ml4<;%3bf?5<,8kh6>5+1`f97>"6il087)?nf;18 4d72:1/=o?53:&1`3<53`<o6=44i7g94?=n?=0;66g85;29?l7?j3:17d<ld;29?l4dm3:17d?7c;29?l4c?3:17d<k8;29?j0a2900c:>50;9j0d<72-8>;7:6;o062?6<3`>36=4+24590<=i:<<1=65f4683>!42?3>27c<:6;08?l21290/>895489m600=;21b884?:%063?2>3g8>:7:4;h70>5<#:<=1845a24491>=n=;0;6)<:7;6:?k42>3<07d;>:18'601=<01e>8857:9j15<72-8>;7:6;o062?><3`>m6=4+24590<=i:<<1565f4d83>!42?3>27c<:6;c8?l2c290/>895489m600=j21b8n4?:%063?2>3g8>:7m4;h6a>5<#:<=1845a2449`>=n<=0;6)<:7;6:?k42>3o07d;n:18'601==01e>8850:9j1=<72-8>;7;6;o062?7<3`?<6=4+24591<=i:<<1>65f5783>!42?3?27c<:6;18?l32290/>895589m600=<21b:>4?:%063?3>3g8>:7;4;h41>5<#:<=1945a24492>=n>80;6)<:7;7:?k42>3=07d8?:18'601==01e>8858:9j1c<72-8>;7;6;o062??<3`?n6=4+24591<=i:<<1m65f5e83>!42?3?27c<:6;`8?l3d290/>895589m600=k21b9o4?:%063?3>3g8>:7j4;h77>5<#:<=1945a2449a>=nk?0;6)<:7;a6?k42>3:07dm;:18'601=k<1e>8851:9jg7<72-8>;7m:;o062?4<3`i:6=4+2459g0=i:<<1?65fc183>!42?3i>7c<:6;68?lda290/>895c49m600==21bnh4?:%063?e23g8>:784;h`g>5<#:<=1o85a24493>=njj0;6)<:7;a6?k42>3207dlm:18'601=k<1e>8859:9jfd<72-8>;7m:;o062?g<3`h26=4+2459g0=i:<<1n65fb683>!42?3i>7c<:6;a8?ld1290/>895c49m600=l21bn84?:%063?e23g8>:7k4;h`7>5<#:<=1o85a2449b>=nj:0;6)<:7;a6?k42>3;;76gm2;29 7302j?0b?;9:038?ld6290/>895c49m600=9;10eo>50;&112<d=2d99;4>3:9jec<72-8>;7m:;o062?7332cji7>5$374>f3<f;?=6<;4;hag>5<#:<=1o85a244953=<aji1<7*=568`1>h5=?0:;65fcc83>!42?3i>7c<:6;3;?>odi3:1(?;8:b78j73128307dm6:18'601=k<1e>8851`98mf>=83.99:4l5:l113<6j21bo:4?:%063?e23g8>:7?l;:k`7?6=,;?<6n;4n375>4b<3`h36=4+2459g0=i:<<1=h54i`f94?"5=>0h96`=5782b>=nm>0;6)<:7;g5?k42>3:07dk::18'601=m?1e>8851:9ja6<72-8>;7k9;o062?4<3`o96=4+2459a3=i:<<1?65fe083>!42?3o=7c<:6;68?lc7290/>895e79m600==21bhk4?:%063?c13g8>:784;hff>5<#:<=1i;5a24493>=nlm0;6)<:7;g5?k42>3207djl:18'601=m?1e>8859:9j`g<72-8>;7k9;o062?g<3`nj6=4+2459a3=i:<<1n65fd983>!42?3o=7c<:6;a8?lb0290/>895e79m600=l21bh;4?:%063?c13g8>:7k4;hf6>5<#:<=1i;5a2449b>=nl=0;6)<:7;g5?k42>3;;76gk3;29 7302l<0b?;9:038?lb5290/>895e79m600=9;10ei?50;&112<b>2d99;4>3:9j`5<72-8>;7k9;o062?7332chj7>5$374>`0<f;?=6<;4;hgf>5<#:<=1i;5a244953=<aln1<7*=568f2>h5=?0:;65feb83>!42?3o=7c<:6;3;?>obj3:1(?;8:d48j73128307dkn:18'601=m?1e>8851`98m`?=83.99:4j6:l113<6j21bi54?:%063?c13g8>:7?l;:kf0?6=,;?<6h84n375>4b<3`n26=4+2459a3=i:<<1=h54ibg94?"5=>0n:6`=5782b>=n98>1<7*=568256=i:<<1<65f10094?"5=>0:=>5a24495>=n98;1<7*=568256=i:<<1>65f10294?"5=>0:=>5a24497>=n9831<7*=56825==i:<<1<65f10594?"5=>0:=55a24495>=n98<1<7*=56825==i:<<1>65f10794?"5=>0:=55a24497>=n:l?1<7*=5681a1=i:<<1<65f2d194?"5=>09i95a24495>=n:l81<7*=5681a1=i:<<1>65f2d394?"5=>09i95a24497>=n:lk1<7*=5681a<=i:<<1<65f2d:94?"5=>09i45a24495>=n:l=1<7*=5681a<=i:<<1>65f2d494?"5=>09i45a24497>=h9:91<7*=568277=i:<<1<65`12394?"5=>0:??5a24495>=h9;l1<7*=568277=i:<<1>65`13g94?"5=>0:??5a24497>=h9;n1<7*=568277=i:<<1865`13a94?"5=>0:??5a24491>=h9;h1<7*=568277=i:<<1:65`13c94?"5=>0:??5a24493>=h9;31<7*=568277=i:<<1465`13:94?"5=>0:??5a2449=>=h9;=1<7*=568277=i:<<1m65`13494?"5=>0:??5a2449f>=h9;>1<7*=568277=i:<<1o65`13194?"5=>0:??5a2449`>=h9;81<7*=568277=i:<<1i65`13394?"5=>0:??5a2449b>=h9;:1<7*=568277=i:<<1==54o03e>5<#:<=1=><4n375>47<3f;:i7>5$374>4553g8>:7?=;:m25a<72-8>;7?<2:l113<6;21d=<m50;&112<6;;1e>8851598k47e290/>8951208j73128?07b?<a;29 73028997c<:6;35?>i6;00;6)<:7;306>h5=?0:;65`12:94?"5=>0:??5a24495==<g89<6=4+2459564<f;?=6<74;n302?6=,;?<6<==;o062?7f32e:?84?:%063?74:2d99;4>b:9l562=83.99:4>339m600=9j10c<=?:18'601=9:80b?;9:0f8?j75=3:1(?;8:011?k42>3;n76a>1`83>!42?3;8>6`=5782b>=h9<?1<7*=568211=i:<<1<65`14194?"5=>0:995a24495>=h9<;1<7*=568211=i:<<1>65`14294?"5=>0:995a24497>=h9=l1<7*=568211=i:<<1865`15g94?"5=>0:995a24491>=h9=n1<7*=568211=i:<<1:65`15a94?"5=>0:995a24493>=h9=h1<7*=568211=i:<<1465`15c94?"5=>0:995a2449=>=h9=31<7*=568211=i:<<1m65`15:94?"5=>0:995a2449f>=h9=<1<7*=568211=i:<<1o65`15794?"5=>0:995a2449`>=h9=>1<7*=568211=i:<<1i65`15194?"5=>0:995a2449b>=h9=81<7*=568211=i:<<1==54o062>5<#:<=1=8:4n375>47<3f;?<7>5$374>4333g8>:7?=;:m27c<72-8>;7?:4:l113<6;21d=>k50;&112<6==1e>8851598k45c290/>8951468j73128?07b?:c;29 73028??7c<:6;35?>i6=k0;6)<:7;360>h5=?0:;65`14c94?"5=>0:995a24495==<g8?26=4+2459502<f;?=6<74;n36<?6=,;?<6<;;;o062?7f32e:9:4?:%063?72<2d99;4>b:9l500=83.99:4>559m600=9j10c<;=:18'601=9<>0b?;9:0f8?j73?3:1(?;8:077?k42>3;n76a>3b83>!42?3;>86`=5782b>=h9?81<7*=568224=i:<<1<65`17294?"5=>0::<5a24495>=h9<l1<7*=568224=i:<<1>65`14g94?"5=>0::<5a24497>=h9?=1<7*=568223=i:<<1<65`17794?"5=>0::;5a24495>=h9?>1<7*=568223=i:<<1>65`17194?"5=>0::;5a24497>=zj0>j6=4l2;294~"5l009n;5G3178L7bc3S<j6nu=9;0b>7b=:l0897=9:2597=<493996>753`8~ 4e02:1/=n653:&2g<<43-;hm7=4$0aa>6=#9ji1?6*>ce80?!7dm390(<mi:29'5a6=;2.:h<4<;%3g6?5<,8n86>5+1e697>"6l<087)?k6;18 4b02:1/=i653:&2`<<43-;om7=4$0fa>6=#9mi1?6*>de80?!7cm390(<ji:29'5`6=;2.:i<4<;%3f6?5<,8o86>5+1d697>"6m<097)?j6;08 4?d2:1/=4j53:&2=`<43-;2j7=4$0c3>6=#9h;1?6*>e682<c=#9l21=5h4$3`4>7373-8i47<:0:l1gg<>3g8ho774$3f1>7373-;j>7=4$0c0>6=#:li1>hl4$3gg>7ce3g8ni774n3ge><=#9k81?6*>b280?!7e<390(<l::29'5g0=;2.:n:4<;%3a<?5<,8h26>5+1cc97>"6jk087)?mc;18 4dc2:1/=ok53:&2fc<43-;h<7=4$0a2>6=#9j81?6*>c280?!7d<390(<m::29'5f0=;2d:?o4?;o36`?6<,;n:6<6i;%0g1?4<,8k>6>5+1`497>"6i>087)?n8;18 4g>2:1/=lo53:&2eg<43-;jo7=4$0cg>6=#9ho1?6*>ag80?!7e8390(<l>:29'6a0=:2c=h7>5;h4f>5<<a>>1<75f7483>>o60k0;66g=ce83>>o5kl0;66g>8b83>>o5l>0;66g=d983>>i1n3:17b9?:188m1g=83.99:4;9:l113<732c?47>5$374>1?<f;?=6<54i5594?"5=>0?56`=5781?>o3>3:1(?;8:5;8j7312:10e9;50;&112<312d99;4;;:k67?6=,;?<6974n375>0=<a<81<7*=5687=>h5=?0=76g:1;29 7302=30b?;9:698m06=83.99:4;9:l113<?32c?j7>5$374>1?<f;?=6454i5g94?"5=>0?56`=578b?>o3l3:1(?;8:5;8j7312k10e9m50;&112<312d99;4l;:k7f?6=,;?<6974n375>a=<a=>1<7*=5687=>h5=?0n76g:a;29 7302<30b?;9:198m0>=83.99:4:9:l113<632c>;7>5$374>0?<f;?=6?54i4494?"5=>0>56`=5780?>o2=3:1(?;8:4;8j7312=10e;=50;&112<212d99;4:;:k56?6=,;?<6874n375>3=<a?;1<7*=5686=>h5=?0<76g90;29 7302<30b?;9:998m0`=83.99:4:9:l113<>32c>i7>5$374>0?<f;?=6l54i4f94?"5=>0>56`=578a?>o2k3:1(?;8:4;8j7312j10e8l50;&112<212d99;4k;:k60?6=,;?<6874n375>`=<aj<1<7*=568`1>h5=?0;76gl4;29 7302j?0b?;9:098mf4=83.99:4l5:l113<532ch=7>5$374>f3<f;?=6>54ib294?"5=>0h96`=5787?>oen3:1(?;8:b78j7312<10eok50;&112<d=2d99;49;:ka`?6=,;?<6n;4n375>2=<aki1<7*=568`1>h5=?0376gmb;29 7302j?0b?;9:898mgg=83.99:4l5:l113<f32ci57>5$374>f3<f;?=6o54ic594?"5=>0h96`=578`?>oe>3:1(?;8:b78j7312m10eo;50;&112<d=2d99;4j;:ka0?6=,;?<6n;4n375>c=<ak91<7*=568`1>h5=?0:<65fb383>!42?3i>7c<:6;32?>oe93:1(?;8:b78j73128807dl?:18'601=k<1e>8851298md`=83.99:4l5:l113<6<21bmh4?:%063?e23g8>:7?:;:k``?6=,;?<6n;4n375>40<3`ih6=4+2459g0=i:<<1=:54ib`94?"5=>0h96`=5782<>=nkh0;6)<:7;a6?k42>3;276gl9;29 7302j?0b?;9:0c8?le?290/>895c49m600=9k10en950;&112<d=2d99;4>c:9jg6<72-8>;7m:;o062?7c32ci47>5$374>f3<f;?=6<k4;hcg>5<#:<=1o85a24495c=<al=1<7*=568f2>h5=?0;76gj5;29 7302l<0b?;9:098m`5=83.99:4j6:l113<532cn>7>5$374>`0<f;?=6>54id394?"5=>0n:6`=5787?>ob83:1(?;8:d48j7312<10eih50;&112<b>2d99;49;:kga?6=,;?<6h84n375>2=<amn1<7*=568f2>h5=?0376gkc;29 7302l<0b?;9:898mad=83.99:4j6:l113<f32com7>5$374>`0<f;?=6o54ie:94?"5=>0n:6`=578`?>oc?3:1(?;8:d48j7312m10ei850;&112<b>2d99;4j;:kg1?6=,;?<6h84n375>c=<am>1<7*=568f2>h5=?0:<65fd283>!42?3o=7c<:6;32?>oc:3:1(?;8:d48j73128807dj>:18'601=m?1e>8851298ma6=83.99:4j6:l113<6<21bok4?:%063?c13g8>:7?:;:kfa?6=,;?<6h84n375>40<3`oo6=4+2459a3=i:<<1=:54ida94?"5=>0n:6`=5782<>=nmk0;6)<:7;g5?k42>3;276gja;29 7302l<0b?;9:0c8?lc>290/>895e79m600=9k10eh650;&112<b>2d99;4>c:9ja1<72-8>;7k9;o062?7c32co57>5$374>`0<f;?=6<k4;haf>5<#:<=1i;5a24495c=<a8;?6=4+2459545<f;?=6=54i031>5<#:<=1=<=4n375>4=<a8;:6=4+2459545<f;?=6?54i033>5<#:<=1=<=4n375>6=<a8;26=4+245954><f;?=6=54i034>5<#:<=1=<64n375>4=<a8;=6=4+245954><f;?=6?54i036>5<#:<=1=<64n375>6=<a;o>6=4+24596`2<f;?=6=54i3g0>5<#:<=1>h:4n375>4=<a;o96=4+24596`2<f;?=6?54i3g2>5<#:<=1>h:4n375>6=<a;oj6=4+24596`?<f;?=6=54i3g;>5<#:<=1>h74n375>4=<a;o<6=4+24596`?<f;?=6?54i3g5>5<#:<=1>h74n375>6=<g8986=4+2459564<f;?=6=54o012>5<#:<=1=><4n375>4=<g88m6=4+2459564<f;?=6?54o00f>5<#:<=1=><4n375>6=<g88o6=4+2459564<f;?=6954o00`>5<#:<=1=><4n375>0=<g88i6=4+2459564<f;?=6;54o00b>5<#:<=1=><4n375>2=<g8826=4+2459564<f;?=6554o00;>5<#:<=1=><4n375><=<g88<6=4+2459564<f;?=6l54o005>5<#:<=1=><4n375>g=<g88?6=4+2459564<f;?=6n54o000>5<#:<=1=><4n375>a=<g8896=4+2459564<f;?=6h54o002>5<#:<=1=><4n375>c=<g88;6=4+2459564<f;?=6<>4;n32b?6=,;?<6<==;o062?7632e:=h4?:%063?74:2d99;4>2:9l54b=83.99:4>339m600=9:10c<?l:18'601=9:80b?;9:068?j76j3:1(?;8:011?k42>3;>76a>3`83>!42?3;8>6`=57822>=h9:31<7*=568277=i:<<1=:54o01;>5<#:<=1=><4n375>4><3f;8;7>5$374>4553g8>:7?6;:m273<72-8>;7?<2:l113<6i21d=>;50;&112<6;;1e>8851c98k453290/>8951208j73128i07b?<0;29 73028997c<:6;3g?>i6:<0;6)<:7;306>h5=?0:i65`10c94?"5=>0:??5a24495c=<g8?>6=4+2459502<f;?=6=54o070>5<#:<=1=8:4n375>4=<g8?:6=4+2459502<f;?=6?54o073>5<#:<=1=8:4n375>6=<g8>m6=4+2459502<f;?=6954o06f>5<#:<=1=8:4n375>0=<g8>o6=4+2459502<f;?=6;54o06`>5<#:<=1=8:4n375>2=<g8>i6=4+2459502<f;?=6554o06b>5<#:<=1=8:4n375><=<g8>26=4+2459502<f;?=6l54o06;>5<#:<=1=8:4n375>g=<g8>=6=4+2459502<f;?=6n54o066>5<#:<=1=8:4n375>a=<g8>?6=4+2459502<f;?=6h54o060>5<#:<=1=8:4n375>c=<g8>96=4+2459502<f;?=6<>4;n375?6=,;?<6<;;;o062?7632e:8=4?:%063?72<2d99;4>2:9l56`=83.99:4>559m600=9:10c<=j:18'601=9<>0b?;9:068?j74l3:1(?;8:077?k42>3;>76a>5b83>!42?3;>86`=57822>=h9<h1<7*=568211=i:<<1=:54o07b>5<#:<=1=8:4n375>4><3f;>57>5$374>4333g8>:7?6;:m21=<72-8>;7?:4:l113<6i21d=8950;&112<6==1e>8851c98k431290/>8951468j73128i07b?:2;29 73028??7c<:6;3g?>i6<>0;6)<:7;360>h5=?0:i65`12a94?"5=>0:995a24495c=<g8<96=4+2459537<f;?=6=54o043>5<#:<=1=;?4n375>4=<g8?m6=4+2459537<f;?=6?54o07f>5<#:<=1=;?4n375>6=<g8<<6=4+2459530<f;?=6=54o046>5<#:<=1=;84n375>4=<g8<?6=4+2459530<f;?=6?54o040>5<#:<=1=;84n375>6=<uk3?n7>5c383>5}#:m31>o84H226?M4cl2P=m7mt2881e?4c2;o1?84<6;14>6>=;808>7=6:2c9y!7d?390(<m7:29'5f?=;2.:ol4<;%3`f?5<,8ih6>5+1bf97>"6kl087)?lf;18 4b72:1/=i?53:&2`7<43-;o?7=4$0f7>6=#9m?1?6*>d780?!7c?390(<j7:29'5a?=;2.:hl4<;%3gf?5<,8nh6>5+1ef97>"6ll087)?kf;18 4c72:1/=h?53:&2a7<43-;n?7=4$0g7>6=#9l?1>6*>e781?!7>k390(<7k:29'5<c=;2.:5k4<;%3b4?5<,8k:6>5+1d595=`<,8o36<6i;%0a3?4282.9n54=519m6fd=12d9on46;%0g6?4282.:m?4<;%3b7?5<,;oh6?km;%0f`?4bj2d9ih46;o0fb??<,8h96>5+1c197>"6j=087)?m5;18 4d12:1/=o953:&2f=<43-;i57=4$0`b>6=#9kh1?6*>bb80?!7el390(<lj:29'5g`=;2.:o=4<;%3`5?5<,8i96>5+1b197>"6k=087)?l5;18 4e12:1e=>l50:l21a<73-8o=7?7f:&1`0<53-;j97=4$0c5>6=#9h=1?6*>a980?!7f1390(<on:29'5dd=;2.:mn4<;%3b`?5<,8kn6>5+1`d97>"6j9087)?m1;18 7b12;1b:i4?::k5a?6=3`=?6=44i6794?=n91h1<75f2bf94?=n:jo1<75f19a94?=n:m=1<75f2e:94?=h>o0;66a80;29?l2f290/>895489m600=821b854?:%063?2>3g8>:7?4;h64>5<#:<=1845a24496>=n<?0;6)<:7;6:?k42>3907d:::18'601=<01e>8854:9j16<72-8>;7:6;o062?3<3`?96=4+24590<=i:<<1:65f5083>!42?3>27c<:6;58?l37290/>895489m600=021b8k4?:%063?2>3g8>:774;h6f>5<#:<=1845a2449e>=n<m0;6)<:7;6:?k42>3h07d:l:18'601=<01e>885c:9j0g<72-8>;7:6;o062?b<3`>?6=4+24590<=i:<<1i65f5`83>!42?3?27c<:6;28?l3?290/>895589m600=921b9:4?:%063?3>3g8>:7<4;h75>5<#:<=1945a24497>=n=<0;6)<:7;7:?k42>3>07d8<:18'601==01e>8855:9j27<72-8>;7;6;o062?0<3`<:6=4+24591<=i:<<1;65f6183>!42?3?27c<:6;:8?l3a290/>895589m600=121b9h4?:%063?3>3g8>:7o4;h7g>5<#:<=1945a2449f>=n=j0;6)<:7;7:?k42>3i07d;m:18'601==01e>885d:9j11<72-8>;7;6;o062?c<3`i=6=4+2459g0=i:<<1<65fc583>!42?3i>7c<:6;38?le5290/>895c49m600=:21bo<4?:%063?e23g8>:7=4;ha3>5<#:<=1o85a24490>=njo0;6)<:7;a6?k42>3?07dlj:18'601=k<1e>8856:9jfa<72-8>;7m:;o062?1<3`hh6=4+2459g0=i:<<1465fbc83>!42?3i>7c<:6;;8?ldf290/>895c49m600=i21bn44?:%063?e23g8>:7l4;h`4>5<#:<=1o85a2449g>=nj?0;6)<:7;a6?k42>3n07dl::18'601=k<1e>885e:9jf1<72-8>;7m:;o062?`<3`h86=4+2459g0=i:<<1==54ic094?"5=>0h96`=57825>=nj80;6)<:7;a6?k42>3;976gm0;29 7302j?0b?;9:018?lga290/>895c49m600=9=10elk50;&112<d=2d99;4>5:9jga<72-8>;7m:;o062?7132cho7>5$374>f3<f;?=6<94;haa>5<#:<=1o85a24495==<ajk1<7*=568`1>h5=?0:565fc883>!42?3i>7c<:6;3b?>od03:1(?;8:b78j73128h07dm8:18'601=k<1e>8851b98mf5=83.99:4l5:l113<6l21bn54?:%063?e23g8>:7?j;:kb`?6=,;?<6n;4n375>4`<3`o<6=4+2459a3=i:<<1<65fe483>!42?3o=7c<:6;38?lc4290/>895e79m600=:21bi?4?:%063?c13g8>:7=4;hg2>5<#:<=1i;5a24490>=nm90;6)<:7;g5?k42>3?07dji:18'601=m?1e>8856:9j``<72-8>;7k9;o062?1<3`no6=4+2459a3=i:<<1465fdb83>!42?3o=7c<:6;;8?lbe290/>895e79m600=i21bhl4?:%063?c13g8>:7l4;hf;>5<#:<=1i;5a2449g>=nl>0;6)<:7;g5?k42>3n07dj9:18'601=m?1e>885e:9j`0<72-8>;7k9;o062?`<3`n?6=4+2459a3=i:<<1==54ie194?"5=>0n:6`=57825>=nl;0;6)<:7;g5?k42>3;976gk1;29 7302l<0b?;9:018?lb7290/>895e79m600=9=10enh50;&112<b>2d99;4>5:9ja`<72-8>;7k9;o062?7132cnh7>5$374>`0<f;?=6<94;hg`>5<#:<=1i;5a24495==<alh1<7*=568f2>h5=?0:565fe`83>!42?3o=7c<:6;3b?>ob13:1(?;8:d48j73128h07dk7:18'601=m?1e>8851b98m`2=83.99:4j6:l113<6l21bh44?:%063?c13g8>:7?j;:k`a?6=,;?<6h84n375>4`<3`;:87>5$374>4743g8>:7>4;h326?6=,;?<6<?<;o062?7<3`;:=7>5$374>4743g8>:7<4;h324?6=,;?<6<?<;o062?5<3`;:57>5$374>47?3g8>:7>4;h323?6=,;?<6<?7;o062?7<3`;::7>5$374>47?3g8>:7<4;h321?6=,;?<6<?7;o062?5<3`8n97>5$374>7c33g8>:7>4;h0f7?6=,;?<6?k;;o062?7<3`8n>7>5$374>7c33g8>:7<4;h0f5?6=,;?<6?k;;o062?5<3`8nm7>5$374>7c>3g8>:7>4;h0f<?6=,;?<6?k6;o062?7<3`8n;7>5$374>7c>3g8>:7<4;h0f2?6=,;?<6?k6;o062?5<3f;8?7>5$374>4553g8>:7>4;n305?6=,;?<6<==;o062?7<3f;9j7>5$374>4553g8>:7<4;n31a?6=,;?<6<==;o062?5<3f;9h7>5$374>4553g8>:7:4;n31g?6=,;?<6<==;o062?3<3f;9n7>5$374>4553g8>:784;n31e?6=,;?<6<==;o062?1<3f;957>5$374>4553g8>:764;n31<?6=,;?<6<==;o062??<3f;9;7>5$374>4553g8>:7o4;n312?6=,;?<6<==;o062?d<3f;987>5$374>4553g8>:7m4;n317?6=,;?<6<==;o062?b<3f;9>7>5$374>4553g8>:7k4;n315?6=,;?<6<==;o062?`<3f;9<7>5$374>4553g8>:7??;:m25c<72-8>;7?<2:l113<6921d=<k50;&112<6;;1e>8851398k47c290/>8951208j73128907b?>c;29 73028997c<:6;37?>i69k0;6)<:7;306>h5=?0:965`12c94?"5=>0:??5a244953=<g8926=4+2459564<f;?=6<94;n30<?6=,;?<6<==;o062?7?32e:?:4?:%063?74:2d99;4>9:9l560=83.99:4>339m600=9h10c<=::18'601=9:80b?;9:0`8?j74<3:1(?;8:011?k42>3;h76a>3183>!42?3;8>6`=5782`>=h9;?1<7*=568277=i:<<1=h54o03b>5<#:<=1=><4n375>4`<3f;>97>5$374>4333g8>:7>4;n367?6=,;?<6<;;;o062?7<3f;>=7>5$374>4333g8>:7<4;n364?6=,;?<6<;;;o062?5<3f;?j7>5$374>4333g8>:7:4;n37a?6=,;?<6<;;;o062?3<3f;?h7>5$374>4333g8>:784;n37g?6=,;?<6<;;;o062?1<3f;?n7>5$374>4333g8>:764;n37e?6=,;?<6<;;;o062??<3f;?57>5$374>4333g8>:7o4;n37<?6=,;?<6<;;;o062?d<3f;?:7>5$374>4333g8>:7m4;n371?6=,;?<6<;;;o062?b<3f;?87>5$374>4333g8>:7k4;n377?6=,;?<6<;;;o062?`<3f;?>7>5$374>4333g8>:7??;:m204<72-8>;7?:4:l113<6921d=9>50;&112<6==1e>8851398k45a290/>8951468j73128907b?<e;29 73028??7c<:6;37?>i6;m0;6)<:7;360>h5=?0:965`14a94?"5=>0:995a244953=<g8?i6=4+2459502<f;?=6<94;n36e?6=,;?<6<;;;o062?7?32e:944?:%063?72<2d99;4>9:9l50>=83.99:4>559m600=9h10c<;8:18'601=9<>0b?;9:0`8?j72>3:1(?;8:077?k42>3;h76a>5383>!42?3;>86`=5782`>=h9==1<7*=568211=i:<<1=h54o01`>5<#:<=1=8:4n375>4`<3f;=>7>5$374>4063g8>:7>4;n354?6=,;?<6<8>;o062?7<3f;>j7>5$374>4063g8>:7<4;n36a?6=,;?<6<8>;o062?5<3f;=;7>5$374>4013g8>:7>4;n351?6=,;?<6<89;o062?7<3f;=87>5$374>4013g8>:7<4;n357?6=,;?<6<89;o062?5<3th28n4?:b094?6|,;n26?l9;I131>N5lm1Q:l4l{3;96d<5l38n6>;537803?5?2:;1??4<9;1b>x"6k>087)?l8;18 4e>2:1/=no53:&2gg<43-;ho7=4$0ag>6=#9jo1?6*>cg80?!7c8390(<j>:29'5a4=;2.:h>4<;%3g0?5<,8n>6>5+1e497>"6l>087)?k8;18 4b>2:1/=io53:&2`g<43-;oo7=4$0fg>6=#9mo1?6*>dg80?!7b8390(<k>:29'5`4=;2.:i>4<;%3f0?5<,8o>6?5+1d496>"61j087)?6d;18 4?b2:1/=4h53:&2e5<43-;j=7=4$0g4>4>a3-;n47?7f:&1f2<5=91/>o652428j7ee201e>nm59:&1`7<5=91/=l<53:&2e6<43-8no7<jb:&1aa<5mk1e>hk59:l1ac<>3-;i>7=4$0`0>6=#9k>1?6*>b480?!7e>390(<l8:29'5g>=;2.:n44<;%3ae?5<,8hi6>5+1ca97>"6jm087)?me;18 4da2:1/=n>53:&2g4<43-;h>7=4$0a0>6=#9j>1?6*>c480?!7d>390b<=m:19m50b=82.9h<4>8g9'6a3=:2.:m84<;%3b2?5<,8k<6>5+1`:97>"6i0087)?na;18 4ge2:1/=lm53:&2ea<43-;ji7=4$0ce>6=#9k:1?6*>b080?!4c>380e;j50;9j2`<722c<87>5;h56>5<<a82i6=44i3ag>5<<a;in6=44i0:`>5<<a;n<6=44i3f;>5<<g?l1<75`7183>>o3i3:1(?;8:5;8j7312910e9650;&112<312d99;4>;:k73?6=,;?<6974n375>7=<a=<1<7*=5687=>h5=?0876g;5;29 7302=30b?;9:598m05=83.99:4;9:l113<232c>>7>5$374>1?<f;?=6;54i4394?"5=>0?56`=5784?>o283:1(?;8:5;8j7312110e9h50;&112<312d99;46;:k7a?6=,;?<6974n375>d=<a=n1<7*=5687=>h5=?0i76g;c;29 7302=30b?;9:b98m1d=83.99:4;9:l113<c32c?87>5$374>1?<f;?=6h54i4c94?"5=>0>56`=5783?>o203:1(?;8:4;8j7312810e8950;&112<212d99;4=;:k62?6=,;?<6874n375>6=<a<?1<7*=5686=>h5=?0?76g93;29 7302<30b?;9:498m34=83.99:4:9:l113<132c==7>5$374>0?<f;?=6:54i7294?"5=>0>56`=578;?>o2n3:1(?;8:4;8j7312010e8k50;&112<212d99;4n;:k6`?6=,;?<6874n375>g=<a<i1<7*=5686=>h5=?0h76g:b;29 7302<30b?;9:e98m02=83.99:4:9:l113<b32ch:7>5$374>f3<f;?=6=54ib694?"5=>0h96`=5782?>od:3:1(?;8:b78j7312;10en?50;&112<d=2d99;4<;:k`4?6=,;?<6n;4n375>1=<akl1<7*=568`1>h5=?0>76gme;29 7302j?0b?;9:798mgb=83.99:4l5:l113<032cio7>5$374>f3<f;?=6554ic`94?"5=>0h96`=578:?>oei3:1(?;8:b78j7312h10eo750;&112<d=2d99;4m;:ka3?6=,;?<6n;4n375>f=<ak<1<7*=568`1>h5=?0o76gm5;29 7302j?0b?;9:d98mg2=83.99:4l5:l113<a32ci?7>5$374>f3<f;?=6<>4;h`1>5<#:<=1o85a244954=<ak;1<7*=568`1>h5=?0:>65fb183>!42?3i>7c<:6;30?>ofn3:1(?;8:b78j73128>07doj:18'601=k<1e>8851498mfb=83.99:4l5:l113<6>21bon4?:%063?e23g8>:7?8;:k`f?6=,;?<6n;4n375>4><3`ij6=4+2459g0=i:<<1=454ib;94?"5=>0h96`=5782e>=nk10;6)<:7;a6?k42>3;i76gl7;29 7302j?0b?;9:0a8?le4290/>895c49m600=9m10eo650;&112<d=2d99;4>e:9jea<72-8>;7m:;o062?7a32cn;7>5$374>`0<f;?=6=54id794?"5=>0n:6`=5782?>ob;3:1(?;8:d48j7312;10eh<50;&112<b>2d99;4<;:kf5?6=,;?<6h84n375>1=<al:1<7*=568f2>h5=?0>76gkf;29 7302l<0b?;9:798mac=83.99:4j6:l113<032coh7>5$374>`0<f;?=6554iea94?"5=>0n:6`=578:?>ocj3:1(?;8:d48j7312h10eio50;&112<b>2d99;4m;:kg<?6=,;?<6h84n375>f=<am=1<7*=568f2>h5=?0o76gk6;29 7302l<0b?;9:d98ma3=83.99:4j6:l113<a32co87>5$374>`0<f;?=6<>4;hf0>5<#:<=1i;5a244954=<am81<7*=568f2>h5=?0:>65fd083>!42?3o=7c<:6;30?>oc83:1(?;8:d48j73128>07dmi:18'601=m?1e>8851498m`c=83.99:4j6:l113<6>21bii4?:%063?c13g8>:7?8;:kfg?6=,;?<6h84n375>4><3`oi6=4+2459a3=i:<<1=454idc94?"5=>0n:6`=5782e>=nm00;6)<:7;g5?k42>3;i76gj8;29 7302l<0b?;9:0a8?lc3290/>895e79m600=9m10ei750;&112<b>2d99;4>e:9jg`<72-8>;7k9;o062?7a32c:=94?:%063?76;2d99;4?;:k257<72-8>;7?>3:l113<632c:=<4?:%063?76;2d99;4=;:k255<72-8>;7?>3:l113<432c:=44?:%063?7602d99;4?;:k252<72-8>;7?>8:l113<632c:=;4?:%063?7602d99;4=;:k250<72-8>;7?>8:l113<432c9i84?:%063?4b<2d99;4?;:k1a6<72-8>;7<j4:l113<632c9i?4?:%063?4b<2d99;4=;:k1a4<72-8>;7<j4:l113<432c9il4?:%063?4b12d99;4?;:k1a=<72-8>;7<j9:l113<632c9i:4?:%063?4b12d99;4=;:k1a3<72-8>;7<j9:l113<432e:?>4?:%063?74:2d99;4?;:m274<72-8>;7?<2:l113<632e:>k4?:%063?74:2d99;4=;:m26`<72-8>;7?<2:l113<432e:>i4?:%063?74:2d99;4;;:m26f<72-8>;7?<2:l113<232e:>o4?:%063?74:2d99;49;:m26d<72-8>;7?<2:l113<032e:>44?:%063?74:2d99;47;:m26=<72-8>;7?<2:l113<>32e:>:4?:%063?74:2d99;4n;:m263<72-8>;7?<2:l113<e32e:>94?:%063?74:2d99;4l;:m266<72-8>;7?<2:l113<c32e:>?4?:%063?74:2d99;4j;:m264<72-8>;7?<2:l113<a32e:>=4?:%063?74:2d99;4>0:9l54`=83.99:4>339m600=9810c<?j:18'601=9:80b?;9:008?j76l3:1(?;8:011?k42>3;876a>1b83>!42?3;8>6`=57820>=h98h1<7*=568277=i:<<1=854o01b>5<#:<=1=><4n375>40<3f;857>5$374>4553g8>:7?8;:m27=<72-8>;7?<2:l113<6021d=>950;&112<6;;1e>8851898k451290/>8951208j73128k07b?<5;29 73028997c<:6;3a?>i6;=0;6)<:7;306>h5=?0:o65`12294?"5=>0:??5a24495a=<g88>6=4+2459564<f;?=6<k4;n32e?6=,;?<6<==;o062?7a32e:984?:%063?72<2d99;4?;:m216<72-8>;7?:4:l113<632e:9<4?:%063?72<2d99;4=;:m215<72-8>;7?:4:l113<432e:8k4?:%063?72<2d99;4;;:m20`<72-8>;7?:4:l113<232e:8i4?:%063?72<2d99;49;:m20f<72-8>;7?:4:l113<032e:8o4?:%063?72<2d99;47;:m20d<72-8>;7?:4:l113<>32e:844?:%063?72<2d99;4n;:m20=<72-8>;7?:4:l113<e32e:8;4?:%063?72<2d99;4l;:m200<72-8>;7?:4:l113<c32e:894?:%063?72<2d99;4j;:m206<72-8>;7?:4:l113<a32e:8?4?:%063?72<2d99;4>0:9l517=83.99:4>559m600=9810c<:?:18'601=9<>0b?;9:008?j74n3:1(?;8:077?k42>3;876a>3d83>!42?3;>86`=57820>=h9:n1<7*=568211=i:<<1=854o07`>5<#:<=1=8:4n375>40<3f;>n7>5$374>4333g8>:7?8;:m21d<72-8>;7?:4:l113<6021d=8750;&112<6==1e>8851898k43?290/>8951468j73128k07b?:7;29 73028??7c<:6;3a?>i6=?0;6)<:7;360>h5=?0:o65`14094?"5=>0:995a24495a=<g8><6=4+2459502<f;?=6<k4;n30g?6=,;?<6<;;;o062?7a32e::?4?:%063?7192d99;4?;:m225<72-8>;7?91:l113<632e:9k4?:%063?7192d99;4=;:m21`<72-8>;7?91:l113<432e:::4?:%063?71>2d99;4?;:m220<72-8>;7?96:l113<632e::94?:%063?71>2d99;4=;:m226<72-8>;7?96:l113<432wi59j50;a1>5<7s-8o57<m6:J040=O:mn0V;o5cz0:>7g=:m09i7=::24972<4039:6><53880e?{#9j=1?6*>c980?!7d1390(<mn:29'5fd=;2.:on4<;%3``?5<,8in6>5+1bd97>"6l9087)?k1;18 4b52:1/=i=53:&2`1<43-;o97=4$0f5>6=#9m=1?6*>d980?!7c1390(<jn:29'5ad=;2.:hn4<;%3g`?5<,8nn6>5+1ed97>"6m9087)?j1;18 4c52:1/=h=53:&2a1<43-;n97<4$0g5>7=#90i1?6*>9e80?!7>m390(<7i:29'5d6=;2.:m<4<;%3f3?7?n2.:i54>8g9'6g1=:<:0(?l7:373?k4dj330b?ml:89'6a4=:<:0(<o=:29'5d5=;2.9in4=ec9'6`b=:lh0b?kj:89m6``=12.:n?4<;%3a7?5<,8h?6>5+1c797>"6j?087)?m7;18 4d?2:1/=o753:&2fd<43-;in7=4$0``>6=#9kn1?6*>bd80?!7en390(<m?:29'5f7=;2.:o?4<;%3`7?5<,8i?6>5+1b797>"6k?087c?<b;28j43c291/>i?519d8 7b22;1/=l;53:&2e3<43-;j;7=4$0c;>6=#9h31?6*>a`80?!7fj390(<ol:29'5db=;2.:mh4<;%3bb?5<,8h;6>5+1c397>"5l?097d8k:188m3c=831b;94?::k41?6=3`;3n7>5;h0``?6=3`8hi7>5;h3;g?6=3`8o;7>5;h0g<?6=3f<m6=44o6294?=n<h0;6)<:7;6:?k42>3:07d:7:18'601=<01e>8851:9j02<72-8>;7:6;o062?4<3`>=6=4+24590<=i:<<1?65f4483>!42?3>27c<:6;68?l34290/>895489m600==21b9?4?:%063?2>3g8>:784;h72>5<#:<=1845a24493>=n=90;6)<:7;6:?k42>3207d:i:18'601=<01e>8859:9j0`<72-8>;7:6;o062?g<3`>o6=4+24590<=i:<<1n65f4b83>!42?3>27c<:6;a8?l2e290/>895489m600=l21b894?:%063?2>3g8>:7k4;h7b>5<#:<=1945a24494>=n=10;6)<:7;7:?k42>3;07d;8:18'601==01e>8852:9j13<72-8>;7;6;o062?5<3`?>6=4+24591<=i:<<1865f6283>!42?3?27c<:6;78?l05290/>895589m600=>21b:<4?:%063?3>3g8>:794;h43>5<#:<=1945a2449<>=n=o0;6)<:7;7:?k42>3307d;j:18'601==01e>885a:9j1a<72-8>;7;6;o062?d<3`?h6=4+24591<=i:<<1o65f5c83>!42?3?27c<:6;f8?l33290/>895589m600=m21bo;4?:%063?e23g8>:7>4;ha7>5<#:<=1o85a24495>=nk;0;6)<:7;a6?k42>3807dm>:18'601=k<1e>8853:9jg5<72-8>;7m:;o062?2<3`hm6=4+2459g0=i:<<1965fbd83>!42?3i>7c<:6;48?ldc290/>895c49m600=?21bnn4?:%063?e23g8>:764;h`a>5<#:<=1o85a2449=>=njh0;6)<:7;a6?k42>3k07dl6:18'601=k<1e>885b:9jf2<72-8>;7m:;o062?e<3`h=6=4+2459g0=i:<<1h65fb483>!42?3i>7c<:6;g8?ld3290/>895c49m600=n21bn>4?:%063?e23g8>:7??;:ka6?6=,;?<6n;4n375>47<3`h:6=4+2459g0=i:<<1=?54ic294?"5=>0h96`=57827>=nio0;6)<:7;a6?k42>3;?76gne;29 7302j?0b?;9:078?lec290/>895c49m600=9?10enm50;&112<d=2d99;4>7:9jgg<72-8>;7m:;o062?7?32chm7>5$374>f3<f;?=6<74;ha:>5<#:<=1o85a24495d=<aj21<7*=568`1>h5=?0:n65fc683>!42?3i>7c<:6;3`?>od;3:1(?;8:b78j73128n07dl7:18'601=k<1e>8851d98mdb=83.99:4l5:l113<6n21bi:4?:%063?c13g8>:7>4;hg6>5<#:<=1i;5a24495>=nm:0;6)<:7;g5?k42>3807dk=:18'601=m?1e>8853:9ja4<72-8>;7k9;o062?2<3`o;6=4+2459a3=i:<<1965fdg83>!42?3o=7c<:6;48?lbb290/>895e79m600=?21bhi4?:%063?c13g8>:764;hf`>5<#:<=1i;5a2449=>=nlk0;6)<:7;g5?k42>3k07djn:18'601=m?1e>885b:9j`=<72-8>;7k9;o062?e<3`n<6=4+2459a3=i:<<1h65fd783>!42?3o=7c<:6;g8?lb2290/>895e79m600=n21bh94?:%063?c13g8>:7??;:kg7?6=,;?<6h84n375>47<3`n96=4+2459a3=i:<<1=?54ie394?"5=>0n:6`=57827>=nl90;6)<:7;g5?k42>3;?76glf;29 7302l<0b?;9:078?lcb290/>895e79m600=9?10ehj50;&112<b>2d99;4>7:9jaf<72-8>;7k9;o062?7?32cnn7>5$374>`0<f;?=6<74;hgb>5<#:<=1i;5a24495d=<al31<7*=568f2>h5=?0:n65fe983>!42?3o=7c<:6;3`?>ob<3:1(?;8:d48j73128n07dj6:18'601=m?1e>8851d98mfc=83.99:4j6:l113<6n21b=<:50;&112<69:1e>8850:9j544=83.99:4>129m600=921b=<?50;&112<69:1e>8852:9j546=83.99:4>129m600=;21b=<750;&112<6911e>8850:9j541=83.99:4>199m600=921b=<850;&112<6911e>8852:9j543=83.99:4>199m600=;21b>h;50;&112<5m=1e>8850:9j6`5=83.99:4=e59m600=921b>h<50;&112<5m=1e>8852:9j6`7=83.99:4=e59m600=;21b>ho50;&112<5m01e>8850:9j6`>=83.99:4=e89m600=921b>h950;&112<5m01e>8852:9j6`0=83.99:4=e89m600=;21d=>=50;&112<6;;1e>8850:9l567=83.99:4>339m600=921d=?h50;&112<6;;1e>8852:9l57c=83.99:4>339m600=;21d=?j50;&112<6;;1e>8854:9l57e=83.99:4>339m600==21d=?l50;&112<6;;1e>8856:9l57g=83.99:4>339m600=?21d=?750;&112<6;;1e>8858:9l57>=83.99:4>339m600=121d=?950;&112<6;;1e>885a:9l570=83.99:4>339m600=j21d=?:50;&112<6;;1e>885c:9l575=83.99:4>339m600=l21d=?<50;&112<6;;1e>885e:9l577=83.99:4>339m600=n21d=?>50;&112<6;;1e>8851198k47a290/>8951208j73128;07b?>e;29 73028997c<:6;31?>i69m0;6)<:7;306>h5=?0:?65`10a94?"5=>0:??5a244951=<g8;i6=4+2459564<f;?=6<;4;n30e?6=,;?<6<==;o062?7132e:?44?:%063?74:2d99;4>7:9l56>=83.99:4>339m600=9110c<=8:18'601=9:80b?;9:0;8?j74>3:1(?;8:011?k42>3;j76a>3483>!42?3;8>6`=5782f>=h9:>1<7*=568277=i:<<1=n54o013>5<#:<=1=><4n375>4b<3f;997>5$374>4553g8>:7?j;:m25d<72-8>;7?<2:l113<6n21d=8;50;&112<6==1e>8850:9l505=83.99:4>559m600=921d=8?50;&112<6==1e>8852:9l506=83.99:4>559m600=;21d=9h50;&112<6==1e>8854:9l51c=83.99:4>559m600==21d=9j50;&112<6==1e>8856:9l51e=83.99:4>559m600=?21d=9l50;&112<6==1e>8858:9l51g=83.99:4>559m600=121d=9750;&112<6==1e>885a:9l51>=83.99:4>559m600=j21d=9850;&112<6==1e>885c:9l513=83.99:4>559m600=l21d=9:50;&112<6==1e>885e:9l515=83.99:4>559m600=n21d=9<50;&112<6==1e>8851198k426290/>8951468j73128;07b?;0;29 73028??7c<:6;31?>i6;o0;6)<:7;360>h5=?0:?65`12g94?"5=>0:995a244951=<g89o6=4+2459502<f;?=6<;4;n36g?6=,;?<6<;;;o062?7132e:9o4?:%063?72<2d99;4>7:9l50g=83.99:4>559m600=9110c<;6:18'601=9<>0b?;9:0;8?j7203:1(?;8:077?k42>3;j76a>5683>!42?3;>86`=5782f>=h9<<1<7*=568211=i:<<1=n54o071>5<#:<=1=8:4n375>4b<3f;?;7>5$374>4333g8>:7?j;:m27f<72-8>;7?:4:l113<6n21d=;<50;&112<6>81e>8850:9l536=83.99:4>609m600=921d=8h50;&112<6>81e>8852:9l50c=83.99:4>609m600=;21d=;950;&112<6>?1e>8850:9l533=83.99:4>679m600=921d=;:50;&112<6>?1e>8852:9l535=83.99:4>679m600=;21vn4:j:18`6?6=8r.9h44=b79K753<@;no7W8n:by1=?4f2;n1>h4<5;15>61=;108=7==:2;97d<z,8i<6>5+1b:97>"6k0087)?la;18 4ee2:1/=nm53:&2ga<43-;hi7=4$0ae>6=#9m:1?6*>d080?!7c:390(<j<:29'5a2=;2.:h84<;%3g2?5<,8n<6>5+1e:97>"6l0087)?ka;18 4be2:1/=im53:&2`a<43-;oi7=4$0fe>6=#9l:1?6*>e080?!7b:390(<k<:29'5`2=;2.:i84=;%3f2?4<,83h6>5+18f97>"61l087)?6f;18 4g72:1/=l?53:&2a2<60o1/=h6519d8 7d02;?;7)<m8;064>h5kk027c<lc;;8 7b52;?;7)?n2;18 4g42:1/>hm52d`8 7cc2;oi7c<je;;8j7ca201/=o<53:&2f6<43-;i87=4$0`6>6=#9k<1?6*>b680?!7e0390(<l6:29'5gg=;2.:no4<;%3ag?5<,8ho6>5+1cg97>"6jo087)?l0;18 4e62:1/=n<53:&2g6<43-;h87=4$0a6>6=#9j<1?6`>3c83?k72l3:0(?j>:0:e?!4c=380(<o::29'5d0=;2.:m:4<;%3b<?5<,8k26>5+1`c97>"6ik087)?nc;18 4gc2:1/=lk53:&2ec<43-;i<7=4$0`2>6=#:m<1>6g9d;29?l0b2900e::50;9j30<722c:4o4?::k1ga<722c9oh4?::k2<f<722c9h:4?::k1`=<722e=j7>5;n53>5<<a=k1<7*=5687=>h5=?0;76g;8;29 7302=30b?;9:098m11=83.99:4;9:l113<532c?:7>5$374>1?<f;?=6>54i5794?"5=>0?56`=5787?>o2;3:1(?;8:5;8j7312<10e8<50;&112<312d99;49;:k65?6=,;?<6974n375>2=<a<:1<7*=5687=>h5=?0376g;f;29 7302=30b?;9:898m1c=83.99:4;9:l113<f32c?h7>5$374>1?<f;?=6o54i5a94?"5=>0?56`=578`?>o3j3:1(?;8:5;8j7312m10e9:50;&112<312d99;4j;:k6e?6=,;?<6874n375>5=<a<21<7*=5686=>h5=?0:76g:7;29 7302<30b?;9:398m00=83.99:4:9:l113<432c>97>5$374>0?<f;?=6954i7194?"5=>0>56`=5786?>o1:3:1(?;8:4;8j7312?10e;?50;&112<212d99;48;:k54?6=,;?<6874n375>==<a<l1<7*=5686=>h5=?0276g:e;29 7302<30b?;9:`98m0b=83.99:4:9:l113<e32c>o7>5$374>0?<f;?=6n54i4`94?"5=>0>56`=578g?>o2<3:1(?;8:4;8j7312l10en850;&112<d=2d99;4?;:k`0?6=,;?<6n;4n375>4=<aj81<7*=568`1>h5=?0976gl1;29 7302j?0b?;9:298mf6=83.99:4l5:l113<332cij7>5$374>f3<f;?=6854icg94?"5=>0h96`=5785?>oel3:1(?;8:b78j7312>10eom50;&112<d=2d99;47;:kaf?6=,;?<6n;4n375><=<akk1<7*=568`1>h5=?0j76gm9;29 7302j?0b?;9:c98mg1=83.99:4l5:l113<d32ci:7>5$374>f3<f;?=6i54ic794?"5=>0h96`=578f?>oe<3:1(?;8:b78j7312o10eo=50;&112<d=2d99;4>0:9jf7<72-8>;7m:;o062?7632ci=7>5$374>f3<f;?=6<<4;h`3>5<#:<=1o85a244956=<ahl1<7*=568`1>h5=?0:865fad83>!42?3i>7c<:6;36?>odl3:1(?;8:b78j73128<07dml:18'601=k<1e>8851698mfd=83.99:4l5:l113<6021bol4?:%063?e23g8>:7?6;:k`=?6=,;?<6n;4n375>4g<3`i36=4+2459g0=i:<<1=o54ib594?"5=>0h96`=5782g>=nk:0;6)<:7;a6?k42>3;o76gm8;29 7302j?0b?;9:0g8?lgc290/>895c49m600=9o10eh950;&112<b>2d99;4?;:kf1?6=,;?<6h84n375>4=<al91<7*=568f2>h5=?0976gj2;29 7302l<0b?;9:298m`7=83.99:4j6:l113<332cn<7>5$374>`0<f;?=6854ied94?"5=>0n:6`=5785?>ocm3:1(?;8:d48j7312>10eij50;&112<b>2d99;47;:kgg?6=,;?<6h84n375><=<amh1<7*=568f2>h5=?0j76gka;29 7302l<0b?;9:c98ma>=83.99:4j6:l113<d32co;7>5$374>`0<f;?=6i54ie494?"5=>0n:6`=578f?>oc=3:1(?;8:d48j7312o10ei:50;&112<b>2d99;4>0:9j`6<72-8>;7k9;o062?7632co>7>5$374>`0<f;?=6<<4;hf2>5<#:<=1i;5a244956=<am:1<7*=568f2>h5=?0:865fcg83>!42?3o=7c<:6;36?>obm3:1(?;8:d48j73128<07dkk:18'601=m?1e>8851698m`e=83.99:4j6:l113<6021bio4?:%063?c13g8>:7?6;:kfe?6=,;?<6h84n375>4g<3`o26=4+2459a3=i:<<1=o54id:94?"5=>0n:6`=5782g>=nm=0;6)<:7;g5?k42>3;o76gk9;29 7302l<0b?;9:0g8?leb290/>895e79m600=9o10e<?;:18'601=9890b?;9:198m475290/>8951018j7312810e<?>:18'601=9890b?;9:398m477290/>8951018j7312:10e<?6:18'601=9820b?;9:198m470290/>89510:8j7312810e<?9:18'601=9820b?;9:398m472290/>89510:8j7312:10e?k::18'601=:l>0b?;9:198m7c4290/>8952d68j7312810e?k=:18'601=:l>0b?;9:398m7c6290/>8952d68j7312:10e?kn:18'601=:l30b?;9:198m7c?290/>8952d;8j7312810e?k8:18'601=:l30b?;9:398m7c1290/>8952d;8j7312:10c<=<:18'601=9:80b?;9:198k456290/>8951208j7312810c<<i:18'601=9:80b?;9:398k44b290/>8951208j7312:10c<<k:18'601=9:80b?;9:598k44d290/>8951208j7312<10c<<m:18'601=9:80b?;9:798k44f290/>8951208j7312>10c<<6:18'601=9:80b?;9:998k44?290/>8951208j7312010c<<8:18'601=9:80b?;9:`98k441290/>8951208j7312k10c<<;:18'601=9:80b?;9:b98k444290/>8951208j7312m10c<<=:18'601=9:80b?;9:d98k446290/>8951208j7312o10c<<?:18'601=9:80b?;9:028?j76n3:1(?;8:011?k42>3;:76a>1d83>!42?3;8>6`=57826>=h98n1<7*=568277=i:<<1=>54o03`>5<#:<=1=><4n375>42<3f;:n7>5$374>4553g8>:7?:;:m27d<72-8>;7?<2:l113<6>21d=>750;&112<6;;1e>8851698k45?290/>8951208j73128207b?<7;29 73028997c<:6;3:?>i6;?0;6)<:7;306>h5=?0:m65`12794?"5=>0:??5a24495g=<g89?6=4+2459564<f;?=6<m4;n304?6=,;?<6<==;o062?7c32e:>84?:%063?74:2d99;4>e:9l54g=83.99:4>339m600=9o10c<;::18'601=9<>0b?;9:198k434290/>8951468j7312810c<;>:18'601=9<>0b?;9:398k437290/>8951468j7312:10c<:i:18'601=9<>0b?;9:598k42b290/>8951468j7312<10c<:k:18'601=9<>0b?;9:798k42d290/>8951468j7312>10c<:m:18'601=9<>0b?;9:998k42f290/>8951468j7312010c<:6:18'601=9<>0b?;9:`98k42?290/>8951468j7312k10c<:9:18'601=9<>0b?;9:b98k422290/>8951468j7312m10c<:;:18'601=9<>0b?;9:d98k424290/>8951468j7312o10c<:=:18'601=9<>0b?;9:028?j7393:1(?;8:077?k42>3;:76a>4183>!42?3;>86`=57826>=h9:l1<7*=568211=i:<<1=>54o01f>5<#:<=1=8:4n375>42<3f;8h7>5$374>4333g8>:7?:;:m21f<72-8>;7?:4:l113<6>21d=8l50;&112<6==1e>8851698k43f290/>8951468j73128207b?:9;29 73028??7c<:6;3:?>i6=10;6)<:7;360>h5=?0:m65`14594?"5=>0:995a24495g=<g8?=6=4+2459502<f;?=6<m4;n366?6=,;?<6<;;;o062?7c32e:8:4?:%063?72<2d99;4>e:9l56e=83.99:4>559m600=9o10c<8=:18'601=9?;0b?;9:198k407290/>8951738j7312810c<;i:18'601=9?;0b?;9:398k43b290/>8951738j7312:10c<88:18'601=9?<0b?;9:198k402290/>8951748j7312810c<8;:18'601=9?<0b?;9:398k404290/>8951748j7312:10qo7;f;29g7<729q/>i752c48L6623A8oh6T9a;ax6<<5i38o6?k534802?502:21?<4<2;1:>6g=u-;h;7=4$0a;>6=#9j31?6*>c`80?!7dj390(<ml:29'5fb=;2.:oh4<;%3`b?5<,8n;6>5+1e397>"6l;087)?k3;18 4b32:1/=i;53:&2`3<43-;o;7=4$0f;>6=#9m31?6*>d`80?!7cj390(<jl:29'5ab=;2.:hh4<;%3gb?5<,8o;6>5+1d397>"6m;087)?j3;18 4c32:1/=h;52:&2a3<53-;2o7=4$0;g>6=#90o1?6*>9g80?!7f8390(<o>:29'5`1=91l0(<k7:0:e?!4e?38><6*=b98115=i:jh156`=cb8:?!4c:38><6*>a380?!7f;390(?kl:3ga?!4bl38nn6`=ed8:?k4bn330(<l=:29'5g5=;2.:n94<;%3a1?5<,8h=6>5+1c597>"6j1087)?m9;18 4df2:1/=ol53:&2ff<43-;ih7=4$0`f>6=#9kl1?6*>c180?!7d9390(<m=:29'5f5=;2.:o94<;%3`1?5<,8i=6>5a12`94>h6=m0;7)<k1;3;b>"5l<097)?n5;18 4g12:1/=l953:&2e=<43-;j57=4$0cb>6=#9hh1?6*>ab80?!7fl390(<oj:29'5d`=;2.:n=4<;%3a5?5<,;n=6?5f6e83>>o1m3:17d9;:188m23=831b=5l50;9j6fb=831b>nk50;9j5=e=831b>i950;9j6a>=831d:k4?::m44?6=3`>j6=4+24590<=i:<<1<65f4983>!42?3>27c<:6;38?l20290/>895489m600=:21b8;4?:%063?2>3g8>:7=4;h66>5<#:<=1845a24490>=n=:0;6)<:7;6:?k42>3?07d;=:18'601=<01e>8856:9j14<72-8>;7:6;o062?1<3`?;6=4+24590<=i:<<1465f4g83>!42?3>27c<:6;;8?l2b290/>895489m600=i21b8i4?:%063?2>3g8>:7l4;h6`>5<#:<=1845a2449g>=n<k0;6)<:7;6:?k42>3n07d:;:18'601=<01e>885e:9j1d<72-8>;7;6;o062?6<3`?36=4+24591<=i:<<1=65f5683>!42?3?27c<:6;08?l31290/>895589m600=;21b984?:%063?3>3g8>:7:4;h40>5<#:<=1945a24491>=n>;0;6)<:7;7:?k42>3<07d8>:18'601==01e>8857:9j25<72-8>;7;6;o062?><3`?m6=4+24591<=i:<<1565f5d83>!42?3?27c<:6;c8?l3c290/>895589m600=j21b9n4?:%063?3>3g8>:7m4;h7a>5<#:<=1945a2449`>=n==0;6)<:7;7:?k42>3o07dm9:18'601=k<1e>8850:9jg1<72-8>;7m:;o062?7<3`i96=4+2459g0=i:<<1>65fc083>!42?3i>7c<:6;18?le7290/>895c49m600=<21bnk4?:%063?e23g8>:7;4;h`f>5<#:<=1o85a24492>=njm0;6)<:7;a6?k42>3=07dll:18'601=k<1e>8858:9jfg<72-8>;7m:;o062??<3`hj6=4+2459g0=i:<<1m65fb883>!42?3i>7c<:6;`8?ld0290/>895c49m600=k21bn;4?:%063?e23g8>:7j4;h`6>5<#:<=1o85a2449a>=nj=0;6)<:7;a6?k42>3l07dl<:18'601=k<1e>8851198mg4=83.99:4l5:l113<6921bn<4?:%063?e23g8>:7?=;:ka4?6=,;?<6n;4n375>45<3`km6=4+2459g0=i:<<1=954i`g94?"5=>0h96`=57821>=nkm0;6)<:7;a6?k42>3;=76glc;29 7302j?0b?;9:058?lee290/>895c49m600=9110eno50;&112<d=2d99;4>9:9jg<<72-8>;7m:;o062?7f32ch47>5$374>f3<f;?=6<l4;ha4>5<#:<=1o85a24495f=<aj91<7*=568`1>h5=?0:h65fb983>!42?3i>7c<:6;3f?>ofl3:1(?;8:b78j73128l07dk8:18'601=m?1e>8850:9ja0<72-8>;7k9;o062?7<3`o86=4+2459a3=i:<<1>65fe383>!42?3o=7c<:6;18?lc6290/>895e79m600=<21bi=4?:%063?c13g8>:7;4;hfe>5<#:<=1i;5a24492>=nll0;6)<:7;g5?k42>3=07djk:18'601=m?1e>8858:9j`f<72-8>;7k9;o062??<3`ni6=4+2459a3=i:<<1m65fd`83>!42?3o=7c<:6;`8?lb?290/>895e79m600=k21bh:4?:%063?c13g8>:7j4;hf5>5<#:<=1i;5a2449a>=nl<0;6)<:7;g5?k42>3l07dj;:18'601=m?1e>8851198ma5=83.99:4j6:l113<6921bh?4?:%063?c13g8>:7?=;:kg5?6=,;?<6h84n375>45<3`n;6=4+2459a3=i:<<1=954ibd94?"5=>0n:6`=57821>=nml0;6)<:7;g5?k42>3;=76gjd;29 7302l<0b?;9:058?lcd290/>895e79m600=9110ehl50;&112<b>2d99;4>9:9jad<72-8>;7k9;o062?7f32cn57>5$374>`0<f;?=6<l4;hg;>5<#:<=1i;5a24495f=<al>1<7*=568f2>h5=?0:h65fd883>!42?3o=7c<:6;3f?>odm3:1(?;8:d48j73128l07d?>4;29 73028;87c<:6;28?l76:3:1(?;8:030?k42>3;07d?>1;29 73028;87c<:6;08?l7683:1(?;8:030?k42>3907d?>9;29 73028;37c<:6;28?l76?3:1(?;8:03;?k42>3;07d?>6;29 73028;37c<:6;08?l76=3:1(?;8:03;?k42>3907d<j5;29 7302;o?7c<:6;28?l4b;3:1(?;8:3g7?k42>3;07d<j2;29 7302;o?7c<:6;08?l4b93:1(?;8:3g7?k42>3907d<ja;29 7302;o27c<:6;28?l4b03:1(?;8:3g:?k42>3;07d<j7;29 7302;o27c<:6;08?l4b>3:1(?;8:3g:?k42>3907b?<3;29 73028997c<:6;28?j7493:1(?;8:011?k42>3;07b?=f;29 73028997c<:6;08?j75m3:1(?;8:011?k42>3907b?=d;29 73028997c<:6;68?j75k3:1(?;8:011?k42>3?07b?=b;29 73028997c<:6;48?j75i3:1(?;8:011?k42>3=07b?=9;29 73028997c<:6;:8?j7503:1(?;8:011?k42>3307b?=7;29 73028997c<:6;c8?j75>3:1(?;8:011?k42>3h07b?=4;29 73028997c<:6;a8?j75;3:1(?;8:011?k42>3n07b?=2;29 73028997c<:6;g8?j7593:1(?;8:011?k42>3l07b?=0;29 73028997c<:6;33?>i69o0;6)<:7;306>h5=?0:=65`10g94?"5=>0:??5a244957=<g8;o6=4+2459564<f;?=6<=4;n32g?6=,;?<6<==;o062?7332e:=o4?:%063?74:2d99;4>5:9l56g=83.99:4>339m600=9?10c<=6:18'601=9:80b?;9:058?j7403:1(?;8:011?k42>3;376a>3683>!42?3;8>6`=5782=>=h9:<1<7*=568277=i:<<1=l54o016>5<#:<=1=><4n375>4d<3f;887>5$374>4553g8>:7?l;:m275<72-8>;7?<2:l113<6l21d=?;50;&112<6;;1e>8851d98k47f290/>8951208j73128l07b?:5;29 73028??7c<:6;28?j72;3:1(?;8:077?k42>3;07b?:1;29 73028??7c<:6;08?j7283:1(?;8:077?k42>3907b?;f;29 73028??7c<:6;68?j73m3:1(?;8:077?k42>3?07b?;d;29 73028??7c<:6;48?j73k3:1(?;8:077?k42>3=07b?;b;29 73028??7c<:6;:8?j73i3:1(?;8:077?k42>3307b?;9;29 73028??7c<:6;c8?j7303:1(?;8:077?k42>3h07b?;6;29 73028??7c<:6;a8?j73=3:1(?;8:077?k42>3n07b?;4;29 73028??7c<:6;g8?j73;3:1(?;8:077?k42>3l07b?;2;29 73028??7c<:6;33?>i6<80;6)<:7;360>h5=?0:=65`15294?"5=>0:995a244957=<g89m6=4+2459502<f;?=6<=4;n30a?6=,;?<6<;;;o062?7332e:?i4?:%063?72<2d99;4>5:9l50e=83.99:4>559m600=9?10c<;m:18'601=9<>0b?;9:058?j72i3:1(?;8:077?k42>3;376a>5883>!42?3;>86`=5782=>=h9<21<7*=568211=i:<<1=l54o074>5<#:<=1=8:4n375>4d<3f;>:7>5$374>4333g8>:7?l;:m217<72-8>;7?:4:l113<6l21d=9950;&112<6==1e>8851d98k45d290/>8951468j73128l07b?92;29 73028<:7c<:6;28?j7183:1(?;8:042?k42>3;07b?:f;29 73028<:7c<:6;08?j72m3:1(?;8:042?k42>3907b?97;29 73028<=7c<:6;28?j71=3:1(?;8:045?k42>3;07b?94;29 73028<=7c<:6;08?j71;3:1(?;8:045?k42>3907pl65183>f4=83:p(?j6:3`5?M57=2B9hi5U6`8`\7f7?=:h09h7<j:27973<4?3936>?53380=?5f2t.:o:4<;%3`<?5<,8i26>5+1bc97>"6kk087)?lc;18 4ec2:1/=nk53:&2gc<43-;o<7=4$0f2>6=#9m81?6*>d280?!7c<390(<j::29'5a0=;2.:h:4<;%3g<?5<,8n26>5+1ec97>"6lk087)?kc;18 4bc2:1/=ik53:&2`c<43-;n<7=4$0g2>6=#9l81?6*>e280?!7b<390(<k::39'5`0=:2.:5n4<;%3:`?5<,83n6>5+18d97>"6i9087)?n1;18 4c0282m7)?j8;3;b>"5j>099=5+2c:9606<f;ii645a2ba9=>"5l;099=5+1`097>"6i:087)<jc;0ff>"5mm09io5a2dg9=>h5mo027)?m2;18 4d42:1/=o:53:&2f0<43-;i:7=4$0`4>6=#9k21?6*>b880?!7ei390(<lm:29'5ge=;2.:ni4<;%3aa?5<,8hm6>5+1b297>"6k8087)?l2;18 4e42:1/=n:53:&2g0<43-;h:7=4n01a>5=i9<n1<6*=d082<c=#:m?1>6*>a480?!7f>390(<o8:29'5d>=;2.:m44<;%3be?5<,8ki6>5+1`a97>"6im087)?ne;18 4ga2:1/=o>53:&2f4<43-8o:7<4i7f94?=n>l0;66g84;29?l122900e<6m:188m7ec2900e?mj:188m4>d2900e?j8:188m7b?2900c;h50;9l35<722c?m7>5$374>1?<f;?=6=54i5:94?"5=>0?56`=5782?>o3?3:1(?;8:5;8j7312;10e9850;&112<312d99;4<;:k71?6=,;?<6974n375>1=<a<91<7*=5687=>h5=?0>76g:2;29 7302=30b?;9:798m07=83.99:4;9:l113<032c><7>5$374>1?<f;?=6554i5d94?"5=>0?56`=578:?>o3m3:1(?;8:5;8j7312h10e9j50;&112<312d99;4m;:k7g?6=,;?<6974n375>f=<a=h1<7*=5687=>h5=?0o76g;4;29 7302=30b?;9:d98m0g=83.99:4:9:l113<732c>47>5$374>0?<f;?=6<54i4594?"5=>0>56`=5781?>o2>3:1(?;8:4;8j7312:10e8;50;&112<212d99;4;;:k57?6=,;?<6874n375>0=<a?81<7*=5686=>h5=?0=76g91;29 7302<30b?;9:698m36=83.99:4:9:l113<?32c>j7>5$374>0?<f;?=6454i4g94?"5=>0>56`=578b?>o2l3:1(?;8:4;8j7312k10e8m50;&112<212d99;4l;:k6f?6=,;?<6874n375>a=<a<>1<7*=5686=>h5=?0n76gl6;29 7302j?0b?;9:198mf2=83.99:4l5:l113<632ch>7>5$374>f3<f;?=6?54ib394?"5=>0h96`=5780?>od83:1(?;8:b78j7312=10eoh50;&112<d=2d99;4:;:kaa?6=,;?<6n;4n375>3=<akn1<7*=568`1>h5=?0<76gmc;29 7302j?0b?;9:998mgd=83.99:4l5:l113<>32cim7>5$374>f3<f;?=6l54ic;94?"5=>0h96`=578a?>oe?3:1(?;8:b78j7312j10eo850;&112<d=2d99;4k;:ka1?6=,;?<6n;4n375>`=<ak>1<7*=568`1>h5=?0m76gm3;29 7302j?0b?;9:028?ld5290/>895c49m600=9810eo?50;&112<d=2d99;4>2:9jf5<72-8>;7m:;o062?7432cjj7>5$374>f3<f;?=6<:4;hcf>5<#:<=1o85a244950=<ajn1<7*=568`1>h5=?0::65fcb83>!42?3i>7c<:6;34?>odj3:1(?;8:b78j73128207dmn:18'601=k<1e>8851898mf?=83.99:4l5:l113<6i21bo54?:%063?e23g8>:7?m;:k`3?6=,;?<6n;4n375>4e<3`i86=4+2459g0=i:<<1=i54ic:94?"5=>0h96`=5782a>=nim0;6)<:7;a6?k42>3;m76gj7;29 7302l<0b?;9:198m`3=83.99:4j6:l113<632cn?7>5$374>`0<f;?=6?54id094?"5=>0n:6`=5780?>ob93:1(?;8:d48j7312=10eh>50;&112<b>2d99;4:;:kgb?6=,;?<6h84n375>3=<amo1<7*=568f2>h5=?0<76gkd;29 7302l<0b?;9:998mae=83.99:4j6:l113<>32con7>5$374>`0<f;?=6l54iec94?"5=>0n:6`=578a?>oc03:1(?;8:d48j7312j10ei950;&112<b>2d99;4k;:kg2?6=,;?<6h84n375>`=<am?1<7*=568f2>h5=?0m76gk4;29 7302l<0b?;9:028?lb4290/>895e79m600=9810ei<50;&112<b>2d99;4>2:9j`4<72-8>;7k9;o062?7432co<7>5$374>`0<f;?=6<:4;hae>5<#:<=1i;5a244950=<alo1<7*=568f2>h5=?0::65fee83>!42?3o=7c<:6;34?>obk3:1(?;8:d48j73128207dkm:18'601=m?1e>8851898m`g=83.99:4j6:l113<6i21bi44?:%063?c13g8>:7?m;:kf<?6=,;?<6h84n375>4e<3`o?6=4+2459a3=i:<<1=i54ie;94?"5=>0n:6`=5782a>=nkl0;6)<:7;g5?k42>3;m76g>1583>!42?3;:?6`=5783?>o69;0;6)<:7;327>h5=?0:76g>1083>!42?3;:?6`=5781?>o6990;6)<:7;327>h5=?0876g>1883>!42?3;:46`=5783?>o69>0;6)<:7;32<>h5=?0:76g>1783>!42?3;:46`=5781?>o69<0;6)<:7;32<>h5=?0876g=e483>!42?38n86`=5783?>o5m:0;6)<:7;0f0>h5=?0:76g=e383>!42?38n86`=5781?>o5m80;6)<:7;0f0>h5=?0876g=e`83>!42?38n56`=5783?>o5m10;6)<:7;0f=>h5=?0:76g=e683>!42?38n56`=5781?>o5m?0;6)<:7;0f=>h5=?0876a>3283>!42?3;8>6`=5783?>i6;80;6)<:7;306>h5=?0:76a>2g83>!42?3;8>6`=5781?>i6:l0;6)<:7;306>h5=?0876a>2e83>!42?3;8>6`=5787?>i6:j0;6)<:7;306>h5=?0>76a>2c83>!42?3;8>6`=5785?>i6:h0;6)<:7;306>h5=?0<76a>2883>!42?3;8>6`=578;?>i6:10;6)<:7;306>h5=?0276a>2683>!42?3;8>6`=578b?>i6:?0;6)<:7;306>h5=?0i76a>2583>!42?3;8>6`=578`?>i6::0;6)<:7;306>h5=?0o76a>2383>!42?3;8>6`=578f?>i6:80;6)<:7;306>h5=?0m76a>2183>!42?3;8>6`=57824>=h98l1<7*=568277=i:<<1=<54o03f>5<#:<=1=><4n375>44<3f;:h7>5$374>4553g8>:7?<;:m25f<72-8>;7?<2:l113<6<21d=<l50;&112<6;;1e>8851498k45f290/>8951208j73128<07b?<9;29 73028997c<:6;34?>i6;10;6)<:7;306>h5=?0:465`12594?"5=>0:??5a24495<=<g89=6=4+2459564<f;?=6<o4;n301?6=,;?<6<==;o062?7e32e:?94?:%063?74:2d99;4>c:9l566=83.99:4>339m600=9m10c<<::18'601=9:80b?;9:0g8?j76i3:1(?;8:011?k42>3;m76a>5483>!42?3;>86`=5783?>i6=:0;6)<:7;360>h5=?0:76a>5083>!42?3;>86`=5781?>i6=90;6)<:7;360>h5=?0876a>4g83>!42?3;>86`=5787?>i6<l0;6)<:7;360>h5=?0>76a>4e83>!42?3;>86`=5785?>i6<j0;6)<:7;360>h5=?0<76a>4c83>!42?3;>86`=578;?>i6<h0;6)<:7;360>h5=?0276a>4883>!42?3;>86`=578b?>i6<10;6)<:7;360>h5=?0i76a>4783>!42?3;>86`=578`?>i6<<0;6)<:7;360>h5=?0o76a>4583>!42?3;>86`=578f?>i6<:0;6)<:7;360>h5=?0m76a>4383>!42?3;>86`=57824>=h9=;1<7*=568211=i:<<1=<54o063>5<#:<=1=8:4n375>44<3f;8j7>5$374>4333g8>:7?<;:m27`<72-8>;7?:4:l113<6<21d=>j50;&112<6==1e>8851498k43d290/>8951468j73128<07b?:b;29 73028??7c<:6;34?>i6=h0;6)<:7;360>h5=?0:465`14;94?"5=>0:995a24495<=<g8?36=4+2459502<f;?=6<o4;n363?6=,;?<6<;;;o062?7e32e:9;4?:%063?72<2d99;4>c:9l504=83.99:4>559m600=9m10c<:8:18'601=9<>0b?;9:0g8?j74k3:1(?;8:077?k42>3;m76a>6383>!42?3;==6`=5783?>i6>90;6)<:7;355>h5=?0:76a>5g83>!42?3;==6`=5781?>i6=l0;6)<:7;355>h5=?0876a>6683>!42?3;=:6`=5783?>i6><0;6)<:7;352>h5=?0:76a>6583>!42?3;=:6`=5781?>i6>:0;6)<:7;352>h5=?0876sm61494?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61794?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61694?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61194?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61094?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61394?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm61294?5=83:p(?j6:0ge?M57=2B9hi5+1`69g>o6110;66g>9883>>i5=80;66sm62a94?3=83:p(?j6:0d0?M57=2B9hi5+1`6907=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb71a>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>14<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c40e?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?253`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`57<<72<0;6=u+2e;95c5<@::>7E<kd:&2e1<3:2c:554?::k2=<<722c:5l4?::k2=g<722e99<4?::\7fa26>=83?1<7>t$3f:>4`43A9;96F=de9'5d2=<;1b=4650;9j5<?=831b=4o50;9j5<d=831d>8?50;9~f350290>6=4?{%0g=?7a;2B8<85G2ef8 4g32=80e<77:188m4?>2900e<7n:188m4?e2900c?;>:188yg04>3:197>50z&1`<<6n:1C?=;4H3fg?!7f<3>97d?68;29?l7>13:17d?6a;29?l7>j3:17b<:1;29?xd1:80;684?:1y'6a?=9o90D>>:;I0g`>"6i=0?>6g>9983>>o6100;66g>9`83>>o61k0;66a=5083>>{e>;:1<7;50;2x 7b>28l87E=?5:J1`a=#9h>18?5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj?;m6=4::183\7f!4c13;m?6F<049K6ab<,8k?69<4i0;;>5<<a8326=44i0;b>5<<a83i6=44o372>5<<uk<:i7>55;294~"5l00:j>5G3178L7bc3-;j87:=;h3:<?6=3`;257>5;h3:e?6=3`;2n7>5;n065?6=3th==i4?:483>5}#:m31=k=4H226?M4cl2.:m94;2:k2==<722c:544?::k2=d<722c:5o4?::m114<722wi:<m50;794?6|,;n26<h<;I131>N5lm1/=l:5439j5<>=831b=4750;9j5<g=831b=4l50;9l607=831vn;?m:186>5<7s-8o57?i3:J040=O:mn0(<o;:508m4??2900e<76:188m4?f2900e<7m:188k7362900qo;jb;291?6=8r.9h44>f29K753<@;no7)?n4;61?l7>03:17d?69;29?l7>i3:17d?6b;29?j4293:17pl:e`83>0<729q/>i751g18L6623A8oh6*>a5876>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm5d;94?3=83:p(?j6:0d0?M57=2B9hi5+1`6907=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb4g;>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>14<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c7f3?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?253`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`6a3<72<0;6=u+2e;95c5<@::>7E<kd:&2e1<3:2c:554?::k2=<<722c:5l4?::k2=g<722e99<4?::\7fa1`3=83?1<7>t$3f:>4`43A9;96F=de9'5d2=<;1b=4650;9j5<?=831b=4o50;9j5<d=831d>8?50;9~f3d0290>6=4?{%0g=?7a;2B8<85G2ef8 4g32=80e<77:188m4?>2900e<7n:188m4?e2900c?;>:188yg00>3:197>50z&1`<<6n:1C?=;4H3fg?!7f<3>:7d?68;29?l7>13:17d?6a;29?l7>j3:17b<:1;29?xd1j?0;684?:1y'6a?=9o90D>>:;I0g`>"6i=0?>6g>9983>>o6100;66g>9`83>>o61k0;66a=5083>>{e>>?1<7;50;2x 7b>28l87E=?5:J1`a=#9h>18<5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj?h>6=4::183\7f!4c13;m?6F<049K6ab<,8k?69<4i0;;>5<<a8326=44i0;b>5<<a83i6=44o372>5<<uk<<87>55;294~"5l00:j>5G3178L7bc3-;j87:>;h3:<?6=3`;257>5;h3:e?6=3`;2n7>5;n065?6=3th=n94?:483>5}#:m31=k=4H226?M4cl2.:m94;1:k2==<722c:544?::k2=d<722c:5o4?::m114<722wi::=50;794?6|,;n26<h<;I131>N5lm1/=l:5409j5<>=831b=4750;9j5<g=831b=4l50;9l607=831vn8j?:180>5<7s-8o57?jf:J040=O:mn0(<o;:3`8m4??2900e<76:188k7362900qo8m3;291?6=8r.9h44>f29K753<@;no7)?n4;62?l7>03:17d?69;29?l7>i3:17d?6b;29?j4293:17pl97383>0<729q/>i751g18L6623A8oh6*>a5875>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6c094?3=83:p(?j6:0d0?M57=2B9hi5+1`6904=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb752>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>17<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c4a5?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?263`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`535<72<0;6=u+2e;95c5<@::>7E<kd:&2e1<392c:554?::k2=<<722c:5l4?::k2=g<722e99<4?::\7fa2<e=83?1<7>t$3f:>4`43A9;96F=de9'5d2=<;1b=4650;9j5<?=831b=4o50;9j5<d=831d>8?50;9~f33e290>6=4?{%0g=?7a;2B8<85G2ef8 4g32=80e<77:188m4?>2900e<7n:188m4?e2900c?;>:188yg0>j3:197>50z&1`<<6n:1C?=;4H3fg?!7f<3>97d?68;29?l7>13:17d?6a;29?l7>j3:17b<:1;29?xd1=h0;684?:1y'6a?=9o90D>>:;I0g`>"6i=0?>6g>9983>>o6100;66g>9`83>>o61k0;66a=5083>>{e>0k1<7;50;2x 7b>28l87E=?5:J1`a=#9h>18?5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj??26=4::183\7f!4c13;m?6F<049K6ab<,8k?69<4i0;;>5<<a8326=44i0;b>5<<a83i6=44o372>5<<uk<257>55;294~"5l00:j>5G3178L7bc3-;j87:=;h3:<?6=3`;257>5;h3:e?6=3`;2n7>5;n065?6=3th=954?:483>5}#:m31=k=4H226?M4cl2.:m94;2:k2==<722c:544?::k2=d<722c:5o4?::m114<722wi:no50;694?6|,;n26<h>;I131>N5lm1/=l:53g9j5<>=831b=4750;9j5<g=831d>8?50;9~f3e>290?6=4?{%0g=?7a92B8<85G2ef8 4g3201b=4650;9j5<?=831b=4o50;9l607=831vn;m7:187>5<7s-8o57?i1:J040=O:mn0(<o;:89j5<>=831b=4750;9j5<g=831d>8?50;9~f3e0290?6=4?{%0g=?7a92B8<85G2ef8 4g32>1b=4650;9j5<?=831b=4o50;9l607=831vn;m9:187>5<7s-8o57?i1:J040=O:mn0(<o;:89j5<>=831b=4750;9j5<g=831d>8?50;9~f3e2290?6=4?{%0g=?7a92B8<85G2ef8 4g32>1b=4650;9j5<?=831b=4o50;9l607=831vn;m;:187>5<7s-8o57?i1:J040=O:mn0(<o;:69j5<>=831b=4750;9j5<g=831d>8?50;9~f3e4290?6=4?{%0g=?7a92B8<85G2ef8 4g32<1b=4650;9j5<?=831b=4o50;9l607=831vn;77:186>5<7s-8o57?i3:J040=O:mn0(<o;:508m4??2900e<76:188m4?f2900e<7m:188k7362900qo8:7;291?6=8r.9h44>f29K753<@;no7)?n4;61?l7>03:17d?69;29?l7>i3:17d?6b;29?j4293:17pl99683>0<729q/>i751g18L6623A8oh6*>a5876>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm64494?3=83:p(?j6:0d0?M57=2B9hi5+1`6907=n9021<75f18;94?=n90k1<75f18`94?=h:<;1<75rb7;5>5<2290;w)<k9;3e7>N48<1C>ij4$0c7>14<a8336=44i0;:>5<<a83j6=44i0;a>5<<g;?:6=44}c461?6==3:1<v*=d882b6=O;9?0D?jk;%3b0?253`;247>5;h3:=?6=3`;2m7>5;h3:f?6=3f8>=7>5;|`53d<72=0;6=u+2e;95c7<@::>7E<kd:&2e1<1k2c:554?::k2=<<722c:5l4?::m114<722wi::m50;794?6|,;n26<h<;I131>N5lm1/=l:519g8m4??2900e<76:188m4?f2900e<7m:188k7362900qo;lf;297?6=8r.9h44>eg9K753<@;no7)?n4;0a?l7>03:17d?69;29?j4293:17pl9d383>0<729q/>i751g18L6623A8oh6*>a5874>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6e394?3=83:p(?j6:0d0?M57=2B9hi5+1`69e>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6e294?3=83:p(?j6:0d0?M57=2B9hi5+1`69e>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6bd94?3=83:p(?j6:0d0?M57=2B9hi5+1`69<>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6bg94?3=83:p(?j6:0d0?M57=2B9hi5+1`69e>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6bf94?3=83:p(?j6:0d0?M57=2B9hi5+1`690>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6ba94?3=83:p(?j6:0d0?M57=2B9hi5+1`69<>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm6b`94?3=83:p(?j6:0d0?M57=2B9hi5+1`692>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm42594?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj=9m6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c675?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th?8>4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa013=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn9:8:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg2313:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl;4c83>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e<=n1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb56e>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk>857>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`77g<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi8>j50;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f6`529096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo=ia;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4nj0;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm3gg94?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj=:;6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c636?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th?<94?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa050=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn9>7:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg27i3:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl<f583>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e;o<1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb2d;>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk9=47>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`035<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi?:<50;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f61329096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo=86;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4?10;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm36c94?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj:=h6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c14a?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th84=4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa73g=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn>8l:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg51m3:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl<ae83>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e;k?1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb2`4>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk9i57>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`0fg<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi?oj50;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f6da29096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo=l1;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4k:0;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm3b794?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj:km6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c1a5?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th8n>4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa774=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn><n:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg55k3:1>7>50z&1`<<6ml1C?=;4H3fg?!7f<38i7d?68;29?j4293:17pl<2d83>7<729q/>i751dg8L6623A8oh6*>a581f>o6110;66a=5083>>{e;::1<7<50;2x 7b>28on7E=?5:J1`a=#9h>1>o5f18:94?=h:<;1<75rb211>5<5290;w)<k9;3fa>N48<1C>ij4$0c7>7d<a8336=44o372>5<<uk9887>52;294~"5l00:ih5G3178L7bc3-;j87<m;h3:<?6=3f8>=7>5;|`073<72;0;6=u+2e;95`c<@::>7E<kd:&2e1<5j2c:554?::m114<722wi?>650;094?6|,;n26<kj;I131>N5lm1/=l:52c9j5<>=831d>8?50;9~f65f29096=4?{%0g=?7bm2B8<85G2ef8 4g32;h0e<77:188k7362900qo==4;296?6=8r.9h44>ed9K753<@;no7)?n4;0a?l7>03:17b<:1;29?xd4:?0;6?4?:1y'6a?=9lo0D>>:;I0g`>"6i=09n6g>9983>>i5=80;66sm33:94?4=83:p(?j6:0gf?M57=2B9hi5+1`696g=n9021<75`24394?=zj=?>6=4=:183\7f!4c13;ni6F<049K6ab<,8k?6?l4i0;;>5<<g;?:6=44}c624?6=:3:1<v*=d882a`=O;9?0D?jk;%3b0?4e3`;247>5;n065?6=3th84;4?:383>5}#:m31=hk4H226?M4cl2.:m94=b:k2==<722e99<4?::\7fa7fd=8381<7>t$3f:>4cb3A9;96F=de9'5d2=:k1b=4650;9l607=831vn>:?:181>5<7s-8o57?je:J040=O:mn0(<o;:3`8m4??2900c?;>:188yg?2i3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;3h6g>9983>>o6100;66g>9`83>>i5=80;66sm58594?2=83:p(?j6:0d2?M57=2B9hi5+1`697a=n9021<75f18;94?=n90k1<75`24394?=zj<3h6=4;:183\7f!4c13;m=6F<049K6ab<,8k?69=4i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c7:`?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?243`;247>5;h3:=?6=3`;2m7>5;n065?6=3th>5h4?:583>5}#:m31=k?4H226?M4cl2.:m94;3:k2==<722c:544?::k2=d<722e99<4?::\7fa1<`=83>1<7>t$3f:>4`63A9;96F=de9'5d2=<:1b=4650;9j5<?=831b=4o50;9l607=831vn8o?:187>5<7s-8o57?i1:J040=O:mn0(<o;:518m4??2900e<76:188m4?f2900c?;>:188yg3f93:187>50z&1`<<6n81C?=;4H3fg?!7f<3>87d?68;29?l7>13:17d?6a;29?j4293:17pl:a383>1<729q/>i751g38L6623A8oh6*>a5877>o6110;66g>9883>>o61h0;66a=5083>>{e>:o1<7;50;2x 7b>28l87E=?5:J1`a=#9h>1?o5f18:94?=n9031<75f18c94?=n90h1<75`24394?=zj<k86=4;:183\7f!4c13;m=6F<049K6ab<,8k?69=4i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c7b0?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?243`;247>5;h3:=?6=3`;2m7>5;n065?6=3th>554?:583>5}#:m31=k?4H226?M4cl2.:m94;3:k2==<722c:544?::k2=d<722e99<4?::\7fa1<?=83>1<7>t$3f:>4`63A9;96F=de9'5d2=<:1b=4650;9j5<?=831b=4o50;9l607=831vn87n:187>5<7s-8o57?i1:J040=O:mn0(<o;:518m4??2900e<76:188m4?f2900c?;>:188yg1fn3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75094?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=h?7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3d0=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9k2;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?=i1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5ae?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;im50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1dn3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm74694?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=hm7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3a6=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9j4;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?<=1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5g5?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;h950;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1>l3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm78a94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=>47>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`>=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9:9;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?<k1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5:a?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;4h50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1b13:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7`294?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=j=7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`g=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9:b;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?h81<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c56g?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;l=50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1bj3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7`694?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=j97>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`e=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9;3;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?h=1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5b<?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;l750;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1c;3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75694?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=jm7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa313=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9k4;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?hh1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5bg?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;i;50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1fm3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75494?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=jh7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3a0=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9;7;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?m=1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a4?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;o?50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1303:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7e:94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=?57>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3g4=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9m3;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?m31<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a0?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;o;50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg13i3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7ec94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=i:7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3g>=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9m7;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?=h1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a=?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;il50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1ek3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm75f94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=in7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3ab=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9me;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?=o1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5a`?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;ik50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg13n3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7cd94?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=h<7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3a`=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9l2;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?l:1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c564?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;n?50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1d<3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm74394?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=>>7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3f3=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9j1;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?j<1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5`3?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;n650;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg12;3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm7d094?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=h57>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`5=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo9:5;290?6=8r.9h44>f09K753<@;no7)?n4;3;=>o6110;66g>9883>>o61h0;66a=5083>>{e?jh1<7:50;2x 7b>28l:7E=?5:J1`a=#9h>1=574i0;;>5<<a8326=44i0;b>5<<g;?:6=44}c5`g?6=<3:1<v*=d882b4=O;9?0D?jk;%3b0?7?12c:554?::k2=<<722c:5l4?::m114<722wi;h;50;694?6|,;n26<h>;I131>N5lm1/=l:519;8m4??2900e<76:188m4?f2900c?;>:188yg1dm3:187>50z&1`<<6n81C?=;4H3fg?!7f<3;356g>9983>>o6100;66g>9`83>>i5=80;66sm74494?2=83:p(?j6:0d2?M57=2B9hi5+1`695=?<a8336=44i0;:>5<<a83j6=44o372>5<<uk=hh7>54;294~"5l00:j<5G3178L7bc3-;j87?79:k2==<722c:544?::k2=d<722e99<4?::\7fa3`0=83>1<7>t$3f:>4`63A9;96F=de9'5d2=9130e<77:188m4?>2900e<7n:188k7362900qo98d;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl82283>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm76g94?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb607>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5;=?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`4=1<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa37`=83>1<7>t$3f:>4`23A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f25f290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg1>>3:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd0;j0;694?:1y'6a?=9o?0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?0=1<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>9o6=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk=8i7>54;294~"5l00:j85G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<554?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;4750;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:=i:187>5<7s-8o57?i5:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo96a;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl84183>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm75394?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb6;a>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5;4?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`463<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa3=7=83>1<7>t$3f:>4`23A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f240290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg1503:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd00;0;694?:1y'6a?=9o?0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?191<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>826=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk=387>54;294~"5l00:j85G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<>l4?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;?l50;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:6::187>5<7s-8o57?i5:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo976;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl82b83>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm79594?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb60g>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c51a?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`4<=<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa3=g=83>1<7>t$3f:>4`23A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f257290?6=4?{%0g=?7a=2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg1?k3:187>50z&1`<<6n<1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd0;;0;694?:1y'6a?=9o?0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e?:91<7:50;2x 7b>28l>7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj>2o6=4;:183\7f!4c13;m96F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk=2<7>54;294~"5l00:j85G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<?;4?:583>5}#:m31=k;4H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;4?50;694?6|,;n26<h:;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:=8:187>5<7s-8o57?i5:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo9<8;290?6=8r.9h44>f49K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl89383>1<729q/>i751g78L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm78194?2=83:p(?j6:0d6?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb61:>5<3290;w)<k9;3e1>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c5:1?6=<3:1<v*=d882b0=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`47g<72=0;6=u+2e;95c3<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa2`2=83>1<7>t$3f:>4`13A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f3c2290?6=4?{%0g=?7a>2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg0a83:187>50z&1`<<6n?1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd1nk0;694?:1y'6a?=9o<0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e>on1<7:50;2x 7b>28l=7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj?ln6=4;:183\7f!4c13;m:6F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk<mj7>54;294~"5l00:j;5G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th<<=4?:583>5}#:m31=k84H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi;=?50;694?6|,;n26<h9;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn:>=:187>5<7s-8o57?i6:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo8j7;290?6=8r.9h44>f79K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl9e983>1<729q/>i751g48L6623A8oh6g>9983>>o6100;66g=d183>>i5=80;66sm6d;94?2=83:p(?j6:0d5?M57=2B9hi5f18:94?=n9031<75f2e294?=h:<;1<75rb7gb>5<3290;w)<k9;3e2>N48<1C>ij4i0;;>5<<a8326=44i3f3>5<<g;?:6=44}c4ff?6=<3:1<v*=d882b3=O;9?0D?jk;h3:<?6=3`;257>5;h0g4?6=3f8>=7>5;|`5af<72=0;6=u+2e;95c0<@::>7E<kd:k2==<722c:544?::k1`5<722e99<4?::\7fa2`b=83>1<7>t$3f:>4`13A9;96F=de9j5<>=831b=4750;9j6a6=831d>8?50;9~f3cb290?6=4?{%0g=?7a>2B8<85G2ef8m4??2900e<76:188m7b72900c?;>:188yg0bn3:187>50z&1`<<6n?1C?=;4H3fg?l7>03:17d?69;29?l4c83:17b<:1;29?xd1n80;694?:1y'6a?=9o<0D>>:;I0g`>o6110;66g>9883>>o5l90;66a=5083>>{e>o91<7:50;2x 7b>28l=7E=?5:J1`a=n9021<75f18;94?=n:m:1<75`24394?=zj?l?6=4;:183\7f!4c13;m:6F<049K6ab<a8336=44i0;:>5<<a;n;6=44o372>5<<uk<m;7>54;294~"5l00:j;5G3178L7bc3`;247>5;h3:=?6=3`8o<7>5;n065?6=3th=j54?:583>5}#:m31=k84H226?M4cl2c:554?::k2=<<722c9h=4?::m114<722wi:k750;694?6|,;n26<h9;I131>N5lm1b=4650;9j5<?=831b>i>50;9l607=831vn;hn:187>5<7s-8o57?i6:J040=O:mn0e<77:188m4?>2900e?j?:188k7362900qo8ic;290?6=8r.9h44>f79K753<@;no7d?68;29?l7>13:17d<k0;29?j4293:17pl97c83>0<729q/>i751g18L6623A8oh6*>a581g>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm56c94?3=83:p(?j6:0d0?M57=2B9hi5+1`69f>o6110;66g>9883>>o61h0;66g>9c83>>i5=80;66sm58`94?2=83:p(?j6:0d2?M57=2B9hi5+1`6906=n9021<75f18;94?=n90k1<75`24394?=zj?=o6=4;:183\7f!4c13;m>6F<049K6ab<,8k?6ll4i0;;>5<<a8326=44i0;b>5<<g8oi6=44}c40b?6=;3:1<v*=d882b5=O;9?0D?jk;%3b0?5b3`;247>5;h3:=?6=3f;nn7>5;|q;f?6=:9qU4o52382934=:;0;1;<52384934=:;0=1;<5238c934=:;021;<5238;934=:;0h1;<5238a934=:;0n1;<52380934=:;0?1;<52381934=:;0>1;<5235`934=:;=i1;<52343934=:;<81;<52341934=:;<>1;<52347934=:;<<1;<52345934=:;<21;<5235f934=:;=o1;<5235d934=:;<:1;<5259c937=:=h?1;?525bd9607<uz;287>57z\;e>X61=16:5?52c7890b728327088a;3:=>;1?k0:545256c95<d<uz=86=469z\47>;1jm0=n639bg85f>;1jj0=n639bd85f>;3=o0=n63;6185f>;3><0=n63;6785f>;3>00=n63;6685f>;3>10=n63;6`85f>;3>k0=n63;6b85f>;3>80=n63;6585f>;3>;0=n63;6285f>;39h0=n63;1c85f>;3:90=n63;2085f>;3:=0=n63;2385f>;3::0=n63;2485f>;3:?0=n63;2685f>;39j0=n63;1g85f>;39m0=n63;1d85f>;4190=n63<9085f>;41?0=n63<9685f>;41h0=n63<9985f>;4100=n63<9c85f>;41j0=n63<9e85f>;41;0=n63<9485f>;41:0=n63<9585f>;4l<0=n63<d785f>;4lk0=n63<db85f>;4lm0=n63<dd85f>;4lo0=n63<e185f>;4m80=n63<e385f>;4l>0=n63<d985f>;4l00=n63<d`85f>;4<k0=n63<4b85f>;4=80=n63<5385f>;4=:0=n63<5585f>;4=<0=n63<5785f>;4=>0=n63<5985f>;4<m0=n63<4d85f>;4<o0=n63<5185f>;1<90=n6398085f>;1jh0=n63:c085f>;2k;0=n63:c685f>;2k10=n63:c885f>;2kh0=n63:cc85f>;2kj0=n63:ce85f>;2kl0=n63:c285f>;2k=0=n63:c485f>;2k?0=n6376285f>;?>;0=n6376085f>;>;j0<86363b841>;><>0<863646841>;>=80<863650841>;>=;0<863653841>;>=:0<863652841>;>==0<863655841>;>=<0<863654841>;>=?0<863657841>;>=>0<863656841>;>=10<863659841>;>;m0<86363e841>;>;l0<86363d841>;>;o0<86363g841>;><90<863641841>;><80<863640841>;><;0<863643841>;><:0<863642841>;><=0<863645841>;><<0<863644841>;><?0<863647841>;><10<863649841>;><00<863648841>;><h0<86364`841>;><k0<86364c841>;><j0<86364b841>;><m0<86364e841>;><l0<86364d841>;><o0<86364g841>;>=90<863651841>{t:j31<7?:{_0`=>;2ko0:555294c95<><5<3<6<76;<7:g?7>127>5i4>989>1<c=9030187i:0;:?83f83;2563:a082=<=:=h81=474=4c0>4?>34?j87?69:?6==<61016947518;890?f28327088b;3:f>;2?h0:545258`95<?<5?=o6<77;<40b?7>12wx>oo50;;:a~X1=2T9j=5Q2e`8Z32<V;?87S<ke:\11<=Y:1=0R?h8;_0e1>X5n=1U>k=4^223?[4an2T9jh5Q2gf8Z7`d3W8mn6P=f`9]6c?<V;l37S<i2:\1fc=Y:kn0R?ll;_0af>X5k11U>n94^3a5?[4d=2T9o95Q2b18Z7e53W8h=6P=c19]6gg<5::=6<79;<4a`?1>34<ij796;<602?gd34>8i7ol;<674?gd34>?>7ol;<670?gd34>?:7ol;<67<?gd34>?m7ol;<67g?gd34>?i7ol;<60<?gd34>8m7ol;<60g?gd349m=7ol;<1e=?gd349mn7ol;<1e`?gd349mj7ol;<635?gd34>;?7ol;<631?gd34>;;7ol;<63=?gd349m?7ol;<1e1?gd349m;7ol;<153?gd349=j7ol;<145?gd349<?7ol;<141?gd349<;7ol;<14=?gd349<n7ol;<14`?gd349<j7ol;<15=?gd349=n7ol;<15`?gd349jo7ol;<1a0?gd349i:7ol;<1a<?gd349im7ol;<1ag?gd349ii7ol;<1`4?gd349h>7ol;<1`0?gd349ji7ol;<1a4?gd349i>7ol;<115?gd349957ol;<11f?gd3499h7ol;<11b?gd3498=7ol;<107?gd349897ol;<103?gd349857ol;<117?gd349997ol;<113?gd34<?;7ol;<47<?gd34<?57ol;<47e?gd34<?n7ol;<47g?gd34<?h7ol;<456?gd34<=?7ol;<450?gd34<=97ol;<452?gd34<=;7ol;<45<?gd34<347ol;<4;=?gd34<3m7ol;<4;f?gd34<3o7ol;<4;`?gd34<3i7ol;<4b7?gd34<j87ol;<4b1?gd34<j:7ol;<4b3?gd34<j47ol;<4b=?gd34<im796;<7g3?gd34?o47ol;<7g=?gd34?om7ol;<7gf?gd34?oo7ol;<7g`?gd34?m>7ol;<7e7?gd34?m87ol;<7e1?gd34?m:7ol;<7e3?gd34?m47ol;<43`?gd34<;i7ol;<43b?gd34<:<7ol;<425?gd34<:>7ol;<427?gd34<947ol;<41=?gd34<9m7ol;<41f?gd34<9o7ol;<41`?gd34<9i7ol;<;0g?0c3438o78j;<;0g?4dl272?n4=cd9>=6e=<h165>m55`9>=6e=k?165>m5c59>=6e=k;165>m5c09>=6e=k9165>m5bg9>=6e=jl165>m5be9>=6e=jj165>m5bc9>=6e=jh165>m5b89>=6e=j>165>m5b79>=6e=j<165>m5b59>=6e=j:165>m5b39>=6e=j8165>m5b19>=6e=io165>m5ad9>=6e=km165>m5cb9>=6e=kk165>m5c`9>=6e=k0165>m5c99>=6e=k>165>m5c29>=6e=j1165>m5e69>=6e=m<165>m5e29>=6e=m;165>m5e09>=6e=m9165>m5dg9>=6e=ll165>m5de9>=6e=lj165>m5dc9>=6e=lh165>m5d99>=6e=l>165>m5d79>=6e=l<165>m5d59>=6e=l:165>m5d39>=6e=l8165>m5d19>=6e=ko165>m5ed9>=6e=mm165>m5eb9>=6e=mk165>m5e`9>=6e=m0165>m5e99>=6e=m=165>m5d89>=6e=kl165>m510689<5d28;9707<c;325>;>;j0:==5292a954?<509h6<?8;<;0g?76>272?n4>149>=6e=:lk014=l:3g;?8?4k38n;6363b81a3=:1==1:i5295592`=:1==1>nj4=864>7eb343?;7:n;<;73?3f343?;7m9;<;73?e3343?;7m=;<;73?e6343?;7m?;<;73?da343?;7lj;<;73?dc343?;7ll;<;73?de343?;7ln;<;73?d>343?;7l8;<;73?d1343?;7l:;<;73?d3343?;7l<;<;73?d5343?;7l>;<;73?d7343?;7oi;<;73?gb343?;7mk;<;73?ed343?;7mm;<;73?ef343?;7m6;<;73?e?343?;7m8;<;73?e4343?;7l7;<;73?c0343?;7k:;<;73?c4343?;7k=;<;73?c6343?;7k?;<;73?ba343?;7jj;<;73?bc343?;7jl;<;73?be343?;7jn;<;73?b?343?;7j8;<;73?b1343?;7j:;<;73?b3343?;7j<;<;73?b5343?;7j>;<;73?b7343?;7mi;<;73?cb343?;7kk;<;73?cd343?;7km;<;73?cf343?;7k6;<;73?c?343?;7k;;<;73?b>343?;7mj;<;73?76<2728:4>139>=11=98;014:8:033?8?3?3;:5636468252=:1==1=<84=864>472343?;7<ja:?:02<5m11659952d589<202;o=707:1;4g?8?293<n707:1;0``>;>=809oh5294390d=:1<;19l529439g3=:1<;1o9529439g7=:1<;1o<529439g5=:1<;1nk529439f`=:1<;1ni529439ff=:1<;1no529439fd=:1<;1n4529439f2=:1<;1n;529439f0=:1<;1n9529439f6=:1<;1n?529439f4=:1<;1n=529439ec=:1<;1mh529439ga=:1<;1on529439gg=:1<;1ol529439g<=:1<;1o5529439g2=:1<;1o>529439f==:1<;1i:529439a0=:1<;1i>529439a7=:1<;1i<529439a5=:1<;1hk529439``=:1<;1hi529439`f=:1<;1ho529439`d=:1<;1h5529439`2=:1<;1h;529439`0=:1<;1h9529439`6=:1<;1h?529439`4=:1<;1h=529439gc=:1<;1ih529439aa=:1<;1in529439ag=:1<;1il529439a<=:1<;1i5529439a1=:1<;1h4529439g`=:1<;1=<:4=872>475343>=7?>1:?:14<6991658?510;89<3628;<707:1;322>;>=80:=85294396`g<50?:6?k7;<;65?4b?2729<4=e79>=04=>m1658<56d9>=04=:jn014;=:3af?8?2:3>j707:2;7b?8?2:3i=707:2;a7?8?2:3i9707:2;a2?8?2:3i;707:2;`e?8?2:3hn707:2;`g?8?2:3hh707:2;`a?8?2:3hj707:2;`:?8?2:3h<707:2;`5?8?2:3h>707:2;`7?8?2:3h8707:2;`1?8?2:3h:707:2;`3?8?2:3km707:2;cf?8?2:3io707:2;a`?8?2:3ii707:2;ab?8?2:3i2707:2;a;?8?2:3i<707:2;a0?8?2:3h3707:2;g4?8?2:3o>707:2;g0?8?2:3o9707:2;g2?8?2:3o;707:2;fe?8?2:3nn707:2;fg?8?2:3nh707:2;fa?8?2:3nj707:2;f;?8?2:3n<707:2;f5?8?2:3n>707:2;f7?8?2:3n8707:2;f1?8?2:3n:707:2;f3?8?2:3im707:2;gf?8?2:3oo707:2;g`?8?2:3oi707:2;gb?8?2:3o2707:2;g;?8?2:3o?707:2;f:?8?2:3in707:2;320>;>=;0:=?529409547<50?96<??;<;66?7612729?4>169>=04=98<014;=:036?8?2:38nm6365381a==:1<81>h94=871>7c1343>?78k;<;67?0b343>?7<ld:?:16<5kl1658=54`9>=05==h1658=5c79>=05=k=1658=5c39>=05=k81658=5c19>=05=jo1658=5bd9>=05=jm1658=5bb9>=05=jk1658=5b`9>=05=j01658=5b69>=05=j?1658=5b49>=05=j=1658=5b29>=05=j;1658=5b09>=05=j91658=5ag9>=05=il1658=5ce9>=05=kj1658=5cc9>=05=kh1658=5c89>=05=k11658=5c69>=05=k:1658=5b99>=05=m>1658=5e49>=05=m:1658=5e39>=05=m81658=5e19>=05=lo1658=5dd9>=05=lm1658=5db9>=05=lk1658=5d`9>=05=l11658=5d69>=05=l?1658=5d49>=05=l=1658=5d29>=05=l;1658=5d09>=05=l91658=5cg9>=05=ml1658=5ee9>=05=mj1658=5ec9>=05=mh1658=5e89>=05=m11658=5e59>=05=l01658=5cd9>=05=98>014;<:031?8?2;3;:=636528255=:1<91=<74=870>470343>?7?>6:?:16<69<1658=52dc89<342;o3707:3;0f3>;>=:09i;5294692a=:1<>1:h5294696fb<50??6?mj;<;60?2f343>87:l;<;60?2e343>87:;;<;60?3f343>87;l;<;60?3e343>87;;;<;60?e1343>87m;;<;60?e5343>87m>;<;60?e7343>87li;<;60?db343>87lk;<;60?dd343>87lm;<;60?df343>87l6;<;60?d0343>87l9;<;60?d2343>87l;;<;60?d4343>87l=;<;60?d6343>87l?;<;60?ga343>87oj;<;60?ec343>87ml;<;60?c0343>87k:;<;60?c4343>87k=;<;60?c6343>87k?;<;60?ba343>87jj;<;60?bc343>87jl;<;60?be343>87jn;<;60?b?343>87j8;<;60?b1343>87j:;<;60?b3343>87j<;<;60?b5343>87j>;<;60?b7343>87mi;<;60?cb343>87kk;<;60?cd343>87km;<;60?cf343>87k6;<;60?c?343>87k;;<;60?b>343>87mj;<;60?76<272994>139>=02=98;014;;:03:?8?2<3;:;636558253=:1<>1=<;4=877>7cf343>87<j8:?:11<5m>1658:52d489<322?n014;::7g89<322;io707:5;0`a>;>=<0?m6365487g>;>=<0?n63654870>;>=<0>m6365486g>;>=<0>n63654860>;>=<0h:636548`0>;>=<0h>636548`5>;>=<0h<636548ab>;>=<0ii636548a`>;>=<0io636548af>;>=<0im636548a=>;>=<0i;636548a2>;>=<0i9636548a0>;>=<0i?636548a6>;>=<0i=636548a4>;>=<0jj636548ba>;>=<0hh636548`g>;>=<0n;636548f1>;>=<0n?636548f6>;>=<0n=636548f4>;>=<0oj636548ga>;>=<0oh636548gg>;>=<0on636548ge>;>=<0o4636548g3>;>=<0o:636548g1>;>=<0o8636548g7>;>=<0o>636548g5>;>=<0o<636548`b>;>=<0ni636548f`>;>=<0no636548ff>;>=<0nm636548f=>;>=<0n4636548f0>;>=<0o5636548`a>;>=<0:=9529479544<50?>6<?>;<;61?761272984>169>=03=98<014;::036?8?2=38nm6365481a==:1<?1>h94=876>7c1343>:78k;<;62?0b343>:7<ld:?:13<5kl1658854`9>=00=<j1658854c9>=00=<=1658855`9>=00==j1658855c9>=00===165885c79>=00=k=165885c39>=00=k8165885c19>=00=jo165885bd9>=00=jm165885bb9>=00=jk165885b`9>=00=j0165885b69>=00=j?165885b49>=00=j=165885b29>=00=j;165885b09>=00=j9165885ag9>=00=il165885ce9>=00=kj165885e69>=00=m<165885e29>=00=m;165885e09>=00=m9165885dg9>=00=ll165885de9>=00=lj165885dc9>=00=lh165885d99>=00=l>165885d79>=00=l<165885d59>=00=l:165885d39>=00=l8165885d19>=00=ko165885ed9>=00=mm165885eb9>=00=mk165885e`9>=00=m0165885e99>=00=m=165885d89>=00=kl16588510689<3128;9707:6;325>;>=?0:=4529449541<50?=6<?9;<;62?76=2729;4=e`9>=00=:l2014;9:3g4?8?2>38n:6365685`>;>=>0=i6365681ga=:1<=1>nk4=874>1g<50?<69m4=874>1d<50?<69:4=874>0g<50?<68m4=874>0d<50?<68:4=874>f0<50?<6n:4=874>f4<50?<6n?4=874>f6<50?<6oh4=874>gc<50?<6oj4=874>ge<50?<6ol4=874>gg<50?<6o74=874>g1<50?<6o84=874>g3<50?<6o:4=874>g5<50?<6o<4=874>g7<50?<6o>4=874>d`<50?<6lk4=874>fb<50?<6nm4=874>`1<50?<6h;4=874>`5<50?<6h<4=874>`7<50?<6h>4=874>a`<50?<6ik4=874>ab<50?<6im4=874>ad<50?<6io4=874>a><50?<6i94=874>a0<50?<6i;4=874>a2<50?<6i=4=874>a4<50?<6i?4=874>a6<50?<6nh4=874>`c<50?<6hj4=874>`e<50?<6hl4=874>`g<50?<6h74=874>`><50?<6h:4=874>a?<50?<6nk4=874>473343>;7?>2:?:12<69816589510;89<3028;<707:7;322>;>=>0:=85294596`g<50?<6?k7;<;63?4b?2729:4=e79>=0>=>m1658656d9>=0>=:jn014;7:3af?8?203>j707:8;6`?8?203>i707:8;67?8?203?j707:8;7`?8?203?i707:8;77?8?203i=707:8;a7?8?203i9707:8;a2?8?203i;707:8;`e?8?203hn707:8;`g?8?203hh707:8;`a?8?203hj707:8;`:?8?203h<707:8;`5?8?203h>707:8;`7?8?203h8707:8;`1?8?203h:707:8;`3?8?203km707:8;cf?8?203io707:8;a`?8?203o<707:8;g6?8?203o8707:8;g1?8?203o:707:8;g3?8?203nm707:8;ff?8?203no707:8;f`?8?203ni707:8;fb?8?203n3707:8;f4?8?203n=707:8;f6?8?203n?707:8;f0?8?203n9707:8;f2?8?203n;707:8;ae?8?203on707:8;gg?8?203oh707:8;ga?8?203oj707:8;g:?8?203o3707:8;g7?8?203n2707:8;af?8?203;:8636598257=:1<21=<?4=87;>47>343>47?>7:?:1=<69?16586510789<3?2;oj707:8;0f<>;>=109i:5294:96`0<509o6;j4=81g>3c<509o6?mk;<;0`?4dm272?i4;a:?:7a<3k272?i4;b:?:7a<3<272?i4:a:?:7a<2k272?i4:b:?:7a<2<272?i4l6:?:7a<d<272?i4l2:?:7a<d9272?i4l0:?:7a<en272?i4me:?:7a<el272?i4mc:?:7a<ej272?i4ma:?:7a<e1272?i4m7:?:7a<e>272?i4m5:?:7a<e<272?i4m3:?:7a<e:272?i4m1:?:7a<e8272?i4nf:?:7a<fm272?i4ld:?:7a<dk272?i4j7:?:7a<b=272?i4j3:?:7a<b:272?i4j1:?:7a<b8272?i4kf:?:7a<cm272?i4kd:?:7a<ck272?i4kb:?:7a<ci272?i4k8:?:7a<c?272?i4k6:?:7a<c=272?i4k4:?:7a<c;272?i4k2:?:7a<c9272?i4k0:?:7a<dn272?i4je:?:7a<bl272?i4jc:?:7a<bj272?i4ja:?:7a<b1272?i4j8:?:7a<b<272?i4k9:?:7a<dm272?i4>159>=6b=988014=k:032?8?4l3;:56363e8252=:1:n1=<84=81g>4723438h7<ja:?:7a<5m1165>j52d589<5c2;o=707<e;4g?8?4m3<n707<e;0``>;>;l09oh5292g90d=:1:o18n5292g90g=:1:o1895292g91d=:1:o19n5292g91g=:1:o1995292g9g3=:1:o1o95292g9g7=:1:o1o<5292g9g5=:1:o1nk5292g9f`=:1:o1ni5292g9ff=:1:o1no5292g9fd=:1:o1n45292g9f2=:1:o1n;5292g9f0=:1:o1n95292g9f6=:1:o1n?5292g9f4=:1:o1n=5292g9ec=:1:o1mh5292g9ga=:1:o1on5292g9a2=:1:o1i85292g9a6=:1:o1i?5292g9a4=:1:o1i=5292g9`c=:1:o1hh5292g9`a=:1:o1hn5292g9`g=:1:o1hl5292g9`==:1:o1h:5292g9`3=:1:o1h85292g9`1=:1:o1h>5292g9`7=:1:o1h<5292g9`5=:1:o1ok5292g9a`=:1:o1ii5292g9af=:1:o1io5292g9ad=:1:o1i45292g9a==:1:o1i95292g9`<=:1:o1oh5292g9542<509n6<?=;<;0a?769272?h4>189>=6c=98=014=j:035?8?4m3;:96363d81ad=:1:o1>h64=81f>7c03438i7<j6:?:7c<1l272?k49e:?:7c<5km165>h52bg89<5a2=k014=i:5a89<5a2=h014=i:5689<5a2<k014=i:4a89<5a2<h014=i:4689<5a2j<014=i:b689<5a2j8014=i:b389<5a2j:014=i:cd89<5a2ko014=i:cf89<5a2ki014=i:c`89<5a2kk014=i:c;89<5a2k=014=i:c489<5a2k?014=i:c689<5a2k9014=i:c089<5a2k;014=i:c289<5a2hl014=i:`g89<5a2jn014=i:ba89<5a2l=014=i:d789<5a2l9014=i:d089<5a2l;014=i:d289<5a2ml014=i:eg89<5a2mn014=i:ea89<5a2mh014=i:ec89<5a2m2014=i:e589<5a2m<014=i:e789<5a2m>014=i:e189<5a2m8014=i:e389<5a2m:014=i:bd89<5a2lo014=i:df89<5a2li014=i:d`89<5a2lk014=i:d;89<5a2l2014=i:d689<5a2m3014=i:bg89<5a28;?707<f;326>;>;o0:=<5292d954?<509m6<?8;<;0b?76>272?k4>149>=6`=:lk014=i:3g;?8?4n38n;6363g81a3=:1=:1:i5295292`=:1=:1>nj4=863>7eb343?<7:n;<;74?2d343?<7:m;<;74?23343?<7;n;<;74?3d343?<7;m;<;74?33343?<7m9;<;74?e3343?<7m=;<;74?e6343?<7m?;<;74?da343?<7lj;<;74?dc343?<7ll;<;74?de343?<7ln;<;74?d>343?<7l8;<;74?d1343?<7l:;<;74?d3343?<7l<;<;74?d5343?<7l>;<;74?d7343?<7oi;<;74?gb343?<7mk;<;74?ed343?<7k8;<;74?c2343?<7k<;<;74?c5343?<7k>;<;74?c7343?<7ji;<;74?bb343?<7jk;<;74?bd343?<7jm;<;74?bf343?<7j7;<;74?b0343?<7j9;<;74?b2343?<7j;;<;74?b4343?<7j=;<;74?b6343?<7j?;<;74?ea343?<7kj;<;74?cc343?<7kl;<;74?ce343?<7kn;<;74?c>343?<7k7;<;74?c3343?<7j6;<;74?eb343?<7?>4:?:05<69;1659>510389<2728;2707;0;323>;><90:=;529529543<50>;6?kn;<;74?4b02728=4=e69>=16=:l<014:>:7f89<262?o014:>:3ag?8?3938hi6364087e>;><80?o6364087f>;><80?86364086e>;><80>o6364086f>;><80>8636408`2>;><80h8636408`6>;><80h=636408`4>;><80ij636408aa>;><80ih636408ag>;><80in636408ae>;><80i5636408a3>;><80i:636408a1>;><80i8636408a7>;><80i>636408a5>;><80i<636408bb>;><80ji636408``>;><80ho636408f3>;><80n9636408f7>;><80n>636408f5>;><80n<636408gb>;><80oi636408g`>;><80oo636408gf>;><80om636408g<>;><80o;636408g2>;><80o9636408g0>;><80o?636408g6>;><80o=636408g4>;><80hj636408fa>;><80nh636408fg>;><80nn636408fe>;><80n5636408f<>;><80n8636408g=>;><80hi636408251=:1=;1=<<4=862>476343?=7?>9:?:04<69>1659?510489<2628;>707;1;0fe>;><809i55295396`1<50>:6?k9;<;76?0c343?>78j;<;76?4dl2728?4=cd9>=14=<h1659<54b9>=14=<k1659<5459>=14==h1659<55b9>=14==k1659<5559>=14=k?1659<5c59>=14=k;1659<5c09>=14=k91659<5bg9>=14=jl1659<5be9>=14=jj1659<5bc9>=14=jh1659<5b89>=14=j>1659<5b79>=14=j<1659<5b59>=14=j:1659<5b39>=14=j81659<5b19>=14=io1659<5ad9>=14=km1659<5cb9>=14=m>1659<5e49>=14=m:1659<5e39>=14=m81659<5e19>=14=lo1659<5dd9>=14=lm1659<5db9>=14=lk1659<5d`9>=14=l11659<5d69>=14=l?1659<5d49>=14=l=1659<5d29>=14=l;1659<5d09>=14=l91659<5cg9>=14=ml1659<5ee9>=14=mj1659<5ec9>=14=mh1659<5e89>=14=m11659<5e59>=14=l01659<5cd9>=14=98>014:=:031?8?3:3;:=63643825<=:1=81=<94=861>471343?>7?>5:?:07<5mh1659<52d:89<252;o<707;2;0f2>;><:0=h6364285a>;><:09oi5295196fc<50>869o4=860>1e<50>869l4=860>12<50>868o4=860>0e<50>868l4=860>02<50>86n84=860>f2<50>86n<4=860>f7<50>86n>4=860>g`<50>86ok4=860>gb<50>86om4=860>gd<50>86oo4=860>g?<50>86o94=860>g0<50>86o;4=860>g2<50>86o=4=860>g4<50>86o?4=860>g6<50>86lh4=860>dc<50>86nj4=860>fe<50>86h94=860>`3<50>86h=4=860>`4<50>86h?4=860>`6<50>86ih4=860>ac<50>86ij4=860>ae<50>86il4=860>ag<50>86i64=860>a1<50>86i84=860>a3<50>86i:4=860>a5<50>86i<4=860>a7<50>86i>4=860>f`<50>86hk4=860>`b<50>86hm4=860>`d<50>86ho4=860>`?<50>86h64=860>`2<50>86i74=860>fc<50>86<?;;<;77?76:2728>4>109>=15=983014:<:034?8?3;3;::636428250=:1=91>ho4=860>7c?343??7<j7:?:06<5m?1659:56e9>=12=>l1659:52bf89<232;in707;4;6b?8?3<3>h707;4;6a?8?3<3>?707;4;7b?8?3<3?h707;4;7a?8?3<3??707;4;a5?8?3<3i?707;4;a1?8?3<3i:707;4;a3?8?3<3hm707;4;`f?8?3<3ho707;4;``?8?3<3hi707;4;`b?8?3<3h2707;4;`4?8?3<3h=707;4;`6?8?3<3h?707;4;`0?8?3<3h9707;4;`2?8?3<3h;707;4;ce?8?3<3kn707;4;ag?8?3<3ih707;4;g4?8?3<3o>707;4;g0?8?3<3o9707;4;g2?8?3<3o;707;4;fe?8?3<3nn707;4;fg?8?3<3nh707;4;fa?8?3<3nj707;4;f;?8?3<3n<707;4;f5?8?3<3n>707;4;f7?8?3<3n8707;4;f1?8?3<3n:707;4;f3?8?3<3im707;4;gf?8?3<3oo707;4;g`?8?3<3oi707;4;gb?8?3<3o2707;4;g;?8?3<3o?707;4;f:?8?3<3in707;4;320>;><=0:=?529569547<50>?6<?6;<;70?76?272894>179>=12=98?014:;:3gb?8?3<38n46364581a2=:1=>1>h84=866>3b<50>>6;k4=866>7ec343?97<le:?:00<3i272884;c:?:00<3j272884;4:?:00<2i272884:c:?:00<2j272884:4:?:00<d>272884l4:?:00<d:272884l1:?:00<d8272884mf:?:00<em272884md:?:00<ek272884mb:?:00<ei272884m9:?:00<e?272884m6:?:00<e=272884m4:?:00<e;272884m2:?:00<e9272884m0:?:00<fn272884ne:?:00<dl272884lc:?:00<b?272884j5:?:00<b;272884j2:?:00<b9272884j0:?:00<cn272884ke:?:00<cl272884kc:?:00<cj272884ka:?:00<c0272884k7:?:00<c>272884k5:?:00<c<272884k3:?:00<c:272884k1:?:00<c8272884lf:?:00<bm272884jd:?:00<bk272884jb:?:00<bi272884j9:?:00<b0272884j4:?:00<c1272884le:?:00<69=1659;510089<2228;:707;5;32=>;><<0:=:529579540<50>>6<?:;<;71?4bi272884=e99>=13=:l=014:::3g5?8?3>3<o707;6;4f?8?3>38hh6364781g`=:1=<18l5295490f=:1=<18o52954901=:1=<19l5295491f=:1=<19o52954911=:1=<1o;529549g1=:1=<1o?529549g4=:1=<1o=529549fc=:1=<1nh529549fa=:1=<1nn529549fg=:1=<1nl529549f<=:1=<1n:529549f3=:1=<1n8529549f1=:1=<1n>529549f7=:1=<1n<529549f5=:1=<1mk529549e`=:1=<1oi529549gf=:1=<1i:529549a0=:1=<1i>529549a7=:1=<1i<529549a5=:1=<1hk529549``=:1=<1hi529549`f=:1=<1ho529549`d=:1=<1h5529549`2=:1=<1h;529549`0=:1=<1h9529549`6=:1=<1h?529549`4=:1=<1h=529549gc=:1=<1ih529549aa=:1=<1in529549ag=:1=<1il529549a<=:1=<1i5529549a1=:1=<1h4529549g`=:1=<1=<:4=865>475343?:7?>1:?:03<69016598510589<2128;=707;6;321>;><?09il5295496`><50>=6?k8;<;72?4b>2728549d:?:0=<1m272854=ce9>=1>=:jo014:7:5c89<2?2=i014:7:5`89<2?2=>014:7:4c89<2?2<i014:7:4`89<2?2<>014:7:b489<2?2j>014:7:b089<2?2j;014:7:b289<2?2kl014:7:cg89<2?2kn014:7:ca89<2?2kh014:7:cc89<2?2k3014:7:c589<2?2k<014:7:c789<2?2k>014:7:c189<2?2k8014:7:c389<2?2k:014:7:`d89<2?2ho014:7:bf89<2?2ji014:7:d589<2?2l?014:7:d189<2?2l8014:7:d389<2?2l:014:7:ed89<2?2mo014:7:ef89<2?2mi014:7:e`89<2?2mk014:7:e:89<2?2m=014:7:e489<2?2m?014:7:e689<2?2m9014:7:e089<2?2m;014:7:e289<2?2jl014:7:dg89<2?2ln014:7:da89<2?2lh014:7:dc89<2?2l3014:7:d:89<2?2l>014:7:e;89<2?2jo014:7:037?8?303;:>636498254=:1=21=<74=86;>470343?47?>6:?:0=<69<1659652dc89<2?2;o3707;8;0f3>;><109i;5295;92a=:1=31:h5295;96fb<50>26?mj;<;7=?2f343?57:l;<;7=?2e343?57:;;<;7=?3f343?57;l;<;7=?3e343?57;;;<;7=?e1343?57m;;<;7=?e5343?57m>;<;7=?e7343?57li;<;7=?db343?57lk;<;7=?dd343?57lm;<;7=?df343?57l6;<;7=?d0343?57l9;<;7=?d2343?57l;;<;7=?d4343?57l=;<;7=?d6343?57l?;<;7=?ga343?57oj;<;7=?ec343?57ml;<;7=?c0343?57k:;<;7=?c4343?57k=;<;7=?c6343?57k?;<;7=?ba343?57jj;<;7=?bc343?57jl;<;7=?be343?57jn;<;7=?b?343?57j8;<;7=?b1343?57j:;<;7=?b3343?57j<;<;7=?b5343?57j>;<;7=?b7343?57mi;<;7=?cb343?57kk;<;7=?cd343?57km;<;7=?cf343?57k6;<;7=?c?343?57k;;<;7=?b>343?57mj;<;7=?76<272844>139>=1?=98;014:6:03:?8?313;:;636488253=:1=31=<;4=86:>7cf343?57<j8:?:0<<5m>1659752d489<2f2?n014:n:7g89<2f2;io707;a;0`a>;><h0?m6364`87g>;><h0?n6364`870>;><h0>m6364`86g>;><h0>n6364`860>;><h0h:6364`8`0>;><h0h>6364`8`5>;><h0h<6364`8ab>;><h0ii6364`8a`>;><h0io6364`8af>;><h0im6364`8a=>;><h0i;6364`8a2>;><h0i96364`8a0>;><h0i?6364`8a6>;><h0i=6364`8a4>;><h0jj6364`8ba>;><h0hh6364`8`g>;><h0n;6364`8f1>;><h0n?6364`8f6>;><h0n=6364`8f4>;><h0oj6364`8ga>;><h0oh6364`8gg>;><h0on6364`8ge>;><h0o46364`8g3>;><h0o:6364`8g1>;><h0o86364`8g7>;><h0o>6364`8g5>;><h0o<6364`8`b>;><h0ni6364`8f`>;><h0no6364`8ff>;><h0nm6364`8f=>;><h0n46364`8f0>;><h0o56364`8`a>;><h0:=95295c9544<50>j6<?>;<;7e?7612728l4>169>=1g=98<014:n:036?8?3i38nm6364`81a==:1=k1>h94=86b>7c1343?n78k;<;7f?0b343?n7<ld:?:0g<5kl1659l54`9>=1d=<j1659l54c9>=1d=<=1659l55`9>=1d==j1659l55c9>=1d===1659l5c79>=1d=k=1659l5c39>=1d=k81659l5c19>=1d=jo1659l5bd9>=1d=jm1659l5bb9>=1d=jk1659l5b`9>=1d=j01659l5b69>=1d=j?1659l5b49>=1d=j=1659l5b29>=1d=j;1659l5b09>=1d=j91659l5ag9>=1d=il1659l5ce9>=1d=kj1659l5e69>=1d=m<1659l5e29>=1d=m;1659l5e09>=1d=m91659l5dg9>=1d=ll1659l5de9>=1d=lj1659l5dc9>=1d=lh1659l5d99>=1d=l>1659l5d79>=1d=l<1659l5d59>=1d=l:1659l5d39>=1d=l81659l5d19>=1d=ko1659l5ed9>=1d=mm1659l5eb9>=1d=mk1659l5e`9>=1d=m01659l5e99>=1d=m=1659l5d89>=1d=kl1659l510689<2e28;9707;b;325>;><k0:=45295`9541<50>i6<?9;<;7f?76=2728o4=e`9>=1d=:l2014:m:3g4?8?3j38n:6364b85`>;><j0=i6364b81ga=:1=i1>nk4=86`>1g<50>h69m4=86`>1d<50>h69:4=86`>0g<50>h68m4=86`>0d<50>h68:4=86`>f0<50>h6n:4=86`>f4<50>h6n?4=86`>f6<50>h6oh4=86`>gc<50>h6oj4=86`>ge<50>h6ol4=86`>gg<50>h6o74=86`>g1<50>h6o84=86`>g3<50>h6o:4=86`>g5<50>h6o<4=86`>g7<50>h6o>4=86`>d`<50>h6lk4=86`>fb<50>h6nm4=86`>`1<50>h6h;4=86`>`5<50>h6h<4=86`>`7<50>h6h>4=86`>a`<50>h6ik4=86`>ab<50>h6im4=86`>ad<50>h6io4=86`>a><50>h6i94=86`>a0<50>h6i;4=86`>a2<50>h6i=4=86`>a4<50>h6i?4=86`>a6<50>h6nh4=86`>`c<50>h6hj4=86`>`e<50>h6hl4=86`>`g<50>h6h74=86`>`><50>h6h:4=86`>a?<50>h6nk4=86`>473343?o7?>2:?:0f<6981659m510;89<2d28;<707;c;322>;><j0:=85295a96`g<50>h6?k7;<;7g?4b?2728n4=e79>=1b=>m1659j56d9>=1b=:jn014:k:3af?8?3l3>j707;d;6`?8?3l3>i707;d;67?8?3l3?j707;d;7`?8?3l3?i707;d;77?8?3l3i=707;d;a7?8?3l3i9707;d;a2?8?3l3i;707;d;`e?8?3l3hn707;d;`g?8?3l3hh707;d;`a?8?3l3hj707;d;`:?8?3l3h<707;d;`5?8?3l3h>707;d;`7?8?3l3h8707;d;`1?8?3l3h:707;d;`3?8?3l3km707;d;cf?8?3l3io707;d;a`?8?3l3o<707;d;g6?8?3l3o8707;d;g1?8?3l3o:707;d;g3?8?3l3nm707;d;ff?8?3l3no707;d;f`?8?3l3ni707;d;fb?8?3l3n3707;d;f4?8?3l3n=707;d;f6?8?3l3n?707;d;f0?8?3l3n9707;d;f2?8?3l3n;707;d;ae?8?3l3on707;d;gg?8?3l3oh707;d;ga?8?3l3oj707;d;g:?8?3l3o3707;d;g7?8?3l3n2707;d;af?8?3l3;:86364e8257=:1=n1=<?4=86g>47>343?h7?>7:?:0a<69?1659j510789<2c2;oj707;d;0f<>;><m09i:5295f96`0<50>n6;j4=86f>3c<50>n6?mk;<;7a?4dm2728h4;a:?:0`<3k2728h4;b:?:0`<3<2728h4:a:?:0`<2k2728h4:b:?:0`<2<2728h4l6:?:0`<d<2728h4l2:?:0`<d92728h4l0:?:0`<en2728h4me:?:0`<el2728h4mc:?:0`<ej2728h4ma:?:0`<e12728h4m7:?:0`<e>2728h4m5:?:0`<e<2728h4m3:?:0`<e:2728h4m1:?:0`<e82728h4nf:?:0`<fm2728h4ld:?:0`<dk2728h4j7:?:0`<b=2728h4j3:?:0`<b:2728h4j1:?:0`<b82728h4kf:?:0`<cm2728h4kd:?:0`<ck2728h4kb:?:0`<ci2728h4k8:?:0`<c?2728h4k6:?:0`<c=2728h4k4:?:0`<c;2728h4k2:?:0`<c92728h4k0:?:0`<dn2728h4je:?:0`<bl2728h4jc:?:0`<bj2728h4ja:?:0`<b12728h4j8:?:0`<b<2728h4k9:?:0`<dm2728h4>159>=1c=988014:j:032?8?3m3;:56364d8252=:1=o1=<84=86f>472343?i7<ja:?:0`<5m11659k52d589<2b2;o=707;f;4g?8?3n3<n707;f;0``>;><o09oh5295d90d=:1=l18n5295d90g=:1=l1895295d91d=:1=l19n5295d91g=:1=l1995295d9g3=:1=l1o95295d9g7=:1=l1o<5295d9g5=:1=l1nk5295d9f`=:1=l1ni5295d9ff=:1=l1no5295d9fd=:1=l1n45295d9f2=:1=l1n;5295d9f0=:1=l1n95295d9f6=:1=l1n?5295d9f4=:1=l1n=5295d9ec=:1=l1mh5295d9ga=:1=l1on5295d9a2=:1=l1i85295d9a6=:1=l1i?5295d9a4=:1=l1i=5295d9`c=:1=l1hh5295d9`a=:1=l1hn5295d9`g=:1=l1hl5295d9`==:1=l1h:5295d9`3=:1=l1h85295d9`1=:1=l1h>5295d9`7=:1=l1h<5295d9`5=:1=l1ok5295d9a`=:1=l1ii5295d9af=:1=l1io5295d9ad=:1=l1i45295d9a==:1=l1i95295d9`<=:1=l1oh5295d9542<50>m6<?=;<;7b?7692728k4>189>=1`=98=014:i:035?8?3n3;:96364g81ad=:1=l1>h64=86e>7c0343?j7<j6:?:15<1l2729=49e:?:15<5km1658>52bg89<372=k014;?:5a89<372=h014;?:5689<372<k014;?:4a89<372<h014;?:4689<372j<014;?:b689<372j8014;?:b389<372j:014;?:cd89<372ko014;?:cf89<372ki014;?:c`89<372kk014;?:c;89<372k=014;?:c489<372k?014;?:c689<372k9014;?:c089<372k;014;?:c289<372hl014;?:`g89<372jn014;?:ba89<372l=014;?:d789<372l9014;?:d089<372l;014;?:d289<372ml014;?:eg89<372mn014;?:ea89<372mh014;?:ec89<372m2014;?:e589<372m<014;?:e789<372m>014;?:e189<372m8014;?:e389<372m:014;?:bd89<372lo014;?:df89<372li014;?:d`89<372lk014;?:d;89<372l2014;?:d689<372m3014;?:bg89<3728;?707:0;326>;>=90:=<52942954?<50?;6<?8;<;64?76>2729=4>149>=06=:lk014;?:3g;?8?2838n;6365181a3=z{;im6=4:{_0`b>;1jm0995526cd960><5?hh6?;7;<4aa?4202wx=5o50;34\7f[>>3W;3m6394181f0=:=jl1=474=87b>4?>34?2;7?6a:?6=f<61h1694j518c890?b283j70;6f;3:e>;2i90:5l525`395<g<5<k96<7n;<40a?7>127>m>4>9`9>1d2=90k01877:0;b?83>13;2m63:9`82=d=:>>h1=4o4=45b>4?f34?2n7?6a:?53a<6101v\7f5m50;c2\7f[>d34>>j79>;<654?1634>=979>;<652?1634>=579>;<653?1634>=479>;<65e?1634>=n79>;<65g?1634>==79>;<650?1634>=>79>;<657?1634>:m79>;<62f?1634>9<79>;<615?1634>9879>;<616?1634>9?79>;<611?1634>9:79>;<613?1634>:o79>;<62b?1634>:h79>;<62a?16349o979>;<1g2?16349on79>;<1gg?16349oh79>;<1ga?16349oj79>;<1f4?16349n=79>;<1f6?16349o;79>;<1g<?16349o579>;<1ge?163438o7<j5:?:7f<5m:165>m52d089<5d2;o:707;7;0f1>;><>09i>5295596`4<50><6?k>;<;65?4b=2729<4=e29>=07=:l8014;>:3g2?8?2:38n96365381a6=:1<81>h<4=871>7c6343>?7<j5:?:16<5m:1658=52d089<342;o:707:4;0f1>;>==09i>5294696`4<50??6?k>;<;61?4b=272984=e29>=03=:l8014;::3g2?8?2>38n96365781a6=:1<<1>h<4=875>7c6343>;7<j5:?:12<5m:1658952d089<302;o:707:8;0f1>;>=109i>5294:96`4<50?36?k>;<;0`?4b=272?i4=e29>=6b=:l8014=k:3g2?8?4m38n96363d81a6=:1:o1>h<4=81f>7c63438j7<j5:?:7c<5m:165>h52d089<5a2;o:707;0;0f1>;><909i>5295296`4<50>;6?k>;<;75?4b=2728<4=e29>=17=:l8014:>:3g2?8?3:38n96364381a6=:1=81>h<4=861>7c6343??7<j5:?:06<5m:1659=52d089<242;o:707;4;0f1>;><=09i>5295696`4<50>?6?k>;<;71?4b=272884=e29>=13=:l8014:::3g2?8?3>38n96364781a6=:1=<1>h<4=865>7c6343?47<j5:?:0=<5m:1659652d089<2?2;o:707;9;0f1>;><009i>5295;96`4<50>26?k>;<;7e?4b=2728l4=e29>=1g=:l8014:n:3g2?8?3j38n96364c81a6=:1=h1>h<4=86a>7c6343?o7<j5:?:0f<5m:1659m52d089<2d2;o:707;d;0f1>;><m09i>5295f96`4<50>o6?k>;<;7a?4b=2728h4=e29>=1c=:l8014:j:3g2?8?3n38n96364g81a6=:1=l1>h<4=86e>7c6343><7<j5:?:15<5m:1658>52d089<372;o:70;k0;065>;1;l0:5l5rs222>5<3sW9;=63:d182===:>>h1=464=45b>4??3ty<j7>53z\4b>;2k?09n85258`95<><uz=o6=4;{_5g?83?l3kh70;l5;0a1>;21h0:555rs6a94?2|V>i0186l:`a890e32;h>70;69;3:<>{t?k0;69uQ7c9>1=d=ij169n=52c7890??28337p}78;290~X?027>5;4nc:?6g`<5j<169l:518:8yv>0290?wS68;<7:1?gd34?hh7<m5:?6e6<6111v\7f5850;6xZ=0<5<3?6lm4=4a`>7d234?j>7?68:\7fp<0<72=qU48525819ef=:=jh1>o;4=4c2>4??3ty387>54z\;0>;21;0jo63:c`81f0=:=h:1=464}r:0>5<3sW2870;61;c`?83d138i963:9g82===z{181<7:t^90890?72hi018m7:3`6?83>m3;246s|8083>1}Y081695h5ab9>1f1=:k?0187k:0;;?xu?83:18vP70:?6<`<fk27>o?4=b49>1<e=9020q~9n:187\7f[1f34?3m7ol;<7`5?4e=27>5:4>999~wd7=83;<wS:kb:\7ad=Yi816?io52c789<5d2=2014:8:5:89<362=2014;=:5:89<342=201;>9:0;:?83bj3;2m6397782=d=:>0i1=4o4=77a>4?f34<hm7?69:?5g<<61016:n6518;893e02832708l6;3:e>;1k<0:5l526b695<><5?i86<76;<1`f?7>02wx5k4?:05xZ1b>3W>n46P6f:?0`<<5j<165>m5469>=11=<>1658?5469>=04=<>1658=5469>250=902018km:0;;?800>3;2n6399b82===:><h1=464=7ab>4?f34<h57?68:?5g=<61h16:n9518c893e12833708l5;3:=>;1k=0:5l526b195<g<5:h86<77;|q:a?6=9>qU8i64^5g4?[?b349o47<m5:?:7f<3>2728:4;6:?:14<3>2729?4;6:?:16<3>27=<84>989>1`g=90k01;9::0;b?80>j3;246395`82=d=:>jk1=464=7a:>4?f34<h47?68:?5g2<61116:n8518;893e22833708l4;3:=>;1k:0:55523c395<><uz3o6=4=7z\7`2=Y<l<0R4j4=2f4>7d23438o7::;<;73?22343>=7::;<;66?22343>?7::;<;60?2?343>97:7;<;62?2?343>;7:7;<;6<?2?3438h7:7;<;0a?2?3438j7:7;<;74?2?343?=7:7;<;76?2?343??7:7;<;70?2?343?97:7;<;72?2?343?47:7;<;7=?2?343?m7:7;<;7f?2?343?o7:7;<;7`?2?343?i7:7;<;7b?2?343><7:7;<431?7>027>il4>999>223=90h01;7m:0;a?802i3;2463<ag82===z{hk1<7<8{_6f0>X3n:1Uml523d096g3<509h68=4=864>05<50?:68=4=871>05<50?868=4=877>11<50?>6994=875>11<50?<6994=87;>11<509o6994=81f>11<509m6994=863>11<50>:6994=861>11<50>86994=867>11<50>>6994=865>11<50>36994=86:>11<50>j6994=86a>11<50>h6994=86g>11<50>n6994=86e>11<50?;6994=727>4?>34?n57?6a:?531<61h16:4o518c8933>283j70=l5;3:<>{ti00;6?9t^5g0?[2a:2Tj563<e081f0=:1:i19?52955917=:1<;19?52940917=:1<919?52946903=:1<?18;52944903=:1<=18;5294:903=:1:n18;5292g903=:1:l18;52952903=:1=;18;52950903=:1=918;52956903=:1=?18;52954903=:1=218;5295;903=:1=k18;5295`903=:1=i18;5295f903=:1=o18;5295d903=:1<:18;5261695<><5<o26<77;<440?7>j27=5l4>999>20?=90201>m<:0;;?xuf03:1>:uQ4d08Z1`63Wk370=j0;0a1>;>;j0>=63646865>;>=80>=63653865>;>=:0>=63655871>;>=<0?963657871>;>=>0?963659871>;>;m0?96363d871>;>;o0?963641871>;><80?963643871>;><:0?963645871>;><<0?963647871>;><10?963648871>;><h0?96364c871>;><j0?96364e871>;><l0?96364g871>;>=90?96390282=<=:=l21=4o4=750>4?f34<257?6a:?51=<61h16?n?518:8yvg02909;vP;e09]0c6<Vh=01>ji:3`6?8?4k3?;707;7;73?8?293?;707:2;73?8?2;3?;707:4;70?8?2=3?8707:6;70?8?2?3?8707:8;70?8?4l3?8707<e;70?8?4n3?8707;0;70?8?393?8707;2;70?8?3;3?8707;4;70?8?3=3?8707;6;70?8?303?8707;9;70?8?3i3?8707;b;70?8?3k3?8707;d;70?8?3m3?8707;f;70?8?283?8708?3;3:<>;2m10:555266195<d<5?326<77;<46<?7>0278nk4>999~wd0=838<wS:j0:\7ac=Yi?16?ik52c789<5d2=l014:8:5d89<362=l014;=:5d89<342=l014;;:4089<322<8014;9:4089<302<8014;7:4089<5c2<8014=j:4089<5a2<8014:?:4089<262<8014:=:4089<242<8014:;:4089<222<8014:9:4089<2?2<8014:6:4089<2f2<8014:m:4089<2d2<8014:k:4089<2b2<8014:i:4089<372<801;>=:0;:?83b?3;2m6397382=d=:>021=4o4=774>4?f349ih7?68:\7fpe0<72;=pR9ji;_6fa>Xf=278hi4=b49>=6e=<l1659954d9>=07=<l1658<54d9>=05=<l1658:5509>=03==8165885509>=01==8165865509>=6b==8165>k5509>=6`==81659>5509>=17==81659<5509>=15==81659:5509>=13==8165985509>=1>==8165975509>=1g==81659l5509>=1e==81659j5509>=1c==81659h5509>=06==816:=<518:890c0283370882;3:f>;1110:555264595<><5:hi6<77;|qb0?6=:>qU8ik4^5gg?[g3349oo7<m5:?:7f<3l2728:4;d:?:14<3l2729?4;d:?:16<3l272994:0:?:10<282729;4:0:?:12<28272954:0:?:7a<28272?h4:0:?:7c<282728=4:0:?:04<282728?4:0:?:06<28272894:0:?:00<282728;4:0:?:0=<28272844:0:?:0d<282728o4:0:?:0f<282728i4:0:?:0`<282728k4:0:?:15<2827=<<4>989>1`0=90k01;9>:0;b?80>?3;2m6395782=d=:;k31=464}rc0>5<5?rT?hi5Q4da8Zd5<5:ni6?l:;<;0g?2d343?;7:l;<;65?2d343>>7:l;<;67?2d343>87:i;<;61?2a343>:7:i;<;63?2a343>47:i;<;0`?2a3438i7:i;<;0b?2a343?<7:i;<;75?2a343?>7:i;<;77?2a343?87:i;<;71?2a343?:7:i;<;7<?2a343?57:i;<;7e?2a343?n7:i;<;7g?2a343?h7:i;<;7a?2a343?j7:i;<;64?2a34<;=7?68:?6a3<61116::?518`893?02833708:6;3:<>;4j>0:555rs`094?40sW>oo6P;ec9]e7=:;m<1>o;4=81`>1d<50><69l4=872>1d<50?969l4=870>1d<50??69k4=876>1c<50?=69k4=874>1c<50?369k4=81g>1c<509n69k4=81e>1c<50>;69k4=862>1c<50>969k4=860>1c<50>?69k4=866>1c<50>=69k4=86;>1c<50>269k4=86b>1c<50>i69k4=86`>1c<50>o69k4=86f>1c<50>m69k4=873>1c<5?:;6<76;<7f1?7>i27=;=4>9`9>2<0=90k01;;::0;b?85e=3;246s|9b83>71|V=n=7S:j5:\:g>;4l<09n85292a901=:1==18952943901=:1<818952941901=:1<>18i5294790a=:1<<18i5294590a=:1<218i5292f90a=:1:o18i5292d90a=:1=:18i5295390a=:1=818i5295190a=:1=>18i5295790a=:1=<18i5295:90a=:1=318i5295c90a=:1=h18i5295a90a=:1=n18i5295g90a=:1=l18i5294290a=:>9:1=464=4g6>4??34<<<7?6b:?5=3<61116:8;518:896gc28337p};9183>0}Y<0:01>7::3`6?83bj3;2n6397782===:;1<1=464}r6;a?6==rT?4h5238696g3<5<oi6<76;<442?7>1278:h4>999~w1>c290>wS:7d:?0=6<5j<169ho518`89312283370=9c;3:<>{t<1i1<7;t^5:`?85>:38i963:e`82=<=:>>?1=474=24b>4??3ty?544?:4y]0<?<5:3o6?l:;<7f=?7>j27=;94>999>7=6=9020q~:68;291~X31116?4m52c7890c>283270884;3:=>;4?l0:555rs5;4>5<2sW>2;63<9c81f0=:=l21=4l4=750>4??349<o7?68:\7fp0<0=83?pR979;<1:e?4e=27>i54>989>225=90301>9n:0;;?xu31<0;68uQ487896?>2;h>70;j7;3:f>;1?;0:555236:95<><uz>287>55z\7=1=:;021>o;4=4g4>4?>34<<>7?69:?033<6111v\7f97<:186\7f[2>;2785:4=b49>1`0=90h01;9>:0;;?850<3;246s|48094?3|V=3970=66;0a1>;2m?0:545266395<?<5:=96<77;|q7=4<72<qU84?4=2;2>7d234?n97?6b:?535<61116?:>518:8yv2?j3:19vP;8c9>7<6=:k?018k::0;:?80083;2563<6982===z{081<7?7{_6:b>X3il1U5?5234296g3<51<86:74=81`>0><50><6864=872>0><50?96864=870>0><5?9h6<7m;<415?7>j27=n:4>9c9>2<e=90h01;;m:0;a?80c:3;25639d082=<=:>m:1=474=7ae>4?>34<hi7?6a:?5ga<61k16:nm518c893ee283270=;0;3:<>{t190;6<6t^5;g?[2fk2T2<63<4g81f0=:0?81;45292a912=:1==19:52943912=:1<819:52941912=:>:i1=474=702>4?>34<i;7?69:?5=f<61016:8l518;893b52833708k1;3:<>;1l90:5l526bd95<><5?in6<77;<4``?7>127=on4>999>2fd=90201><7:0;;?xu?n3:1=5uQ48a8Z1ge3W2m70=;e;0a1>;?>80<56363b862>;><>0>:63650862>;>=;0>:63652862>;1;k0:5o5263295<d<5?h=6<7m;<4:f?7>127=9l4>9c9>2a4=90k01;j>:0;b?80c83;24639cg82=d=:>jo1=474=7ag>4??34<ho7?69:?5gg<61h16??8518:8yv>b2909;vP;9c9]0dg<V1o01>:k:3`6?8?4k3?>707;7;76?8?293?>707:2;76?8?2;3?>707:4;7;?8?2=3?3707:6;7;?8?2?3?3707:8;7;?8?4l3?3707<e;7;?8?4n3?3707;0;7;?8?393?3707;2;7;?8?3;3?3707;4;7;?8?3=3?3707;6;7;?8?303?3707;9;7;?8?3i3?3707;b;7;?8?3k3?3707;d;7;?8?3m3?3707;f;7;?8?283?3708<b;3:=>;1:90:54526c495<?<5?3i6<7n;<46e?7>1278>94>999~w<d=838<wS:n8:\7f2=Y1k16?8652c789<5d2?9014:8:7189<362?9014;=:7189<342?9014;;:4589<322<=014;9:4589<302<=014;7:4589<5c2<=014=j:4589<5a2<=014:?:4589<262<=014:=:4589<242<=014:;:4589<222<=014:9:4589<2?2<=014:6:4589<2f2<=014:m:4589<2d2<=014:k:4589<2b2<=014:i:4589<372<=01;=n:0;a?806n3;2n639b482=g=:>0k1=4l4=77:>4?e3498m7?68:\7fp=d<72;=pR9o8;_6a2>X>i2789:4=b49>=6e=>;165995639>=07=>;1658<5639>=05=>;1658:5579>=03==?165885579>=01==?165865579>=6b==?165>k5579>=6`==?1659>5579>=17==?1659<5579>=15==?1659:5579>=13==?165985579>=1>==?165975579>=1g==?1659l5579>=1e==?1659j5579>=1c==?1659h5579>=06==?16:>o518;8937a2832708m5;3:=>;11h0:545264;95<?<5:936<77;|q:=?6=:>qU8l84^5`6?[?>349>:7<m5:?:7f<192728:491:?:14<192729?491:?:16<19272994:5:?:10<2=2729;4:5:?:12<2=272954:5:?:7a<2=272?h4:5:?:7c<2=2728=4:5:?:04<2=2728?4:5:?:06<2=272894:5:?:00<2=2728;4:5:?:0=<2=272844:5:?:0d<2=2728o4:5:?:0f<2=2728i4:5:?:0`<2=2728k4:5:?:15<2=27=?44>9c9>24c=90h01;l;:0;b?80>13;2n6395982=g=:;:<1=464}r;;>5<5?rT?m85Q4c68Z<><5:?>6?l:;<;0g?07343?;78?;<;65?07343>>78?;<;67?07343>878<;<;61?04343>:78<;<;63?04343>478<;<;0`?043438i78<;<;0b?04343?<78<;<;75?04343?>78<;<;77?04343?878<;<;71?04343?:78<;<;7<?04343?578<;<;7e?04343?n78<;<;7g?04343?h78<;<;7a?04343?j78<;<;64?0434<857?69:?55`<61016:o:518`893?>2832708:8;3:=>;4;=0:555rs8594?40sW>j86P;b29]=2=:;<>1>o;4=81`>0`<50><68h4=872>0`<50?968h4=870>0`<50??6;<4=876>34<50?=6;<4=874>34<50?36;<4=81g>34<509n6;<4=81e>34<50>;6;<4=862>34<50>96;<4=860>34<50>?6;<4=866>34<50>=6;<4=86;>34<50>26;<4=86b>34<50>i6;<4=86`>34<50>o6;<4=86f>34<50>m6;<4=873>34<5?936<7m;<42`?7>j27=n>4>9`9>2<>=90h01;;8:0;a?854:3;246s|9783>71|V=k87S:m2:\:2>;4=:09n85292a91`=:1==19h5294391`=:1<819h5294191`=:1<>1:<52947924=:1<<1:<52945924=:1<21:<5292f924=:1:o1:<5292d924=:1=:1:<52953924=:1=81:<52951924=:1=>1:<52957924=:1=<1:<5295:924=:1=31:<5295c924=:1=h1:<5295a924=:1=n1:<5295g924=:1=l1:<52942924=:>:21=474=73g>4?>34<i?7?6b:?5==<61016:89518;8965728337p}65;2962}Y<h80R9l>;_;6?852:38i96363b86`>;><>0>h6365086`>;>=;0>h6365286`>;>==0=<63654854>;>=?0=<63656854>;>=10=<6363e854>;>;l0=<6363g854>;><90=<63640854>;><;0=<63642854>;><=0=<63644854>;><?0=<63649854>;><00=<6364`854>;><k0=<6364b854>;><m0=<6364d854>;><o0=<63651854>;1;>0:5o5260a95<d<5?h96<7n;<4:3?7>j27=9;4>9c9>77c=9020q~7;:1813~X3i81U8o>4^86896362;h>707<c;7`?8?3?3?h707:1;7`?8?2:3?h707:3;7`?8?2<3?m707:5;7e?8?2>3?m707:7;7e?8?203?m707<d;7e?8?4m3?m707<f;7e?8?383?m707;1;7e?8?3:3?m707;3;7e?8?3<3?m707;5;7e?8?3>3?m707;8;7e?8?313?m707;a;7e?8?3j3?m707;c;7e?8?3l3?m707;e;7e?8?3n3?m707:0;7e?804?3;256391b82=<=:>k81=4l4=7;4>4?>34<>:7?69:?06f<6111v\7f4=50;04\7f[2f82T?mk5Q929>71e=:k?014=l:4`89<202<h014;>:4`89<352<h014;<:4`89<332<o014;::4g89<312<o014;8:4g89<3?2<o014=k:4g89<5b2<o014=i:4g89<272<o014:>:4g89<252<o014:<:4g89<232<o014:::4g89<212<o014:7:4g89<2>2<o014:n:4g89<2e2<o014:l:4g89<2c2<o014:j:4g89<2a2<o014;?:4g89351283i708>b;3:f>;1j80:5l5268495<d<5??>6<7m;<11e?7>02wx4i4?:35xZ1?f3W>j56P7d:?00g<5j<165>m5559>=11===1658?5559>=04===1658=5559>=02==m1658;55e9>=00==m1658955e9>=0>==m165>j55e9>=6c==m165>h55e9>=16==m1659?55e9>=14==m1659=55e9>=12==m1659;55e9>=10==m1659655e9>=1?==m1659o55e9>=1d==m1659m55e9>=1b==m1659k55e9>=1`==m1658>55e9>260=90301;?m:0;:?80e93;2n6399782=<=:><?1=474=201>4??3ty?ni4?:4y]0gb<5=;m6?l:;<415?7>i27=n:4>9`9>046=9020q~:mb;291~X3jk168<k52c7893462833708m7;3:<>;4n10:555rs5`b>5<2sW>im63;1e81f0=:>;:1=4o4=7`5>4?f349m:7?68:\7fp0g?=83?pR9l6;<62g?4e=27=>=4>999>2g0=90201>h;:0;;?xu3k?0;68uQ4b4891402;h>708>f;3:e>;1j<0:5l5241c95<><uz>h97>55z\7g0=:<;<1>o;4=73e>4??34<i97?68:?74=<6111v\7f9m;:186\7f[2d<27?>84=b49>24c=90k01;l;:0;;?827>3;246s|4b194?3|V=i870:=4;0a1>;19l0:55526c695<?<5=:?6<77;|q7g7<72<qU8n<4=500>7d234<:h7?6a:?5f6<611168=<518:8yv2d93:19vP;c09>074=:k?01;?k:0;;?80e;3;2563;0182===z{=i;6=4:{_6`4>;3:809n85260a95<g<5?h96<77;<1ea?7>02wx8oh50;7xZ1da34>9<7<m5:?55f<61116:o<518;896`d28337p};bd83>0}Y<ko019?m:3`6?806j3;2m639b082===:;ok1=464}r6a<?6==rT?n55240c96g3<5?;i6<77;<4a5?7>1278j?4>999~w1ed290?wS:lc:?721<5j<16:>m518c8913228337p};c`83>1}Y<jk0198<:3`6?804k3;2463;3e82===z{=i26=4;{_6`=>;3>;09n85262`95<g<5=9i6<77;|q7g=<72=qU8n64=542>7d234<8n7?68:?77<<6111v\7f9j::187\7f[2c=27?:n4=b49>26g=90k019:i:0;;?xu3l=0;69uQ4e68910e2;h>708<a;3:<>;3<m0:555rs5f0>5<3sW>o?63;6`81f0=:>:31=4o4=56a>4??3ty?h?4?:5y]0a4<5=<26?l:;<40=?7>027?844>999~w1b6290?wS:k1:?72=<5j<16:>6518c8912028337p};d183>1}Y<m:01988:3`6?80403;2463;4482===z{=im6=4;{_6`b>;3>?09n85262595<g<5=>86<77;|q7g`<72=qU8nk4=546>7d234<8;7?68:?704<6111v\7f9mk:187\7f[2dl27?:=4=b49>260=90k019=i:0;;?xu3k>0;69uQ4b58913a2;h>708<6;3:<>;3;>0:555rs0:2>5<5sW;3=639f58114=z{8=m6=4={_34b>;1n:099<5rs05g>5<5sW;<h639f08114=z{8=h6=4={_34g>;1mo099<5rs05a>5<5sW;<n639ed8114=z{8=j6=4={_34e>;1mm099<5rs05:>5<5sW;<5639eb8114=z{8=36=4={_34<>;1mk099<5rs054>5<5sW;<;639e`8114=z{8==6=4={_342>;1m0099<5rs056>5<5sW;<9639e98114=z{8=?6=4={_340>;1m>099<5rs051>5<5sW;<>638038114=z{8=:6=4={_345>;088099<5rs053>5<5sW;<<638018114=z{8<m6=4={_35b>;1no099<5rs04f>5<5sW;=i639fd8114=z{8<o6=4={_35`>;1nm099<5rs04`>5<5sW;=o639fc8114=z{8<i6=4={_35f>;1n9099<5rs04b>5<5sW;=m639e48114=z{8<26=4={_35=>;1m=099<5rs0:;>5<5sW;34639fb8114=z{82<6=4={_3;3>;1nh099<5rs0:5>5<5sW;3:639f88114=z{82>6=4={_3;1>;1n1099<5rs0:7>5<5sW;38639f68114=z{8286=4={_3;7>;1n?099<5rs0:1>5<5sW;3>639f48114=z{8=n6=4={_34a>;1n;099<5rs050>5<5sW;<?639e78114=z{8<36=4={_35<>;1m:099<5rs02;>5<>sW;;4636488255=:1=k1=<>4=86a>477343?o7?>0:?:0a<6991659k510289<2a28;;707:0;324>{t99<1<77t^025?8?313ii707;a;aa?8?3j3ii707;c;aa?8?3l3ii707;e;aa?8?3n3ii707:0;aa?xu68=0;64uQ11689<2>2jk014:n:bc89<2e2jk014:l:bc89<2c2jk014:j:bc89<2a2jk014;?:bc8yv77;3:15vP>029>=1?=k01659o5c89>=1d=k01659m5c89>=1b=k01659k5c89>=1`=k01658>5c89~w4652902wS??2:?:0<<d02728l4l8:?:0g<d02728n4l8:?:0a<d02728h4l8:?:0c<d02729=4l8:\7fp557=833pR<>>;<;7=?e0343?m7m8;<;7f?e0343?o7m8;<;7`?e0343?i7m8;<;7b?e0343><7m8;|q245<720qU==>4=86:>f5<50>j6n=4=86a>f5<50>h6n=4=86g>f5<50>n6n=4=86e>f5<50?;6n=4}rde>5<>sWlm707;9;`;?8?3i3h3707;b;`;?8?3k3h3707;d;`;?8?3m3h3707;f;`;?8?283h37p}ie;29=~Xam272844nd:?:0d<fl2728o4nd:?:0f<fl2728i4nd:?:0`<fl2728k4nd:?:15<fl2wxji4?:8y]ba=:1=:1=<>4=862>477343?>7?>0:?:06<6991659:510289<2228;;707;6;324>;><10:==5rsga94??|Voi014:?:b`89<262jh014:=:b`89<242jh014:;:b`89<222jh014:9:b`89<2?2jh0q~hm:18:\7f[`e343?<7mn;<;75?ef343?>7mn;<;77?ef343?87mn;<;71?ef343?:7mn;<;7<?ef3tym57>59z\e=>;><90h5636408`=>;><;0h5636428`=>;><=0h5636448`=>;><?0h5636498`=>{tn10;64uQf99>=16=k11659?5c99>=14=k11659=5c99>=12=k11659;5c99>=10=k1165965c99~wc1=833pRk94=863>f1<50>:6n94=861>f1<50>86n94=867>f1<50>>6n94=865>f1<50>36n94}rd5>5<>sWl=707;0;a0?8?393i8707;2;a0?8?3;3i8707;4;a0?8?3=3i8707;6;a0?8?303i87p}i5;29=~Xa=2728=4m8:?:04<e02728?4m8:?:06<e0272894m8:?:00<e02728;4m8:?:0=<e02wxj94?:8y]b1=:1=:1mi529539ea=:1=81mi529519ea=:1=>1mi529579ea=:1=<1mi5295:9ea=z{o91<77t^g189<3328;;707:5;324>;>=?0:==529459546<50?36<??;<;0`?768272?h4>119>=6`=98:0q~h=:18:\7f[`5343>87mm;<;61?ee343>:7mm;<;63?ee343>47mm;<;0`?ee3438i7mm;<;0b?ee3tym=7>59z\e5>;>==0hm636548`e>;>=?0hm636568`e>;>=10hm6363e8`e>;>;l0hm6363g8`e>{tn90;64uQf19>=02=k01658;5c89>=00=k0165895c89>=0>=k0165>j5c89>=6c=k0165>h5c89~w46a2902wS??f:?:11<d0272984l8:?:13<d02729:4l8:?:1=<d0272?i4l8:?:7`<d0272?k4l8:\7fp55c=833pR<>j;<;60?e0343>97m8;<;62?e0343>;7m8;<;6<?e03438h7m8;<;0a?e03438j7m8;|q24a<720qU==j4=877>f5<50?>6n=4=875>f5<50?<6n=4=87;>f5<509o6n=4=81f>f5<509m6n=4}r33g?6=1rT:<n529469f==:1<?1n5529449f==:1<=1n55294:9f==:1:n1n55292g9f==:1:l1n55rs02a>5<>sW;;n636558b`>;>=<0jh636578b`>;>=>0jh636598b`>;>;m0jh6363d8b`>;>;o0jh6s|11c94?4|V8:j707:3;cg?xu6800;6?uQ11;89<352hn0q~??5;296~X68<1658?5ae9~wcg=838pRko4=864>db<uzom6=4={_ge?8?4k3ko7p}<4`83>4>|5::<6?;;;<602?1534>><79=;<1e5?1534>;n79=;<153?153493=79=;<1bg?15349h:79=;<115?153498n79=;<473?1534<=>79=;<4;<?1534<j?79=;<7g3?1534?m>79=;<43`?1534<9479=;<;0g?7?j2728:4>8c9>=07=91h014;=:0:a?8?2;3;3n6s|6ca94?4|5?ho6:?4=7``>7d23ty=ni4?:5`x93dc2;h>708mc;5:?85>838>463<90842>;41?0<:63<96842>;41h0<:63<99842>;4100<:63<9c842>;41j0<:63<9e842>;41;0<:63<94842>;41:0<:63<95842>;4<k0<:63<4b842>;4=80<:63<53842>;4=:0<:63<55842>;4=<0<:63<57842>;4=>0<:63<59842>;4<m0<:63<4d842>;4<o0<:63<51842>;1<90995525b3933=:=j81;;525b5933=:=j21;;525b;933=:=jk1;;525b`933=:=ji1;;525bf933=:=jo1;;525b1933=:=j>1;;525b7933=:=j<1;;5292a96a><50><6?j7;<;65?4c02729?4=d99>=05=:m2014;;:3f;?8?2=38o46365781`==:1<=1>i64=87;>7b?3438h7<k8:?:7`<5l1165>h52e:89<272;n3707;1;0g<>;><;09h55295196a><50>?6?j7;<;71?4c02728;4=d99>=1>=:m2014:6:3f;?8?3i38o46364c81`==:1=i1>i64=86g>7b?343?i7<k8:?:0c<5l11658>52e:89<3f283j7p}9bd83>7}:>kl1;<526cg96g3<uz<ij7>54by>2g`=:k?01;lj:6;8913a2><0198?:37;?821=3==70:96;55?82113==70:97;55?82103==70:9a;55?821j3==70:9c;55?82193==70:94;55?821:3==70:93;55?826i38>463;1c842>;3:90<:63;20842>;3:=0<:63;23842>;3::0<:63;24842>;3:?0<:63;26842>;39j0<:63;1g842>;39m0<:63;1d842>;4l<0<:63<d7842>;4lk0<:63<db842>;4lm0<:63<dd842>;4lo0<:63<e1842>;4m80<:63<e3842>;4l>0<:63<d9842>;4l00<:63<d`842>;1080995526cc960><509h6?j8;<;73?4c?2729<4=d69>=04=:m=014;<:3f4?8?2<38o;6365481`2=:1<<1>i94=874>7b0343>47<k7:?:7a<5l>165>k52e589<5a2;n<707;0;0g3>;><809h:5295096a1<50>86?j8;<;70?4c?272884=d69>=10=:m=014:7:3f4?8?3138o;6364`81`2=:1=h1>i94=86`>7b0343?h7<k7:?:0`<5l>1659h52e589<372;n<7088a;3:e>{t<:=1<7=t=515>7b734>><7?ja:?772<5=81v\7f9=9:180\7f824>38>=63;3d846>;3=?0<>6s|43:94?4|5=?;6?;>;<66b?1>3ty??k4?:2y>06c=:m:019;9:0gb?824n38>=6s|42g94?5|5=9n6?;>;<674?1534>>;79=;|q76<<72;q68885243891072>30q~:;1;297~;3<909h=5244595`g<5=>:6?;>;|q705<72:q689>5243891252>8019;7:608yv25m3:1>v3;568114=:<??1;45rs560>5<4s4>?>7<k0:?71=<6mh1689=52438yv23:3:1?v3;438114=:<=>1;?5244;937=z{=8m6=4={<66<?42927?:;489:\7fp013=839p19:;:3f3?82213;nm63;448114=z{=>?6=4<{<670?42927?8;482:?71d<0:2wx8>>50;0x913>2;?:70:97;5:?xu3<>0;6>u245496a6<5=?j6<kn;<673?4292wx89850;1x91212;?:70:;8;51?822j3=97p};3083>7}:<<k1>8?4=54;>2?<uz>?57>53z?70=<5l91688l51dc8912>2;?:7p};4983>6}:<=21>8?4=56b>24<5=?h6:<4}r606?6=:r7?9o4=509>03?=?01v\7f9:m:180\7f823i38o<63;5b82ad=:<=h1>8?4}r67e?6=;r7?8l4=509>01e=?;1688j5739~w1542909w0::c;065>;3>h0<56s|45f94?5|5=>h6?j?;<66`?7bi27?8i4=509~w12d2908w0:;c;065>;3<l0<>63;5d846>{t<:>1<7<t=57g>73634>=n796;|q70c<72:q689k52e28913b28oj70:;f;065>{t<=o1<7=t=56f>73634>8479=;<665?153ty??84?:3y>00c=:<;0198l:6;8yv2413:1?v3;3981`5=:<<;1=ho4=51:>7363ty??54?:2y>06>=:<;019=n:60891352>80q~:=a;296~;3=8099<5247393<=z{=9i6=4<{<60e?4c827?9?4>e`9>06d=:<;0q~:<a;297~;3;h099<5242a937=:<<91;?5rs50a>5<5s4>>>7<:1:?727<012wx8>j50;1x915d2;n;70::3;3fe>;3;m099<5rs51`>5<5s4>8o7<:1:?711<0:2wx8?m50;0x91342;?:70:93;5:?xu3=<0;6?u244695`g<5=?>6?;>;|q76a<72;q688:5243891032>30q~=i2;297~;4n809h=5241`95`g<5:l96?;>;|q0b4<72:q6?k?5243896`>2>8019?>:608yv5b;3:1>v3;0c8114=:<8k1;45rs2db>5<4s49m57<k0:?754<6mh16?ko52438yv5a13:1?v3<f88114=:;oh1;?52400937=z{:o?6=4={<625?42927?=o489:\7fp7ce=839p1>hm:3f3?826:3;nm63<fb8114=z{:li6=4<{<1ef?429278ji482:?756<0:2wx?h750;0x91752;?:70:=0;5:?xu4nl0;6>u23gf96a6<5=;86<kn;<1ea?4292wx?kj50;1x96`c2;?:70=if;51?826<3=97p}<e`83>7}:<891>8?4=502>2?<uz>;<7>53z?0bc<5l9168<:51dc891672;?:7p}<fg83>6}:;ol1>8?4=522>24<5=;>6:<4}r1ff?6=:r7?=94=509>074=?01v\7f9>=:180\7f827938o<63;1482ad=:<981>8?4}r635?6=;r7?<<4=509>055=?;168<85739~w6cd2909w0:>5;065>;3::0<56s|41694?5|5=:86?j?;<622?7bi27?<94=509~w1642908w0:?3;065>;38<0<>63;16846>{t;ln1<7<t=535>73634>98796;|q743<72:q68=;52e28917028oj70:?6;065>{t<9?1<7=t=526>73634>;;79=;<62<?153ty8ih4?:3y>041=:<;019<::6;8yv2703:1?v3;0681`5=:<821=ho4=52;>7363ty?<:4?:2y>051=:<;019>6:608917>2>80q~=jf;296~;391099<5243493<=z{=:j6=4<{<63=?4c827?=44>e`9>05g=:<;0q~:?9;297~;380099<523g1937=:<9i1;?5rs2d3>5<5s4>:57<:1:?762<012wx?k:50;1x96`42;n;70:?c;3fe>;4n=099<5rs2d0>5<4s49m?7<:1:?0b0<0:27?<i482:\7fp7`3=838p19>l:372?826k3=27p}<f783>6}:;o?1>i>4=52g>4cf349m:7<:1:\7fp7c3=839p1>h::372?85a?3=970:?e;51?xu4m?0;6?u241f9607<5=;o6:74}r1e<?6=;r78j:4=d19>05c=9lk01>h7:372?xu4n>0;6?u23g59607<5=:m6:<4}r1f3?6=:r7?<h4=509>04c=?01v\7f9??:181\7f827n3;nm63;118114=z{:o36=4={<63b?42927?=k489:\7fp73>=839p1>88:3f3?85?93;nm63<698114=z{:<<6=4<{<153?429278:k482:?0<2<0:2wx?8750;0x96>62;?:70=60;5:?xu4?90;6>u237d96a6<5:2<6<kn;<144?4292wx?;h50;1x960a2;?:70=81;51?85?03=97p}<5`83>7}:;1=1>8?4=2;2>2?<uz9<>7>53z?034<5l916?5651dc896152;?:7p}<7083>6}:;>;1>8?4=250>24<5:226:<4}r16b?6=:r78454=509>7<0=?01v\7f>9;:180\7f850;38o<63<8882ad=:;>>1>8?4}r147?6=;r78;>4=509>723=?;16?5o5739~w6072909w0=79;065>;41>0<56s|36494?5|5:=>6?j?;<1;e?7bi278;;4=509~w6122908w0=85;065>;4?>0<>63<8c846>{t;?;1<7<t=2:b>73634924796;|q03=<72:q6?:952e2896>e28oj70=88;065>{t;>=1<7=t=254>736349<579=;<1;g?153ty8:?4?:3y>7=d=:<;01>76:6;8yv50i3:1?v3<7881`5=:;1i1=ho4=25b>7363ty8;44?:2y>72?=:<;01>9m:60896>c2>80q~=93;296~;40j099<5238c93<=z{:=h6=4<{<14f?4c82784i4>e`9>72e=:<;0q~=8b;297~;4?k099<5236f937=:;1o1;?5rs247>5<5s493h7<:1:?0=g<012wx?:k50;1x961c2;n;70=7e;3fe>;4?l099<5rs25g>5<4s49<h7<:1:?03c<0:2784k482:\7fp733=838p1>6j:372?85>k3=27p}<8183>6}:;>l1>i>4=2:e>4cf3493<7<:1:\7fp72`=839p1>9i:372?85113=970=72;51?xu4>?0;6?u239d9607<5:3o6:74}r15e?6=;r78:44=d19>7=4=9lk01>8n:372?xu4>00;6>u237;9607<5:<i6:<4=2:0>24<uz9>n7>52z?0<7<5=816?4<5789~w60d2908w0=9b;0g4>;40:0:il5237a9607<uz9=n7>53z?02g<5=816?;j5739>7=2=?;1v\7f>;l:181\7f85?;38>=63<9284=>{t;?o1<7=t=24g>7b7349387?ja:?02`<5=81v\7f>8k:181\7f851l38>=63<84846>{t;<n1<7<t=2:7>73634928796;|q0<3<72;q6?5;51dc896>12;?:7p}<5d83>7}:;1?1>8?4=2;6>2?<uz9jh7>53z?0ef<5l916?n851dc896gc2;?:7p}<ab83>6}:;hi1>8?4=2`7>24<5:ih6:<4}r1:a?6=:r78o;4=509>7a3=?01v\7f>l::180\7f85e<38o<63<cb82ad=:;k?1>8?4}r1a0?6=;r78n94=509>7g0=?;16?nj5739~w6?a2909w0=lc;065>;4l?0<56s|3c594?5|5:h=6?j?;<1``?7bi278n:4=509~w6d12908w0=m6;065>;4j10<>63<cd846>{t;h>1<7<t=2ag>736349on796;|q0f<<72:q6?o652e2896eb28oj70=m9;065>{t;k21<7=t=2`;>736349im79=;<1`b?153ty8m84?:3y>7fc=:<;01>jl:6;8yv5ej3:1?v3<b`81`5=:;jl1=ho4=2`a>7363ty8nl4?:2y>7gg=:<;01>ll:60896b72>80q~=n6;296~;4ko099<523ef93<=z{:ho6=4<{<1ag?4c8278h=4>e`9>7gb=:<;0q~=mc;297~;4jj099<523cg937=:;m;1;?5rs2c4>5<5s49o<7<:1:?0``<012wx?oh50;1x96db2;n;70=k1;3fe>;4jo099<5rs2`f>5<4s49ii7<:1:?0g5<0:278h?482:\7fp7d>=838p1>j>:372?85cn3=27p}<c083>6}:;j:1>i>4=2f1>4cf349h=7<:1:\7fp7f6=839p1>m?:372?85d:3=970=k3;51?xu4i00;6?u23e09607<5:o;6:74}r1`7?6=;r78o?4=d19>7a5=9lk01>m<:372?xu4k;0;6>u23b09607<5:i?6:<4=2f7>24<uz9jm7>52z?0`6<5=816?h?5789~w6e22908w0=l4;0g4>;4l=0:il523b79607<uz9h87>53z?0g1<5=816?lk5739>7f1=?;1v\7f>om:181\7f85c<38>=63<e384=>{t;hl1<7=t=2cf>7b7349h;7?ja:?0ec<5=81v\7f>oj:180\7f85fm38>=63<b1846>;4k10<>6s|3`294?4|5:i<6?;>;<1g3?1>3ty8n<4?:2y>7g6=:m:01>m7:0gb?85e938>=6s|3c294?5|5:h;6?;>;<1a6?15349h579=;|q0e4<72;q6?n65243896b?2>30q~=m3;297~;4j;09h=523b;95`g<5:h86?;>;|q0f7<72;q6?o<5243896ef2>80q~=n2;296~;4k0099<523e;93<=z{:ii6=4={<1`e?7bi278oo4=509~w6g42909w0=la;065>;4lh0<56s|33094?5|5:8:6?j?;<10f?7bi278>?4=509~w6462908w0==1;065>;4:00<>63<40846>{t;891<7<t=21a>736349?n796;|q06d<72:q6??752e28962628oj70==a;065>{t;;31<7=t=20:>7363499n79=;<176?153ty8=94?:3y>717=:<;01>:l:6;8yv55k3:1?v3<2c81`5=:;=81=ho4=20`>7363ty8>o4?:2y>77d=:<;01><k:60896242>80q~=>9;296~;4<;099<5234393<=z{:8n6=4<{<11`?4c82788>4>e`9>77c=:<;0q~==d;297~;4:m099<5233d937=:;=>1;?5rs23b>5<5s49??7<:1:?017<012wx?>>50;1x964a2;n;70=;4;3fe>;4;9099<5rs20e>5<4s499j7<:1:?074<0:27888482:\7fp74d=838p1>:;:372?852;3=27p}<3383>6}:;:;1>i>4=266>4cf3498>7<:1:\7fp767=839p1>=>:372?854;3=970=;6;51?xu49j0;6?u23579607<5:??6:74}r100?6=;r78?>4=d19>710=9lk01>=;:372?xu4;:0;6>u23219607<5:9>6:<4=264>24<uz9:h7>52z?003<5=816?8;5789~w6512908w0=<5;0g4>;4<>0:il523249607<uz9897>53z?070<5=816?>95739>71>=?;1v\7f>?j:181\7f853?38>=63<5784=>{t;:21<7=t=214>7b7349?47?ja:?07=<5=81v\7f>=8:180\7f854?38>=63<38846>;4<00<>6s|30d94?4|5:>36?;>;<163?1>3ty8?l4?:2y>76?=:m:01>:6:0gb?854i38>=6s|32;94?5|5:926?;>;<117?153498o79=;|q065<72;q6?9752438963?2>30q~==4;297~;4::09h=5232a95`g<5:8?6?;>;|q066<72:q6??=5243896422>801>=k:608yv56=3:1>v3<3b8114=:;=n1;45rs205>5<4s49997<k0:?07a<6mh16??852438yv55=3:1?v3<248114=:;;=1;?5232g937=z{:;=6=4={<10`?4292788h489:\7fp77>=839p1><8:3f3?854m3;nm63<298114=z{:8<6=4={<113?429278?k482:\7fp741=838p1>=j:372?853n3=27p}<4183>7}:;:l1=ho4=263>7363ty8=54?:3y>76`=:<;01>;?:6;8yv03m3:1>v394681`5=:><?1>8?4}r475?6=:r7=8:4=509>21>=?;1v\7f;:i:181\7f803038o<639578114=z{?>96=4={<47<?42927=84482:\7fp206=838p1;:6:3f3?802?38>=6s|65194?4|5?>26?;>;<47e?153ty=9<4?:3y>21g=:m:01;;7:372?xu1<=0;6?u265c9607<5?>i6:<4}r466?6=:r7=8o4=d19>20?=:<;0q~8;5;296~;1<k099<5265a937=z{??86=4={<47g?4c827=9l4=509~w3212909w08;c;065>;1<m0<>6s|64694?4|5?>o6?j?;<46f?4292wx::950;0x932c2;?:708<e;3:<>{t>?31<7<t=741>7b734<<<7<:1:\7fp20e=838p1;8=:372?801;3=97p}96`83>7}:>?91>i>4=752>7363ty=9i4?:3y>235=:<;01;8;:608yv01j3:1>v396581`5=:>>81>8?4}r46a?6=:r7=:94=509>233=?;1v\7f;8l:181\7f801=38o<639728114=z{??m6=4={<451?42927=:;482:\7fp23b=838p1;89:3f3?800<38>=6s|67294?4|5?<=6?;>;<453?153ty=:h4?:3y>231=:m:01;9::372?xu1>80;6?u26759607<5?<36:<4}r45b?6=:r7=:54=d19>220=:<;0q~888;296~;1>1099<5262d95<><uz<8h7>52z?505<0127=?h4=509~w3>a2909w0878;0g4>;11?099<5rs7:1>5<5s4<347<:1:?5<<<0:2wx:4>50;0x93>>2;n;70867;065>{t>191<7<t=7::>73634<3m79=;|q5=4<72;q6:5o52e2893??2;?:7p}98583>7}:>1k1>8?4=7:a>24<uz<2>7>52z?5<g<5l916:4752438yv0?=3:1>v398c8114=:>1i1;?5rs7;0>5<5s4<3o7<k0:?5=d<5=81v\7f;69:181\7f80?k38>=6398e846>{t>0>1<7<t=7:g>7b734<2n7<:1:\7fp2=1=838p1;6k:372?80?m3=97p}99483>7}:>1o1>i>4=7;`>7363ty=n54?:3y>2=c=:<;01;9k:0;b?xu1ih0;6?u26`196a6<5?h:6?;>;|q5=a<72;q6:l=5243893g32>80q~8nb;296~;1i=09h=526c09607<uz<2i7>52z?5e1<5=816:l;5739~w3gd2909w08n5;0g4>;1j:099<5rs7;e>5<5s4<j97<:1:?5e3<0:2wx:lj50;0x93g12;n;708m4;065>{t>h:1<7<t=7c5>73634<j;79=;|q5e`<72;q6:l952e2893d22;?:7p}9a083>7}:>h=1>8?4=7c;>24<uz<jj7>52z?5e=<5l916:o852438yv0f:3:1>v39a98114=:>h31;?5rs7`3>5<5s4<j57<k0:?5f2<5=81v\7f;l6:181\7f80f138>=6397b82===z{?=26=4={<4;5?1>34<<o7<:1:\7fp2gg=838p1;ln:3`6?800i3;246s|5eg94?4|5<n<6?j?;<7f1?4292wx9i?50;0x90b02;?:70;k8;51?xu2lo0;6?u25e:96a6<5<o=6?;>;|q6`7<72;q69i65243890b>2>80q~;j0;296~;2l009h=525d59607<uz?o?7>52z?6`<<5=8169io5739~w0c62909w0;ka;0g4>;2m1099<5rs4f7>5<5s4?om7<:1:?6`g<0:2wx9h<50;0x90be2;n;70;j9;065>{t=m?1<7<t=4fa>73634?oo79=;|q6a6<72;q69im52e2890cf2;?:7p}:d783>7}:=mi1>8?4=4fg>24<uz?n87>52z?6`a<5l9169hl52438yv3a13:1>v3:f381`5=:>9:1>8?4}r7fg?6=:r7>j?4=509>1c5=?;1v\7f8hn:181\7f83a;38o<639008114=z{<oo6=4={<7e7?42927>j9482:\7fp1cd=838p18h;:3f3?807:38>=6s|5dg94?4|5<l?6?;>;<7e1?153ty>jn4?:3y>1c3=:m:01;><:372?xu2mo0;6?u25g79607<5<l=6:<4}r7e`?6=:r7>j;4=d19>252=:<;0q~;i0;296~;2n?099<525g5937=z{<ln6=4={<7e3?4c827=<84=509~w0`62909w0;i7;065>;2n10<>6s|5gd94?4|5<l36?j?;<432?4292wx:<:50;0x936c2;n;708>b;065>{t>9=1<7<t=72g>73634<;i79=;|q550<72;q6:=k52e28937d2;?:7p}90983>7}:>9o1>8?4=72e>24<uz<::7>52z?54c<5l916:<j52438yv0713:1>v390g8114=:>8:1;?5rs734>5<5s4<:<7<k0:?55`<5=81v\7f;>n:181\7f806838>=63910846>{t>821<7<t=732>7b734<:j7<:1:\7fp25d=838p1;?>:372?806:3=97p}91883>7}:>881>i>4=703>7363ty=<n4?:3y>244=:<;01;?<:608yv06i3:1>v391281`5=:>;;1>8?4}r41b?6=:r7=>54=d19>260=:<;0q~8=2;296~;1:1099<5263;937=z{?9;6=4={<41=?4c827=?:4=509~w3442909w08=9;065>;1:h0<>6s|62394?4|5?8j6?j?;<40<?4292wx:?:50;0x934f2;?:708=b;51?xu1;;0;6?u263`96a6<5?926?;>;|q560<72;q6:?l52438934d2>80q~8<3;296~;1:j09h=5262c9607<uz<9:7>52z?56f<5=816:?j5739~w3532909w08=d;0g4>;1;k099<5rs704>5<5s4<9h7<:1:?56`<0:2wx:>;50;0x934b2;n;708<c;065>{t=k31<7=t=4:b>7b734?j97?ja:?6=2<5=81v\7f86n:180\7f83?i38>=63:8d846>;2ih0<>6s|56a94?4|5<k>6?;>;<7`5?1>3ty>nl4?:2y>1=c=:m:018on:0gb?83>k38>=6s|59g94?5|5<2n6?;>;<7;b?1534?jn79=;|q6<7<72;q69lo5243890e52>30q~;mb;297~;20o09h=525``95`g<5<3o6?;>;|q6<c<72:q695h5243890?72>8018ol:608yv3?;3:1>v3:ac8114=:=j=1;45rs4``>5<4s4?2<7<k0:?6ef<6mh1694k52438yv3>83:1?v3:918114=:=0;1;?525`f937=z{<2?6=4={<7bg?42927>o5489:\7fp1gb=839p187>:3f3?83fl3;nm63:9g8114=z{<3:6=4<{<7:5?42927>5?482:?6e`<0:2wx95;50;0x90gc2;?:70;l9;5:?xu2jl0;6>u258096a6<5<kn6<kn;<7b4?4292wx94<50;1x90?52;?:70;63;51?83fn3=97p}:8783>7}:=ho1>8?4=4ab>2?<uz?ij7>53z?6=6<5l9169lh51dc890g62;?:7p}:9283>6}:=091>8?4=4;7>24<5<h;6:<4}r7;3?6=:r7>mk4=509>1fd=?01v\7f8m?:180\7f83><38o<63:b182ad=:=h81>8?4}r7:0?6=;r7>594=509>1<3=?;169o?5739~w0>?2909w0;m0;065>;2kj0<56s|5c194?5|5<3>6?j?;<7a5?7bi27>m>4=509~w0?22908w0;65;065>;21?0<>63:b3846>{t=131<7<t=4`2>73634?hh796;|q6f1<72:q694852e2890d528oj70;n4;065>{t=0<1<7=t=4;5>73634?3n79=;<7b2?153ty>;i4?:3y>1g4=:<;018mj:6;8yv3e=3:1?v3:8c81`5=:=h<1=ho4=4;;>7363ty>4o4?:2y>1=d=:<;0186l:60890g02>80q~;8e;296~;2i?099<525b193<=z{<h=6=4<{<7;g?4c827>m:4>e`9>1<?=:<;0q~;7c;297~;20j099<5259f937=:=h21;?5rs45e>5<5s4?j;7<:1:?6g1<012wx9o950;1x90>c2;n;70;n8;3fe>;21h099<5rs4:g>5<5s4?3h7<:1:?6e<<0:2wx95>50;0x90g?2;?:70;l5;5:?xu2j10;6?u25`;95`g<5<3i6?;>;|q6<4<72;q69l75243890e12>30q~;8b;29b~;2k80<=63:c3845>;2k>0<=63:c9845>;2k00<=63:c`845>;2kk0<=63:cb845>;2km0<=63:cd845>;2k:0<=63:c5845>;2k<0<=63:c7845>;2?h099<5rs933>5<2s4=;?7?68:?446<61016;8j518:8923c2832707<c;30g>{t0<l1<7:>{<537?4c827<9i4=d19>373=:m:01:9i:3f3?814938o<6388c81`5=:?:>1>i>4=6:f>7b734=897<k0:?4<c<5l9164;<52c78921c2;n;709=3;0g4>;0?l09h=5273696a6<5>226?j?;<5:0?4c827<>k4=d19>36g=:m:01:79:3f3?814k38o<6389681`5=:?:n1>i>4=61f>7b734=247<k0:?4=<<5l916;>h52e2892?f2;n;709;0;0g4>;0<809h=5278`96a6<5>2;6?j?;<512?4c827<4<4=d19>371=:m:01:<7:3f3?81?:38o<6388281`5=:?;31>i>4=6:7>7b734=9m7<k0:?46g<5l916;5;52e2892>12;n;709=c;0g4>;00>09h=5273f96a6<5>8n6?j?;<5;<?4c827<4l4=d19>366=:m:01:6l:3f3?814:38o<6383281`5=:?1n1>i>4=6;3>7b734=8:7<k0:?4=4<5l916;>952e28925?2;n;70962;0g4>;01:09h=5272;96a6<5>3>6?j?;<50f?4c82wx;==50;0x92642;?:708j3;3:=>{t?<n1<7<t=67g>73634<n?7?68:\7fp<36=838:w08j3;0g4>;1m?09h=526g096a6<5?l>6?j?;<4e2?4c8273:>4=b49>2`2=:m:01;k::3f3?80a838o<639fc81`5=:>on1>i>4=7df>7b734<mj7<k0:?445<5l916;=?52e2892652;n;708j7;0g4>;1m109h=526d;96a6<5?oj6?j?;<4ff?4c827=in4=d19>2`b=:m:01;kj:3f3?80bn38o<639f081`5=:>o91>i>4=7d7>7b734<m;7<k0:?5b=<5l916:k752e2893`f2;n;708ic;0g4>{t0;k1<7;t=606>4??34=997?69:?43c<61116;:h518;89<20289h7p}80783>7}:?;?1>8?4=7g5>4?>3ty<:=4?:3y>32`=:<;01;k9:0;;?xu?=>0;68u272395<><5>9:6<76;<5;f?7>027<4o4>989>=07=9:i0q~9>2;296~;0;8099<526g095<?<uz==o7>52z?4<g<5=816:k<518:8yv1a83:19v383582===:?:>1=474=6:f>4??34=3i7?69:?:17<6;j1v\7f:?::181\7f814<38>=639f482=<=z{><m6=4={<5;a?42927=j84>999~w2`6290>w09<5;3:<>;0;<0:545279d95<><5>2m6<76;<;67?74k2wx;<850;0x92522;?:708i6;3:=>{t?>:1<7<t=6:e>73634<m:7?68:\7fp=0?=83;:w0693;52?8>1:3=:70691;52?8?4k3;3o6364682<f=:1<;1=5m4=871>4>d343>?7?7c:?5`7<61k16:i?518`893b7283i708lf;3:f>;1kl0:5o526bf95<g<5?ih6<7m;<4`f?7>j2729l4=509~w=3b290=hv376081f0=:?hl1=464=661>4??34=h?7?68:?4e3<61116;i<518:8922d2833709ma;3:<>;0lj0:55527bd95<><5>??6<77;<5`e?7>027<h=4>999>3`2=90201:;8:0;;?81c93;24638e682===:?0n1=464=6;`>4??34=>47?68:?4a=<61116;87518:8923f28337096e;3:<>;01o0:55527d;95<><5>k;6<77;<5b5?7>027<il4>999>30d=90201:o=:0;;?812k3;24638a282===:?lh1=464=6c7>4??34=j97?68:?4af<61116;9=518:892g02833709n8;3:<>;0i00:55527e195<><5>>?6<77;<5be?7>027<884>999>3a2=90201:om:0;;?81fk3;24638d482===:?ho1=464=665>4??34=jh7?68:?4`3<61116;99518:892b02833709m0;3:<>;0j80:555275:95<><5>n36<77;<57=?7>027<n?4>999>3g5=90201:j6:0;;?81e<3;24638b482===:?=k1=464=6fb>4??34=i:7?68:?4f=<61116;o9518:8922e2833709m9;3:<>;0lk0:55527ca95<><5>>o6<77;<5af?7>027<hi4>999>3gc=90201::j:0;;?81el3;24638dd82===:?=l1=464=6`e>4??34=h<7?68:?4`c<61116;n<518:892c72833709:0;3:<>;0k80:55527b695<><5>?:6<77;<566?7>027<o84>999>3`7=90201:m9:0;;?81d?3;24638c982===:?<91=464=6g1>4??34=h57?68:?4a6<61116;8;518:892ee2833709lc;3:<>;0m<0:55527bg95<><5>?=6<77;<5``?7>027<i;4>999~w3b4290?w07:4;3;f>;><90:4o5295;95=d<5?i86?;>;|q5`g<72=q658:519a89<27282h707;9;3;g>;1kk099<5rs82g>5<5s43>87?:a:?4a1<6101v\7f4>l:181\7f8?2<3;>5638db82=<=z{0:i6=4={<;60?72027<h?4>989~w<6f2909w07:4;363>;0m?0:545rs82:>5<5s43>87?:6:?4a0<6101v\7f4>7:181\7f8?2<3;>>638e282=<=z{0:<6=4={<;60?73?27<i?4>989~w<612909w07:4;30g>;0m80:545rs82f>5<5s43>87?93:?4a2<6101v\7f;j;:187\7f8?2=3;3n6364082<g=:1=k1=5l4=7a7>7363ty=hn4?:5y>=03=91i014:>:0:`?8?3i3;3o639cb8114=z{0;=6=4={<;61?72i27<i94>9`9~w<722909w07:5;36=>;0lj0:5l5rs837>5<5s43>97?:8:?4`7<61h1v\7f4?<:181\7f8?2=3;>;638e782=d=z{0;96=4={<;61?72>27<i84>9`9~w<762909w07:5;366>;0m:0:5l5rs833>5<5s43>97?;7:?4a7<61h1v\7f4>i:181\7f8?2=3;8o638e082=d=z{0;<6=4={<;61?71;27<i:4>9`9~w3b2290?w07:6;3;f>;><;0:4o5295`95=d<5?i>6?;>;|q5`a<72=q6588519a89<25282h707;b;3;g>;1km099<5rs83e>5<5s43>:7?:a:?4gc<6101v\7f4?j:181\7f8?2>3;>5638c282=<=z{0;o6=4={<;62?72027<mk4>989~w<7d2909w07:6;363>;0kl0:545rs83a>5<5s43>:7?:6:?4gf<6101v\7f4?n:181\7f8?2>3;>>638c882=<=z{0;26=4={<;62?73?27<o:4>989~w<7?2909w07:6;30g>;0k<0:545rs803>5<5s43>:7?93:?4`4<6101v\7f;j9:187\7f8?2?3;3n6364282<g=:1=i1=5l4=7a5>7363ty=hh4?:5y>=01=91i014:<:0:`?8?3k3;3o639cd8114=z{0836=4={<;63?72i27<ok4>9`9~w<402909w07:7;36=>;0k:0:5l5rs805>5<5s43>;7?:8:?4ec<61h1v\7f4<::181\7f8?2?3;>;638cd82=d=z{08?6=4={<;63?72>27<on4>9`9~w<442909w07:7;366>;0k00:5l5rs801>5<5s43>;7?;7:?4g2<61h1v\7f4<>:181\7f8?2?3;8o638c482=d=z{0826=4={<;63?71;27<h<4>9`9~w3b0290?w07:8;3;f>;><=0:4o5295f95=d<5?i<6?;>;|q5`c<72=q6586519a89<23282h707;d;3;g>;1ko099<5rs812>5<5s43>47?:a:?4gd<6101v\7f4=?:181\7f8?203;>5638b`82=<=z{08m6=4={<;6<?72027<m;4>989~w<4b2909w07:8;363>;0km0:545rs80g>5<5s43>47?:6:?4gg<6101v\7f4<l:181\7f8?203;>>638c982=<=z{08i6=4={<;6<?73?27<o;4>989~w<4f2909w07:8;30g>;0k=0:545rs811>5<5s43>47?93:?4`5<6101v\7f;j7:187\7f8?4l3;3n6364482<g=:1=o1=5l4=7a;>7363ty=i=4?:5y>=6b=91i014:::0:`?8?3m3;3o639d18114=z{09j6=4={<;0`?72i27<ol4>9`9~w<5>2909w07<d;36=>;0jh0:5l5rs81;>5<5s438h7?:8:?4e3<61h1v\7f4=8:181\7f8?4l3;>;638ce82=d=z{09=6=4={<;0`?72>27<oo4>9`9~w<522909w07<d;366>;0k10:5l5rs817>5<5s438h7?;7:?4g3<61h1v\7f4=<:181\7f8?4l3;8o638c582=d=z{09i6=4={<;0`?71;27<h=4>9`9~w3b>290?w07<e;3;f>;><?0:4o5295d95=d<5?i26?;>;|q5a4<72=q65>k519a89<21282h707;f;3;g>;1l8099<5rs94a>5<5s438i7?:a:?411<6101v\7f58n:181\7f8?4m3;>56384b82=<=z{1<26=4={<;0a?72027<8?4>989~w=0?2909w07<e;363>;0=?0:545rs944>5<5s438i7?:6:?410<6101v\7f589:181\7f8?4m3;>>6385282=<=z{1<>6=4={<;0a?73?27<9?4>989~w=032909w07<e;30g>;0=80:545rs94`>5<5s438i7?93:?412<6101v\7f;jn:187\7f8?4n3;3n6364982<g=:1<:1=5l4=7ab>7363ty=i?4?:5y>=6`=91i014:7:0:`?8?283;3o639d38114=z{1=?6=4={<;0b?72i27<994>9`9~w=142909w07<f;36=>;0<j0:5l5rs951>5<5s438j7?:8:?407<61h1v\7f59>:181\7f8?4n3;>;6385782=d=z{1=;6=4={<;0b?72>27<984>9`9~w=0a2909w07<f;366>;0=:0:5l5rs94f>5<5s438j7?;7:?417<61h1v\7f58k:181\7f8?4n3;8o6385082=d=z{1=>6=4={<;0b?71;27<9:4>9`9~w=1c2909w07;0;36e>;0l<0:545rs95`>5<5s43?<7?:9:?4`1<6101v\7f59m:181\7f8?383;>4638d282=<=z{1=j6=4={<;74?72?27<in4>989~w=1>2909w07;0;362>;0mk0:545rs95;>5<5s43?<7?:2:?4ad<6101v\7f598:181\7f8?383;?;638e882=<=z{1==6=4={<;74?74k27<i54>989~w=1b2909w07;0;357>;0l?0:545rs9:5>5<5s43?=7?:a:?4`0<61h1v\7f56::181\7f8?393;>5638d582=d=z{12?6=4={<;75?72027<h>4>9`9~w=>42909w07;1;363>;0mj0:5l5rs9:1>5<5s43?=7?:6:?4ag<61h1v\7f56>:181\7f8?393;>>638e`82=d=z{12;6=4={<;75?73?27<i44>9`9~w=1a2909w07;1;30g>;0m10:5l5rs9:4>5<5s43?=7?93:?4`3<61h1v\7f56i:181\7f8?3:3;>m638ab82=<=z{12n6=4={<;76?72127<ml4>989~w=>c2909w07;2;36<>;0i10:545rs9:`>5<5s43?>7?:7:?4e0<6101v\7f56m:181\7f8?3:3;>:638a282=<=z{12j6=4={<;76?72:27<m<4>989~w=>>2909w07;2;373>;01o0:545rs9:;>5<5s43?>7?<c:?4=a<6101v\7f57?:181\7f8?3:3;=?638ad82=<=z{1336=4={<;77?72i27<mn4>9`9~w=?02909w07;3;36=>;0ih0:5l5rs9;5>5<5s43??7?:8:?4e=<61h1v\7f57::181\7f8?3;3;>;638a482=d=z{13?6=4={<;77?72>27<m>4>9`9~w=?42909w07;3;366>;0i80:5l5rs9;1>5<5s43??7?;7:?4=c<61h1v\7f57>:181\7f8?3;3;8o6389e82=d=z{1326=4={<;77?71;27<mh4>9`9~w=g62909w07;4;36e>;0ik0:545rs9c3>5<5s43?87?:9:?4e<<6101v\7f57i:181\7f8?3<3;>4638a682=<=z{13n6=4={<;70?72?27<m94>989~w=?c2909w07;4;362>;0i;0:545rs9;`>5<5s43?87?:2:?4e5<6101v\7f57m:181\7f8?3<3;?;6389d82=<=z{13j6=4={<;70?74k27<5n4>989~w=g52909w07;4;357>;0im0:545rs9cb>5<5s43?97?:a:?4eg<61h1v\7f5o6:181\7f8?3=3;>5638a882=d=z{1k36=4={<;71?72027<m:4>9`9~w=g02909w07;5;363>;0i=0:5l5rs9c5>5<5s43?97?:6:?4e7<61h1v\7f5o::181\7f8?3=3;>>638a182=d=z{1k?6=4={<;71?73?27<5h4>9`9~w=g42909w07;5;30g>;01j0:5l5rs9ca>5<5s43?97?93:?4ea<61h1v\7f5l<:181\7f8?3>3;>m6384482=<=z{1h96=4={<;72?72127<894>989~w=d62909w07;6;36<>;0<:0:545rs9`3>5<5s43?:7?:7:?41f<6101v\7f5oi:181\7f8?3>3;>:6385c82=<=z{1kn6=4={<;72?72:27<9l4>989~w=gc2909w07;6;373>;0=00:545rs9c`>5<5s43?:7?<c:?41=<6101v\7f5l;:181\7f8?3>3;=?6384782=<=z{1hh6=4={<;7<?72i27<884>9`9~w=de2909w07;8;36=>;0<=0:5l5rs9`b>5<5s43?47?:8:?406<61h1v\7f5l6:181\7f8?303;>;6385b82=d=z{1h36=4={<;7<?72>27<9o4>9`9~w=d02909w07;8;366>;0=h0:5l5rs9`5>5<5s43?47?;7:?41<<61h1v\7f5l::181\7f8?303;8o6385982=d=z{1ho6=4={<;7<?71;27<8;4>9`9~w=e22909w07;9;36e>;0lo0:545rs9a7>5<5s43?57?:9:?4``<6101v\7f5m<:181\7f8?313;>4638de82=<=z{1i96=4={<;7=?72?27<ho4>989~w=e62909w07;9;362>;0lh0:545rs9a3>5<5s43?57?:2:?4`<<6101v\7f5li:181\7f8?313;?;638d982=<=z{1hn6=4={<;7=?74k27<h:4>989~w=e12909w07;9;357>;0m90:545rs9af>5<5s43?m7?:a:?4`c<61h1v\7f5mk:181\7f8?3i3;>5638dd82=d=z{1ih6=4={<;7e?72027<hi4>9`9~w=ee2909w07;a;363>;0lk0:5l5rs9ab>5<5s43?m7?:6:?4`d<61h1v\7f5m6:181\7f8?3i3;>>638d882=d=z{1i36=4={<;7e?73?27<h54>9`9~w=e02909w07;a;30g>;0l>0:5l5rs9ae>5<5s43?m7?93:?4a5<61h1v\7f5j8:181\7f8?3j3;>m638c182=<=z{1n=6=4={<;7f?72127<nh4>989~w=b22909w07;b;36<>;0jj0:545rs9f7>5<5s43?n7?:7:?4f<<6101v\7f5j<:181\7f8?3j3;>:638b682=<=z{1n96=4={<;7f?72:27<n84>989~w=b62909w07;b;373>;0j:0:545rs9f3>5<5s43?n7?<c:?4f4<6101v\7f5j7:181\7f8?3j3;=?638c382=<=z{1o;6=4={<;7g?72i27<o=4>9`9~w=ba2909w07;c;36=>;0jl0:5l5rs9ff>5<5s43?o7?:8:?4ff<61h1v\7f5jk:181\7f8?3k3;>;638b882=d=z{1nh6=4={<;7g?72>27<n:4>9`9~w=be2909w07;c;366>;0j<0:5l5rs9fb>5<5s43?o7?;7:?4f6<61h1v\7f5j6:181\7f8?3k3;8o638b082=d=z{1o:6=4={<;7g?71;27<o?4>9`9~w=c>2909w07;d;36e>;0jo0:545rs9g;>5<5s43?h7?:9:?4fa<6101v\7f5k8:181\7f8?3l3;>4638bc82=<=z{1o=6=4={<;7`?72?27<n54>989~w=c22909w07;d;362>;0j?0:545rs9g7>5<5s43?h7?:2:?4f1<6101v\7f5k<:181\7f8?3l3;?;638b382=<=z{1o96=4={<;7`?74k27<n=4>989~w=cf2909w07;d;357>;0k80:545rs9d1>5<5s43?i7?:a:?4fc<61h1v\7f5h>:181\7f8?3m3;>5638be82=d=z{1l;6=4={<;7a?72027<no4>9`9~w=ca2909w07;e;363>;0j10:5l5rs9gf>5<5s43?i7?:6:?4f3<61h1v\7f5kk:181\7f8?3m3;>>638b582=d=z{1oh6=4={<;7a?73?27<n?4>9`9~w=ce2909w07;e;30g>;0j90:5l5rs9d0>5<5s43?i7?93:?4g4<61h1v\7f5hm:181\7f8?3n3;>m6384g82=<=z{1lj6=4={<;7b?72127<8h4>989~w=`>2909w07;f;36<>;0<m0:545rs9d;>5<5s43?j7?:7:?40g<6101v\7f5h8:181\7f8?3n3;>:6384`82=<=z{1l=6=4={<;7b?72:27<844>989~w=`22909w07;f;373>;0<10:545rs9d7>5<5s43?j7?<c:?402<6101v\7f5hl:181\7f8?3n3;=?6385182=<=z{0:?6=4={<;64?72i27<8k4>9`9~w<642909w07:0;36=>;0<l0:5l5rs821>5<5s43><7?:8:?40a<61h1v\7f4>>:181\7f8?283;>;6384c82=d=z{0:;6=4={<;64?72>27<8l4>9`9~w=`a2909w07:0;366>;0<00:5l5rs9df>5<5s43><7?;7:?40=<61h1v\7f5hk:181\7f8?283;8o6384682=d=z{0:>6=4={<;64?71;27<9=4>9`9~w3>72909w088a;065>;1?j0:5o5rs75f>5<5s4<<o7?69:?53g<5=81v\7f;9i:181\7f800k3;2m6397e82ag=z{8lm6=4={<40a?7>j27=?k4>ec9~w=2e2909w09nf;065>;0?m0:545rs916>5<5s4=?>7<:1:?466<6101v\7f:hk:181\7f81d;38>=6387d82=<=z{1>;6=4={<5b2?42927<>>4>999~w=312909w09k2;065>;0?m0:555rs6gg>5<5s4=?o7<:1:?461<6101v\7f:h<:181\7f81ei38>=6382582===z{1:36=4={<5gg?42927<;h4>999~w=6e2909w09lf;065>;0000:545rs92:>5<5s4=>87<:1:?46c<6101v\7f5>n:181\7f81di38>=6382g82===z{1:n6=4={<5g4?42927<?l4>999~w=6d2909w09j4;065>;0000:555rs92g>5<5s4=>;7<:1:?47d<6101v\7f5>i:181\7f81c938>=6389582=<=z{1;:6=4={<5f3?42927<594>999~w=732909w096d;065>;01?0:545rs930>5<5s4=2o7<:1:?47f<6111v\7f5?=:181\7f812038>=6383b82=<=z{1;>6=4={<5f<?42927<5;4>999~w=712909w09:9;065>;0;m0:545rs93b>5<5s4=>m7<:1:?47`<6101v\7f5?8:181\7f81>m38>=6383e82===z{1;36=4={<5:b?42927<5:4>989~w=7>2909w09j9;065>;01>0:555rs93a>5<5s4=j<7<:1:?47`<6111v\7f5?l:181\7f81f938>=6389982=<=z{1;o6=4={<5fe?42927<554>999~w=7b2909w09:b;065>;0;o0:545rs93e>5<5s4=j>7<:1:?47c<6111v\7f5<=:181\7f812k38>=6384182=<=z{18;6=4={<5b7?42927<544>989~w=462909w09jb;065>;0100:555rs900>5<5s4=j87<:1:?405<6111v\7f5<;:181\7f81f=38>=6389`82=<=z{18>6=4={<5fg?42927<5l4>999~w=412909w09;3;065>;0<80:545rs904>5<5s4=j;7<:1:?404<6111v\7f5<7:181\7f81f038>=6389c82=<=z{18h6=4={<5b=?42927<>;4>999~w=4>2909w09k3;065>;01k0:555rs90a>5<5s4=?87<:1:?463<6101v\7f5<k:181\7f81fi38>=6388182=<=z{18m6=4={<571?42927<>:4>989~w=4b2909w09k4;065>;0090:555rs913>5<5s4=jn7<:1:?462<6111v\7f5=>:181\7f81fk38>=6388082=<=z{1996=4={<5g1?42927<4<4>999~w=512909w09ne;065>;00;0:545rs910>5<5s4=?:7<:1:?46=<6101v\7f5=;:181\7f81fl38>=6382982===z{19<6=4={<5g2?42927<4?4>999~w=5?2909w09;7;065>;0:00:545rs91a>5<5s4=o;7<:1:?4<6<6111v\7f5=6:181\7f81e838>=6382882===z{19j6=4={<5a5?42927<4>4>989~w=5d2909w09;8;065>;0:h0:545rs91e>5<5s4=o47<:1:?4<1<6111v\7f5:>:181\7f813138>=6382c82=<=z{19o6=4={<5a6?42927<>l4>999~w=5b2909w09m3;065>;00=0:545rs967>5<5s4=o57<:1:?4<0<6111v\7f5:=:181\7f81e<38>=6382c82===z{1>86=4={<5a1?42927<484>989~w=222909w09;a;065>;0:j0:545rs96;>5<5s4=om7<:1:?4<3<6111v\7f5:9:181\7f81e>38>=6382b82===z{1>j6=4={<5a<?42927<>i4>999~w=202909w09m7;065>;00?0:545rs96:>5<5s4=?n7<:1:?46a<6101v\7f5:l:181\7f81e138>=6388682=<=z{1>o6=4={<5gf?42927<4:4>999~w=372909w09mc;065>;0010:545rs96f>5<5s4=?h7<:1:?46`<6101v\7f5:i:181\7f81ej38>=6382d82===z{1?:6=4={<5g`?42927<454>999~w=332909w09me;065>;00h0:545rs971>5<5s4=?i7<:1:?475<6101v\7f5;<:181\7f81el38>=6383182===z{1?>6=4={<5ga?42927<4l4>999~w=3?2909w09;f;065>;0;;0:545rs97:>5<5s4=ij7<:1:?477<6111v\7f5;n:181\7f81d838>=6388b82=<=z{1?i6=4={<5gb?42927<4n4>999~w2cb2909w09l2;065>;00m0:545rs6ge>5<5s4=n<7<:1:?4<a<6111v\7f5;l:181\7f812838>=6383282=<=z{1?o6=4={<5`5?42927<?>4>999~w2`32909w09l4;065>;0;?0:555rs6d1>5<5s4=>=7<:1:?473<6101v\7f:h8:181\7f812:38>=6383682=<=z{>l>6=4={<5`1?42927<5=4>989~w2`12909w09j1;065>;0190:555rs6d;>5<5s4=h:7<:1:?472<6111v\7f:h6:181\7f81d?38>=6389082=<=z{>lh6=4={<5`<?42927<?54>999~w2`e2909w09:3;065>;0;10:545rs6db>5<5s4=n>7<:1:?4=4<6111v\7f:hj:181\7f81d138>=6389382=<=z{>lm6=4={<5f7?42927<5?4>999~w=672909w09:5;065>;0;00:545rs922>5<5s4=hn7<:1:?47<<6111v\7f5>=:181\7f81dk38>=6389282=<=z{1:86=4={<5f1?42927<5>4>999~w=612909w09le;065>;01<0:545rs927>5<5s4=>:7<:1:?47g<6101v\7f5>::181\7f81dl38>=6383c82===z{1:<6=4={<5f2?42927<584>999~w23b2909w098d;065>;1m=0:555rs627>5<5s4=9?7<:1:?5a1<6101v\7f:86:181\7f810m38>=639e482===z{>:m6=4={<510?42927=i84>989~w2132909w0979;065>;1n90:555rs654>5<5s4=287<:1:?5bg<6111v\7f:?n:181\7f815n38>=639f182=<=z{>;o6=4={<50e?42927=jo4>989~w21?2909w0966;065>;1nm0:555rs63f>5<5s4=8o7<:1:?5ba<6101v\7f:96:181\7f81>?38>=639fd82===z{>;m6=4={<50`?42927=jh4>989~w2472909w09<e;065>;1no0:545rs65b>5<5s4=247<:1:?5bc<6111v\7f:9m:181\7f81>138>=6380182===z{>8:6=4={<50b?42927<<=4>989~w21d2909w096a;065>;0880:555rs601>5<5s4=?<7<:1:?444<6101v\7f:>::181\7f813938>=6380382=<=z{>?m6=4={<5:f?42927<<?4>999~w2062909w0970;065>;1m>0:555rs624>5<5s4=9:7<:1:?5a2<6101v\7f:8=:181\7f81?938>=639e982===z{>:36=4={<513?42927=i54>989~w26>2909w09=8;065>;1m00:545rs640>5<5s4=3>7<:1:?5a<<6111v\7f:8;:181\7f81?;38>=639e`82===z{>:j6=4={<51=?42927=il4>989~w2022909w0974;065>;1mk0:555rs62a>5<5s4=9m7<:1:?5ag<6101v\7f:>l:181\7f815j38>=639eb82=<=z{><=6=4={<5;1?42927=in4>999~w2002909w0976;065>;1mm0:555rs62g>5<5s4=9o7<:1:?5aa<6101v\7f:87:181\7f81??38>=639ed82===z{>:n6=4={<51`?42927=ih4>989~w2772909w09=e;065>;1mo0:545rs64b>5<5s4=347<:1:?5ac<6111v\7f:8m:181\7f81?i38>=639f082===z{>;:6=4={<504?42927=j<4>989~w20c2909w097c;065>;1n:0:555rs630>5<5s4=8>7<:1:?5b6<6101v\7f:?;:181\7f814;38>=639f582=<=z{><n6=4={<5;`?42927=j94>999~w2162909w0960;065>;1n>0:555rs634>5<5s4=8:7<:1:?5b2<6101v\7f:9=:181\7f81>938>=639f982===z{>;36=4={<503?42927=j54>989~w27>2909w09<8;065>;1n00:545rs650>5<5s4=2>7<:1:?5b<<6111v\7f:9::181\7f81>;38>=639f`82===z{>;i6=4={<50=?42927=jl4>989~w2112909w0965;065>;1nj0:555rs63`>5<5s4=8n7<:1:?5bf<6101vqo=?7;295?6=8r.9h44=dg9K753<@;no7b<:4;29?xu49;0;6?uQ300897bf2>90q~o=b;296~Xf:k16>io52b;8yvg5m3:1?vP;8`9]e7c<5::=6<79;|q04c<72;qU?=h4=3fb>33<uzk9h7>52z\b6a=::mk1>nh4}rc06?6=:rTj??522ec96c6<uz9:=7>52z\054=::mk1:55rs454>5<5sW?<;63=d`82<d=z{h?:6=4={_c65>;5lh08<<5rs`13>5<5sWk8<63=d`81`g=z{::n6=4={_13a>;5lh0=86s|a1f94?4|Vh:o70<ka;0a=>{t1<i1<7<t^87`?84ci38>?6s|a3a94?4|Vh8h70<ka;0`e>{ti:;1<7<t^`12?84ci38oi6s|6c`94?4|V?hi70<ka;3:0>{t1<n1<7<t^87g?84ci38>56s|a2194?4|Vh9870<ka;0e5>{t1k?1<7<t^8`6?84ci383;6s|30294?4|V:;;70<ka;44?xuf=;0;6?uQa40897bf2::97p}n2g83>7}Yi;l01?jn:3f7?xu3090;6?uQ460897bf2>l0q~:8e;296~X3?816>io57e9~w11c2909wS:80:?1`d<0k2wx8:m50;0xZ10a348om79m;|q7<<<72;qU8:o4=3fb>=><uz>347>52z\73<=::mk14:5rs5:4>5<5sW><463=d`8;2>{t<1<1<7<t^554?84ci32>7p};8483>7}Y<><01?jn:968yv2?<3:1>vP;749>6ag=0:1v\7f96<:181\7f[20<279hl472:\7fp0=4=838pR99<;<0ge?>63ty?4<4?:3y]03c<5;nj65>4}r64f?6=:rT?:i522ec93d=z{h><6=4={_c0=>;5lh09j:5rs`66>5<5sWk8463=d`81b0=z{h>?6=4={_c03>;5lh09j95rs`60>5<5sWk8:63=d`81b6=z{h?;6=4={_c75>;5lh08<=5rs`6e>5<5sWk?<63=d`81bc=z{h>n6=4={_c0b>;5lh09jh5rs`6g>5<5sWk8i63=d`81ba=z{h>h6=4={_c0`>;5lh09jn5rs`6a>5<5sWk8o63=d`81bg=z{h>j6=4={_c0f>;5lh09jl5rs`6:>5<5sWk8m63=d`81b<=z{h>36=4={_c01>;5lh09j55rs`61>5<5sWk8863=d`81b7=z{<<n6=4={_77a>;5lh0:4<5rs44`>5<5sW??h63=d`823c=z{<<j6=4={_77f>;5lh0:;i5rs44:>5<5sW??m63=d`823f=z{<<36=4={_77=>;5lh0:;o5rs444>5<5sW??463=d`823d=z{<<=6=4={_773>;5lh0:;45rs446>5<5sW??:63=d`823==z{<<?6=4={_771>;5lh0:;:5rs440>5<5sW??863=d`8233=z{<<96=4={_777>;5lh0:;85rs442>5<5sW??>63=d`8231=z{<?m6=4={_774>;5lh0:;?5rs47f>5<5sW?8j63=d`8234=z{<?o6=4={_70a>;5lh0:;=5rs47`>5<5sW?8h63=d`822c=z{<?i6=4={_70g>;5lh0::h5rs47b>5<5sW?8n63=d`822a=z{<?26=4={_70e>;5lh0::n5rs47;>5<5sW?8563=d`822g=z{<?<6=4={_70<>;5lh0::l5rs475>5<5sW?8;63=d`822<=z{<=>6=4={_760>;5lh0:455rs457>5<5sW?>?63=d`82<2=z{<=86=4={_766>;5lh0:4;5rs451>5<5sW?>=63=d`82<0=z{<=:6=4={_764>;5lh0:495rs453>5<5sW??j63=d`82<6=z{<<m6=4={_77g>;5lh0:4?5rs44a>5<5sW??=63=d`823`=z{<<;6=4={_702>;5lh0:;>5rs476>5<5sW?8963=d`822==z{h8:6=4={_c27>;5lh09nk5rs`3e>5<5sWk:>63=d`81fa=z{h;n6=4={_c25>;5lh09nn5rs`3g>5<5sWk:<63=d`81fg=z{h8j6=4={_c2f>;5lh09o55rs`0:>5<5sWk:m63=d`81g2=z{h836=4={_c2=>;5lh09o;5rs`04>5<5sWk:463=d`81g0=z{h8=6=4={_c23>;5lh09o95rs`06>5<5sWk::63=d`81g6=z{h8?6=4={_c21>;5lh09o?5rs`00>5<5sWk:863=d`81g4=z{h896=4={_c3b>;5lh09o=5rs`3`>5<5sWk;i63=d`81fd=z{02n6=4={_;;4>;5lh09:h5rs8:`>5<5sW3<j63=d`812f=z{02i6=4={_;4a>;5lh09:o5rs8:b>5<5sW3<h63=d`812d=z{03<6=4={_;;<>;5lh09;:5rs8;5>5<5sW33;63=d`8133=z{03>6=4={_;;2>;5lh09;85rs8;7>5<5sW33963=d`8131=z{0386=4={_;;0>;5lh09;>5rs8;1>5<5sW33?63=d`8137=z{03:6=4={_;;6>;5lh09;<5rs8;3>5<5sW33=63=d`8135=z{02m6=4={_;4g>;5lh09:k5rs8::>5<5sW3<n63=d`812<=z{<8o6=4={_73`>;5lh0:<55rs40a>5<5sW?;o63=d`8243=z{<826=4={_73e>;5lh0:<95rs40;>5<5sW?;563=d`8246=z{<8<6=4={_73<>;5lh0:<?5rs405>5<5sW?;;63=d`8244=z{<8>6=4={_732>;5lh0:<=5rs407>5<5sW?;963=d`8eb>{t=;91<7<t^427?84ci3ln7p}:2383>7}Y=9901?jn:gf8yv3593:1>vP:039>6ag=nj1v\7f8<?:181\7f[379279hl4ib:\7fp14c=838pR9hi;<0ge?`>3ty>=i4?:3y]0cc<5;nj6k64}r72g?6=:rT?ji522ec9b2=z{<;i6=4={_6eg>;5lh0m:6s|50c94?4|V=li70<ka;d6?xu2900;6?uQ4gc897bf2o>0q~;>8;296~X3n016>io5f29~w0702909wS:i8:?1`d<a:2wx9<850;0xZ1`0348om7h>;|q650<72;qU8k84=3fb>c6<uz?887>52z\656=::mk1==h4}r707?6=:rT>=?522ec955c<uz?8>7>52z\654=::mk1==j4}r705?6=:rT>==522ec955e<uz?8<7>52z\64c=::mk1==l4}r71b?6=:rT><h522ec955g<uz?9i7>52z\64g=::mk1==74}r71e?6=:rT><=522ec9553<uz?:j7>52z\7b0=::mk1jl5rs437>5<5sW>m863=d`8fb>{ti991<7<t^8d6?84ci38jn6s|a1394?4|V0l?70<ka;0b=>{ti9:1<7<t^8d0?84ci38j46s|9gd94?4|V0l970<ka;0b3>{ti9i1<7<t^8dg?84ci38i86s|a1`94?4|V0lh70<ka;0a7>{ti9k1<7<t^8da?84ci38i>6s|a1;94?4|V0lj70<ka;0a5>{ti921<7<t^8d:?84ci38i<6s|a1594?4|V0l370<ka;0bb>{ti9<1<7<t^8d4?84ci38ji6s|a1794?4|V0l=70<ka;0b`>{ti9>1<7<t^8d2?84ci38jo6s|9gg94?4|V0l;70<ka;0b2>{t1l<1<7<t^8f;?84ci382o6s|9d694?4|V0n<70<ka;0:e>{t1l91<7<t^8f5?84ci38256s|9d094?4|V0n>70<ka;0:<>{t1ll1<7<t^8g3?84ci38j96s|9dg94?4|V0nm70<ka;0b0>{t1ln1<7<t^8ff?84ci38j?6s|9da94?4|V0no70<ka;0b6>{t1lh1<7<t^8f`?84ci38j=6s|9dc94?4|V0ni70<ka;0b4>{t1l31<7<t^8fb?84ci382j6s|9d:94?4|V0n270<ka;0:a>{t1l=1<7<t^8f7?84ci382h6s|9d394?4|V0n870<ka;0:3>{t1j31<7<t^8`a?84ci383h6s|9b594?4|V0hj70<ka;0;f>{t1j<1<7<t^8`:?84ci383m6s|9b794?4|V0h370<ka;0;=>{t1m81<7<t^8a0?84ci382:6s|9e394?4|V0i970<ka;0:1>{t1m:1<7<t^8a2?84ci38286s|9bd94?4|V0i;70<ka;0:7>{t1jo1<7<t^8`e?84ci382>6s|9bf94?4|V0hn70<ka;0:5>{t1ji1<7<t^8`g?84ci382<6s|9b`94?4|V0hh70<ka;0;b>{t1jk1<7<t^8`4?84ci383i6s|9b694?4|V0h=70<ka;0;<>{t1hh1<7<t^8;g?84ci38<h6s|9`;94?4|V03h70<ka;04f>{t1h21<7<t^8;a?84ci38<m6s|9`594?4|V03j70<ka;04=>{t1k>1<7<t^8c6?84ci383:6s|9c194?4|V0k?70<ka;0;1>{t1k81<7<t^8c0?84ci38386s|9c394?4|V0k970<ka;0;7>{t1k:1<7<t^8c2?84ci383>6s|9`d94?4|V0k;70<ka;0;5>{t1ho1<7<t^8;e?84ci383<6s|9`f94?4|V03n70<ka;04b>{t1hi1<7<t^8;:?84ci38<i6s|9`494?4|V03370<ka;04<>{t1>;1<7<t^840?84ci38>j6s|97d94?4|V0<970<ka;06`>{t1?o1<7<t^842?84ci38>o6s|97f94?4|V0<;70<ka;06f>{t1>k1<7<t^84a?84ci38=46s|96;94?4|V0<j70<ka;053>{t1>21<7<t^84:?84ci38=:6s|96594?4|V0<370<ka;051>{t1><1<7<t^844?84ci38=86s|96794?4|V0<=70<ka;057>{t1>>1<7<t^846?84ci38=>6s|96194?4|V0<?70<ka;055>{t1>81<7<t^87e?84ci38=<6s|97a94?4|V0?n70<ka;06e>{t::=1<7?t=224>7333twx?<<50;0xZ67534<26>?=;%0gg?44?2wx9?j50;0xZ06c34<268>k;%0gg?4312wx9?l50;0xZ06d34<268>l;%0gg?43i2wx9?750;0xZ06f34<268>n;%0gg?43j2wx9?650;0xZ06>34<268>6;%0gg?43k2wx9?950;0xZ06?34<268>7;%0gg?43l2wx9?850;0xZ06034<268>8;%0gg?7a12wx9?;50;0xZ06134<268>9;%0gg?7ai2wx9?:50;0xZ06234<268>:;%0gg?7aj2wx9?=50;0xZ06334<268>;;%0gg?4782wx9?<50;0xZ06434<268><;%0gg?47i2wx9??50;0xZ06534<268>=;%0gg?46=2wx9?>50;0xZ06634<268>>;%0gg?4582wx9<k50;0xZ1`a34<269hi;%0gg?45j2wx9<j50;0xZ1`b34<269hj;%0gg?44=2wx9<m50;0xZ1`c34<269hk;%0gg?44>2wx9<l50;0xZ1`d34<269hl;%0gg?4402wx9<o50;0xZ1`e34<269hm;%0gg?4412wx9<750;0xZ1`f34<269hn;%0gg?44i2wx9<650;0xZ1`>34<269h6;%0gg?44j2wx9<950;0xZ1`?34<269h7;%0gg?44k2wx9<850;0xZ1`034<269h8;%0gg?44l2wx9<;50;0xZ1`134<269h9;%0gg?44m2wx9>:50;0xZ07434<268?<;%0gg?44n2wx9>=50;0xZ07534<268?=;%0gg?4382wx9><50;0xZ07634<268?>;%0gg?4392wx9>?50;0xZ07734<268??;%0gg?43:2wx9>>50;0xZ06a34<268>i;%0gg?43;2wx9?h50;0xZ06b34<268>j;%0gg?43<2wx9?k50;0xZ06e34<268>m;%0gg?43=2wx9?o50;0xZ06734<268>?;%0gg?43>2wx9<h50;0xZ1`234<269h:;%0gg?43?2wx9<:50;0xZ1`334<269h;;%0gg?4302wxm?l50;0xZd4e34<26l<m;%0gg?7ak2wxm?j50;0xZd4c34<26l<k;%0gg?7al2wxm8?50;0xZd3634<26l;>;%0gg?7am2wx85>50;0xZ11534<2699=;%0gg?4792wx8:k50;0xZ11634<2699>;%0gg?47:2wx8:j50;0xZ11734<2699?;%0gg?47;2wx8:m50;0xZ10a34<2698i;%0gg?47<2wx85750;0xZ11f34<2699n;%0gg?47=2wx85650;0xZ11>34<26996;%0gg?47>2wx85950;0xZ11?34<26997;%0gg?47?2wx85850;0xZ11034<26998;%0gg?4702wx85;50;0xZ11134<26999;%0gg?4712wx85:50;0xZ11234<2699:;%0gg?47j2wx85=50;0xZ11334<2699;;%0gg?47k2wx85<50;0xZ11434<2699<;%0gg?47l2wx85?50;0xZ10b34<2698j;%0gg?47m2wx8:l50;0xZ10c34<2698k;%0gg?47n2wx9;k50;0xZ02b34<268:j;%0gg?4682wx9;m50;0xZ02c34<268:k;%0gg?4692wx9;o50;0xZ02e34<268:m;%0gg?46:2wx9;750;0xZ02f34<268:n;%0gg?46;2wx9;650;0xZ02>34<268:6;%0gg?46<2wx9;950;0xZ02?34<268:7;%0gg?46>2wx9;850;0xZ02034<268:8;%0gg?46?2wx9;;50;0xZ02134<268:9;%0gg?4602wx9;:50;0xZ02234<268::;%0gg?4612wx9;=50;0xZ02334<268:;;%0gg?46i2wx9;<50;0xZ02434<268:<;%0gg?46j2wx9;?50;0xZ02534<268:=;%0gg?46k2wx98h50;0xZ02734<268:?;%0gg?46l2wx98k50;0xZ05a34<268=i;%0gg?46m2wx98j50;0xZ05b34<268=j;%0gg?46n2wx98m50;0xZ05c34<268=k;%0gg?4592wx98l50;0xZ05d34<268=l;%0gg?45:2wx98o50;0xZ05e34<268=m;%0gg?45;2wx98750;0xZ05f34<268=n;%0gg?45<2wx98650;0xZ05>34<268=6;%0gg?45=2wx98950;0xZ05?34<268=7;%0gg?45>2wx98850;0xZ05034<268=8;%0gg?45?2wx9:;50;0xZ03334<268;;;%0gg?4502wx9::50;0xZ03434<268;<;%0gg?4512wx9:=50;0xZ03534<268;=;%0gg?45i2wx9:<50;0xZ03634<268;>;%0gg?45k2wx9:?50;0xZ03734<268;?;%0gg?45l2wx9:>50;0xZ02a34<268:i;%0gg?45m2wx9;h50;0xZ02d34<268:l;%0gg?45n2wx9;l50;0xZ02634<268:>;%0gg?4482wx9;>50;0xZ05134<268=9;%0gg?4492wx98;50;0xZ05234<268=:;%0gg?44:2wx9:950;0xZ01034<26898;%0gg?44;2wx:ol50;0xZ3de34<26;lm;%0gg?44<2wvb?o=7;297~N5lm1vb?o=8;297~N5lm1vb?o=9;297~N5lm1vb?o=a;297~N5lm1vb?o=b;297~N5lm1vb?o=c;297~N5lm1vb?o=d;297~N5lm1vb?o=e;297~N5lm1vb?o=f;297~N5lm1vb?o<0;297~N5lm1vb?o<1;297~N5lm1vb?o<2;297~N5lm1vb?o<3;297~N5lm1vb?o<4;297~N5lm1vb?o<5;297~N5lm1vb?o<6;297~N5lm1vb?o<7;297~N5lm1vb?o<8;297~N5lm1vb?o<9;297~N5lm1vb?o<a;297~N5lm1vb?o<b;297~N5lm1vb?o<c;297~N5lm1vb?o<d;297~N5lm1vb?o<e;297~N5lm1vb?o<f;297~N5lm1vb?o;0;297~N5lm1vb?o;1;297~N5lm1vb?o;2;297~N5lm1vb?o;3;297~N5lm1vb?o;4;297~N5lm1vb?o;5;297~N5lm1vb?o;6;297~N5lm1vb?o;7;297~N5lm1vb?o;8;297~N5lm1vb?o;9;297~N5lm1vb?o;a;297~N5lm1vb?o;b;297~N5lm1vb?o;c;297~N5lm1vb?o;d;297~N5lm1vb?o;e;297~N5lm1vb?o;f;297~N5lm1vb?o:0;297~N5lm1vb?o:1;297~N5lm1vb?o:2;297~N5lm1vb?o:3;297~N5lm1vb?o:4;297~N5lm1vb?o:5;297~N5lm1vb?o:6;297~N5lm1vb?o:7;297~N5lm1vb?o:8;297~N5lm1vb?o:9;297~N5lm1vb?o:a;297~N5lm1vb?o:b;297~N5lm1vb?o:c;297~N5lm1vb?o:d;297~N5lm1vb?o:e;297~N5lm1vb?o:f;297~N5lm1vb?o90;297~N5lm1vb?o91;297~N5lm1vb?o92;297~N5lm1vb?o93;297~N5lm1vb?o94;297~N5lm1vb?o95;297~N5lm1vb?o96;297~N5lm1vb?o97;297~N5lm1vb?o98;297~N5lm1vb?o99;297~N5lm1vb?o9a;297~N5lm1vb?o9b;297~N5lm1vb?o9c;297~N5lm1vb?o9d;297~N5lm1vb?o9e;297~N5lm1vb?o9f;297~N5lm1vb?o80;297~N5lm1vb?o81;297~N5lm1vb?o82;297~N5lm1vb?o83;297~N5lm1vb?o84;297~N5lm1vb?o85;297~N5lm1vb?o86;297~N5lm1vb?o87;297~N5lm1vb?o88;297~N5lm1vb?o89;297~N5lm1vb?o8a;297~N5lm1vb?7:4;295~N5lm1vb?7:c;2960}O:mn0qc<65983>4}O:mn0qc<65e83>4}O:mn0qc<65g83>4}O:mn0qc<66283>4}O:mn0qc<66483>4}O:mn0qc<66783>4}O:mn0qc<66683>4}O:mn0qc<6b383>4}O:mn0qc<6b283>4}O:mn0qc<6b583>4}O:mn0qc<6b483>4}O:mn0qc<6b783>4}O:mn0qc<6b683>4}O:mn0qc<6b983>4}O:mn0qc<6b883>4}O:mn0qc<6b`83>4}O:mn0qc<6bc83>4}O:mn0qc<6bb83>4}O:mn0qc<6be83>4}O:mn0qc<6bd83>4}O:mn0qc<6bg83>4}O:mn0qc<6e183>4}O:mn0qc<6e083>4}O:mn0qc<6e383>4}O:mn0qc<6e283>4}O:mn0qc<6e583>4}O:mn0qc<6e483>4}O:mn0qc<6e783>4}O:mn0qc<6e683>4}O:mn0qc<6e983>4}O:mn0qc<6e883>4}O:mn0qc<6e`83>4}O:mn0qc<6ec83>4}O:mn0qc<6eb83>4}O:mn0qc<6ee83>4}O:mn0qc<6ed83>4}O:mn0qc<6eg83>4}O:mn0qc<6f183>4}O:mn0qc<6f083>4}O:mn0qc<6f383>4}O:mn0qc<6f283>4}O:mn0qc<6f583>4}O:mn0qc<6f483>4}O:mn0qc<6f783>4}O:mn0qc<6f683>4}O:mn0qc<6f983>4}O:mn0qc<6f883>4}O:mn0qc<6f`83>4}O:mn0qc<6fc83>4}O:mn0qc<6fb83>4}O:mn0qc<6fe83>4}O:mn0qc<6fd83>4}O:mn0qc<6fg83>4}O:mn0qc<n0183>4}O:mn0qc<n0083>4}O:mn0qc<n0383>4}O:mn0qc<n0283>4}O:mn0qc<n0583>4}O:mn0qc<n0483>4}O:mn0qc<n0783>4}O:mn0qc<n0683>4}O:mn0qc<n0983>4}O:mn0qc<n0883>4}O:mn0qc<n0`83>4}O:mn0qc<n0c83>4}O:mn0qc<n0b83>4}O:mn0qc<n0e83>4}O:mn0qc<n0d83>4}O:mn0qc<n0g83>4}O:mn0qc<n1183>4}O:mn0qc<n1083>4}O:mn0qc<n1383>4}O:mn0qc<n1283>4}O:mn0qc<n1583>4}O:mn0qc<n1483>4}O:mn0qc<n1783>4}O:mn0qc<n1683>4}O:mn0qc<n1983>4}O:mn0qc<n1883>4}O:mn0qc<n1`83>4}O:mn0qc<n1c83>4}O:mn0qc<n1b83>4}O:mn0qc<n1e83>4}O:mn0qc<n1d83>4}O:mn0qc<n1g83>4}O:mn0qc<n2183>4}O:mn0qc<n2083>4}O:mn0qc<n2383>4}O:mn0qc<n2283>4}O:mn0qc<n2583>4}O:mn0qc<n2483>4}O:mn0qc<:a683>4}O:mn0qc<:ad83>4}O:mn0qc<:bd83>4}O:mn0qc<:bg83>4}O:mn0qc<:c183>4}O:mn0qc<:c083>4}O:mn0qc<:c383>4}O:mn0qc<:c283>4}O:mn0qc<:c583>4}O:mn0qc<:c483>4}O:mn0qc<:c783>4}O:mn0qc<:c683>4}O:mn0qc<:c983>4}O:mn0qc<:c883>4}O:mn0qc<:c`83>4}O:mn0qc<:cc83>4}O:mn0qc<:cb83>4}O:mn0qc<:ce83>4}O:mn0qc<:cd83>4}O:mn0qc<:cg83>4}O:mn0qc<:d183>4}O:mn0qc<:d083>4}O:mn0qc<:d383>4}O:mn0qc<:d283>4}O:mn0qc<:d583>4}O:mn0qc<:d483>4}O:mn0qc<:d783>4}O:mn0qc<:d683>4}O:mn0qc<:d983>4}O:mn0qc<:d883>4}O:mn0qc<:d`83>4}O:mn0qc<:dc83>4}O:mn0qc<:db83>4}O:mn0qc<:de83>4}O:mn0qc<:dd83>4}O:mn0qc<:dg83>4}O:mn0qc<:e183>4}O:mn0qc<:e083>4}O:mn0qc<:e383>4}O:mn0qc<:e283>4}O:mn0qc<:e583>4}O:mn0qc<:e483>4}O:mn0qc<:e783>4}O:mn0qc<:e683>4}O:mn0qc<:e983>4}O:mn0qc<:e883>4}O:mn0qc<:e`83>4}O:mn0qc<:ec83>4}O:mn0qc<:eb83>4}O:mn0qc<:ee83>4}O:mn0qc<:ed83>4}O:mn0qc<:eg83>4}O:mn0qc<:f183>4}O:mn0qc<:f083>4}O:mn0qc<:f383>4}O:mn0qc<:f283>4}O:mn0qc<:f583>4}O:mn0qc<:f483>4}O:mn0qc<:f783>4}O:mn0qc<:f683>4}O:mn0qc<:f983>4}O:mn0qc<:f883>4}O:mn0qc<:f`83>4}O:mn0qc<:fc83>4}O:mn0qc<:fb83>4}O:mn0qc<:fe83>4}O:mn0qc<:fd83>4}O:mn0qc<:fg83>4}O:mn0qc<90183>4}O:mn0qc<90083>4}O:mn0qc<90383>4}O:mn0qc<90283>4}O:mn0qc<94583>4}O:mn0qc<94483>4}O:mn0qc<94783>4}O:mn0qc<94683>4}O:mn0qc<94983>4}O:mn0qc<94883>4}O:mn0qc<94`83>4}O:mn0qc<94c83>4}O:mn0qc<94b83>4}O:mn0qc<94e83>4}O:mn0qc<94d83>4}O:mn0qc<94g83>4}O:mn0qc<95183>4}O:mn0qc<95083>4}O:mn0qc<95383>4}O:mn0qc<95283>4}O:mn0qc<95583>4}O:mn0qc<95483>4}O:mn0qc<95783>4}O:mn0qc<95683>4}O:mn0qc<95983>4}O:mn0qc<95883>4}O:mn0qc<95`83>4}O:mn0qc<95c83>4}O:mn0qc<95b83>4}O:mn0qc<95e83>4}O:mn0qc<95d83>4}O:mn0qc<95g83>4}O:mn0qc<96183>4}O:mn0qc<96083>4}O:mn0qc<96383>4}O:mn0qc<96283>4}O:mn0qc<96583>4}O:mn0qc<96483>4}O:mn0qc<96783>4}O:mn0qc<96683>4}O:mn0qc<96983>4}O:mn0qc<96883>4}O:mn0qc<96`83>4}O:mn0qc<96c83>4}O:mn0qc<96b83>4}O:mn0qc<96e83>4}O:mn0qc<96d83>4}O:mn0qc<96g83>4}O:mn0qc<97183>4}O:mn0qc<97083>4}O:mn0qc<97383>4}O:mn0qc<97283>4}O:mn0qc<97583>4}O:mn0qc<97483>4}O:mn0qc<97783>4}O:mn0qc<97683>4}O:mn0qc<97983>4}O:mn0qc<97883>4}O:mn0qc<97`83>4}O:mn0qc<97c83>4}O:mn0qc<97b83>4}O:mn0qc<97e83>4}O:mn0qc<97d83>4}O:mn0qc<97g83>4}O:mn0qc<98183>4}O:mn0qc<98083>4}O:mn0qc<98383>4}O:mn0qc<98283>4}O:mn0qc<98583>4}O:mn0qc<98483>4}O:mn0qc<98783>4}O:mn0qc<98683>4}O:mn0qc<98983>4}O:mn0qc<98883>4}O:mn0qc<98`83>4}O:mn0qc<98c83>4}O:mn0qc<98b83>4}O:mn0qc<98e83>4}O:mn0qc<98d83>4}O:mn0qc<98g83>4}O:mn0qc<99183>4}O:mn0qc<99083>4}O:mn0qc<99383>4}O:mn0qc<99283>4}O:mn0qc<99583>4}O:mn0qc<99483>4}O:mn0qc<99783>4}O:mn0qc<99683>4}O:mn0qc<99983>4}O:mn0qc<99883>4}O:mn0qc<99`83>4}O:mn0qc<99c83>4}O:mn0qc<99b83>4}O:mn0qc<99e83>4}O:mn0qc<99d83>4}O:mn0qc<99g83>4}O:mn0qc<9a183>4}O:mn0qc<9a083>4}O:mn0qc<9a383>4}O:mn0qc<9a283>4}O:mn0qc<9a583>4}O:mn0qc<9a483>4}O:mn0qc<9a783>4}O:mn0qc<9a683>4}O:mn0qc<9a983>4}O:mn0qc<9a883>4}O:mn0qc<9a`83>4}O:mn0qc<9ac83>4}O:mn0qc<9ab83>4}O:mn0qc<9ae83>4}O:mn0qc<9ad83>4}O:mn0qc<9ag83>4}O:mn0qc<9b183>4}O:mn0qc<9b083>4}O:mn0qc<9b383>4}O:mn0qc<9b283>4}O:mn0qc<9b583>4}O:mn0qc<9b483>4}O:mn0qc<9b783>4}O:mn0qc<9b683>4}O:mn0qc<9b983>4}O:mn0qc<9b883>4}O:mn0qc<9b`83>4}O:mn0qc<9bc83>4}O:mn0qc<9bb83>4}O:mn0qc<9be83>4}O:mn0qc<9bd83>4}O:mn0qc<9bg83>4}O:mn0qc<9c183>4}O:mn0qc<9c083>4}O:mn0qc<9c383>4}O:mn0qc<9c283>4}O:mn0qc<9c583>4}O:mn0qc<9c483>4}O:mn0qc<9c783>4}O:mn0qc<9c683>4}O:mn0qc<9c983>4}O:mn0qc<9c883>4}O:mn0qc<9c`83>4}O:mn0qc<9cc83>4}O:mn0qc<9cb83>4}O:mn0qc<9ce83>4}O:mn0qc<9cd83>4}O:mn0qc<9cg83>4}O:mn0qc<9d183>4}O:mn0qc<9d083>4}O:mn0qc<9d383>4}O:mn0qc<9d283>4}O:mn0qc<9d583>4}O:mn0qc<9d483>4}O:mn0qc<9d783>4}O:mn0qc<9d683>4}O:mn0qc<9d983>4}O:mn0qc<9d883>4}O:mn0qc<9d`83>4}O:mn0qc<9dc83>4}O:mn0qc<9db83>4}O:mn0qc<9de83>4}O:mn0qc<9dd83>4}O:mn0qc<9dg83>4}O:mn0qc<9e183>4}O:mn0qc<9e083>4}O:mn0qc<9e383>4}O:mn0qc<9e283>4}O:mn0qc<9e583>4}O:mn0qc<9e483>4}O:mn0qc<9e783>4}O:mn0qc<9e683>4}O:mn0qc<9e983>4}O:mn0qc<9e883>4}O:mn0qc<9e`83>4}O:mn0qc<9ec83>4}O:mn0qc<9eb83>4}O:mn0qc<9ee83>4}O:mn0qc<9ed83>4}O:mn0qc<9eg83>4}O:mn0qc<9f183>4}O:mn0qc<9f083>4}O:mn0qc<9f383>4}O:mn0qc<9f283>4}O:mn0qc<9f583>4}O:mn0qc<9f483>4}O:mn0qc<9f783>4}O:mn0qc<9f683>4}O:mn0qc<9f983>4}O:mn0qc<9f883>4}O:mn0qc<9f`83>4}O:mn0qc<9fc83>4}O:mn0qc<9fb83>4}O:mn0qc<9fe83>4}O:mn0qc<9fd83>4}O:mn0qc<9fg83>4}O:mn0qc<80183>4}O:mn0qc<80083>4}O:mn0qc<80383>4}O:mn0qc<80283>4}O:mn0qc<80583>4}O:mn0qc<80483>4}O:mn0qc<80783>4}O:mn0qc<80683>4}O:mn0qc<80983>4}O:mn0qc<80883>4}O:mn0qc<80`83>4}O:mn0qc<80c83>4}O:mn0qc<80b83>4}O:mn0qc<80e83>4}O:mn0qc<80d83>4}O:mn0qc<80g83>4}O:mn0qc<81183>4}O:mn0qc<81083>4}O:mn0qc<81383>4}O:mn0qc<81283>4}O:mn0qc<81583>4}O:mn0qc<81483>4}O:mn0qc<81783>4}O:mn0qc<81683>4}O:mn0qc<81983>4}O:mn0qc<81883>4}O:mn0qc<81`83>4}O:mn0qc<81c83>4}O:mn0qc<81b83>4}O:mn0qc<81e83>4}O:mn0qc<81d83>4}O:mn0qc<81g83>4}O:mn0qc<82183>4}O:mn0qc<82083>4}O:mn0qc<82383>4}O:mn0qc<82283>4}O:mn0qc<82583>4}O:mn0qc<82483>4}O:mn0qc<82783>4}O:mn0qc<82683>4}O:mn0qc<82983>4}O:mn0qc<82883>4}O:mn0qc<82`83>4}O:mn0qc<82c83>4}O:mn0qc<82b83>4}O:mn0qc<82e83>4}O:mn0qc<82d83>4}O:mn0qc<82g83>4}O:mn0qc<83183>4}O:mn0qc<83083>4}O:mn0qc<83383>4}O:mn0qc<83283>4}O:mn0qc<83583>4}O:mn0qc<83483>4}O:mn0qc<83783>4}O:mn0qc<83683>4}O:mn0qc<83983>4}O:mn0qc<83883>4}O:mn0qc<83`83>4}O:mn0qc<83c83>4}O:mn0qc<83b83>4}O:mn0qc<83e83>4}O:mn0qc<83d83>4}O:mn0qc<83g83>4}O:mn0qc<84183>4}O:mn0qc<84083>4}O:mn0qc<84383>4}O:mn0qc<84283>4}O:mn0qc<84583>4}O:mn0qc<84483>4}O:mn0qc<84783>4}O:mn0qc<84683>4}O:mn0qc<84983>4}O:mn0qc<84883>4}O:mn0qc<84`83>4}O:mn0qc<84c83>4}O:mn0qc<84b83>4}O:mn0qc<84e83>4}O:mn0qc<84d83>4}O:mn0qc<84g83>4}O:mn0qc<85183>4}O:mn0qc<85083>4}O:mn0qc<85383>4}O:mn0qc<85283>4}O:mn0qc<85583>4}O:mn0qc<85483>4}O:mn0qc<85783>4}O:mn0qc<85683>4}O:mn0qc<85983>4}O:mn0qc<85883>4}O:mn0qc<85`83>4}O:mn0qc<85c83>4}O:mn0qc<85b83>4}O:mn0qc<85e83>4}O:mn0qc<85d83>4}O:mn0qc<85g83>4}O:mn0qc<86183>4}O:mn0qc<86083>4}O:mn0qc<86383>4}O:mn0qc<86283>4}O:mn0qc<86583>4}O:mn0qc<86483>4}O:mn0qc<86783>4}O:mn0qc<86683>4}O:mn0qc<86983>4}O:mn0qc<86883>4}O:mn0qc<86`83>4}O:mn0qc<86c83>4}O:mn0qc<86b83>4}O:mn0qc<86e83>4}O:mn0qc<86d83>4}O:mn0qc<86g83>4}O:mn0qc<87183>4}O:mn0qc<87083>4}O:mn0qc<87383>4}O:mn0qc<87283>4}O:mn0qc<87583>4}O:mn0qc<87483>4}O:mn0qc<87783>4}O:mn0qc<87683>4}O:mn0qc<87983>4}O:mn0qc<87883>4}O:mn0qc<87`83>4}O:mn0qc<87c83>4}O:mn0qc<87b83>4}O:mn0qc<87e83>4}O:mn0qc<87d83>4}O:mn0qc<87g83>4}O:mn0qc<88183>4}O:mn0qc<88083>4}O:mn0qc<88383>4}O:mn0qc<88283>4}O:mn0qc<88583>4}O:mn0qc<88483>4}O:mn0qc<88783>4}O:mn0qc<88683>4}O:mn0qc<88983>4}O:mn0qc<88883>4}O:mn0qc<88`83>4}O:mn0qc<88c83>4}O:mn0qc<88b83>4}O:mn0qc<88e83>4}O:mn0qc<88d83>4}O:mn0qc<88g83>4}O:mn0qc<89183>4}O:mn0qc<89083>4}O:mn0qc<89383>4}O:mn0qc<89283>4}O:mn0qc<89583>4}O:mn0qc<89483>4}O:mn0qc<89783>4}O:mn0qc<89683>4}O:mn0qc<89983>4}O:mn0qc<89883>4}O:mn0qc<89`83>4}O:mn0qc<89c83>4}O:mn0qc<89b83>4}O:mn0qc<89e83>4}O:mn0qc<89d83>4}O:mn0qc<89g83>4}O:mn0qc<8a183>4}O:mn0qc<8a083>4}O:mn0qc<8a383>4}O:mn0qc<8a283>4}O:mn0qc<8a583>4}O:mn0qc<8a483>4}O:mn0qc<8a783>4}O:mn0qc<8a683>4}O:mn0qc<8a983>4}O:mn0qc<8a883>4}O:mn0qc<8a`83>4}O:mn0qc<8ac83>4}O:mn0qc<8ab83>4}O:mn0qc<8ae83>4}O:mn0qc<8ad83>4}O:mn0qc<8ag83>4}O:mn0qc<8b183>4}O:mn0qc<8b083>4}O:mn0qc<8b383>4}O:mn0qc<8b283>4}O:mn0qc<8b583>4}O:mn0qc<8b483>4}O:mn0qc<8b783>4}O:mn0qc<8b683>4}O:mn0qc<8b983>4}O:mn0qc<8b883>4}O:mn0qc<8b`83>4}O:mn0qc<8bc83>4}O:mn0qc<8bb83>4}O:mn0qc<8be83>4}O:mn0qc<8bd83>4}O:mn0qc<8bg83>4}O:mn0qc<8c183>4}O:mn0qc<8c083>4}O:mn0qc<8c383>4}O:mn0qc<8c283>4}O:mn0qc<8c583>4}O:mn0qc<8c483>4}O:mn0qc<8c783>4}O:mn0qc<8c683>4}O:mn0qc<8c983>4}O:mn0qc<8c883>4}O:mn0qc<8c`83>4}O:mn0qc<8cc83>4}O:mn0qc<8cb83>4}O:mn0qc<8ce83>4}O:mn0qc<8cd83>4}O:mn0qc<8cg83>4}O:mn0qc<8d183>4}O:mn0qc<8d083>4}O:mn0qc<8d383>4}O:mn0qc<8d283>4}O:mn0qc<8d583>4}O:mn0qc<8d483>4}O:mn0qc<8d783>4}O:mn0qc<8d683>4}O:mn0qc<8d983>4}O:mn0qc<8d883>4}O:mn0qc<8d`83>4}O:mn0qc<8dc83>4}O:mn0qc<8db83>4}O:mn0qc<8de83>4}O:mn0qc<8dd83>4}O:mn0qc<8dg83>4}O:mn0qc<8e183>4}O:mn0qc<8e083>4}O:mn0qc<8e383>4}O:mn0qc<8e283>4}O:mn0qc<8e583>4}O:mn0qc<8e483>4}O:mn0qc<8e783>4}O:mn0qc<8e683>4}O:mn0qc<8e983>4}O:mn0qc<8e883>4}O:mn0qc<8e`83>4}O:mn0qc<8ec83>4}O:mn0qc<8eb83>4}O:mn0qc<8ee83>4}O:mn0qc<8ed83>4}O:mn0qc<8eg83>4}O:mn0qc<8f183>4}O:mn0qc<8f083>4}O:mn0qc<8f383>4}O:mn0qc<8f283>4}O:mn0qc<8f583>4}O:mn0qc<8f483>4}O:mn0qc<8f783>4}O:mn0qc<8f683>4}O:mn0qc<8f983>4}O:mn0qc<8f883>4}O:mn0qc<8f`83>4}O:mn0qc<8fc83>4}O:mn0qc<8fb83>4}O:mn0qc<8fe83>4}O:mn0qc<8fd83>4}O:mn0qc<8fg83>4}O:mn0qc<70183>4}O:mn0qc<70083>4}O:mn0qc<70383>4}O:mn0qc<70283>4}O:mn0qc<70583>4}O:mn0qc<70483>4}O:mn0qc<70783>4}O:mn0qc<70683>4}O:mn0qc<70983>4}O:mn0qc<70883>4}O:mn0qc<70`83>4}O:mn0qc<70c83>4}O:mn0qc<70b83>4}O:mn0qc<70e83>4}O:mn0qc<70d83>4}O:mn0qc<70g83>4}O:mn0qc<71183>4}O:mn0qc<71083>4}O:mn0qc<71383>4}O:mn0qc<71283>4}O:mn0qc<71583>4}O:mn0qc<71483>4}O:mn0qc<71783>4}O:mn0qc<71683>4}O:mn0qc<71983>4}O:mn0qc<71883>4}O:mn0qc<71`83>4}O:mn0qc<71c83>4}O:mn0qc<71b83>4}O:mn0qc<71e83>4}O:mn0qc<71d83>4}O:mn0qc<71g83>4}O:mn0qc<72183>4}O:mn0qc<72083>4}O:mn0qc<72383>4}O:mn0qc<72283>4}O:mn0qc<72583>4}O:mn0qc<72483>4}O:mn0qc<72783>4}O:mn0qc<72683>4}O:mn0qc<72983>4}O:mn0qc<72883>4}O:mn0qc<72`83>4}O:mn0qc<72c83>4}O:mn0qc<72b83>4}O:mn0qc<72e83>4}O:mn0qc<72d83>4}O:mn0qc<72g83>4}O:mn0qc<73183>4}O:mn0qc<73083>4}O:mn0qc<73383>4}O:mn0qc<73283>4}O:mn0qc<73583>4}O:mn0qc<73483>4}O:mn0qc<73783>4}O:mn0qc<73683>4}O:mn0qc<73983>4}O:mn0qc<73883>4}O:mn0qc<73`83>4}O:mn0qc<73c83>4}O:mn0qc<73b83>4}O:mn0qc<73e83>4}O:mn0qc<73d83>4}O:mn0qc<73g83>4}O:mn0qc<74183>4}O:mn0qc<74083>4}O:mn0qc<74383>4}O:mn0qc<74283>4}O:mn0qc<74583>4}O:mn0qc<74483>4}O:mn0qc<74783>4}O:mn0qc<74683>4}O:mn0qc<74983>4}O:mn0qc<74883>4}O:mn0qc<74`83>4}O:mn0qc<74c83>4}O:mn0qc<74b83>4}O:mn0qc<74e83>4}O:mn0qc<74d83>4}O:mn0qc<74g83>4}O:mn0qc<75183>4}O:mn0qc<75083>4}O:mn0qc<75383>4}O:mn0qc<75283>4}O:mn0qc<75583>4}O:mn0qc<75483>4}O:mn0qc<75783>4}O:mn0qc<75683>4}O:mn0qc<75983>4}O:mn0qc<75883>4}O:mn0qc<75`83>4}O:mn0qc<75c83>4}O:mn0qc<75b83>4}O:mn0qc<75e83>4}O:mn0qc<75d83>4}O:mn0qc<75g83>4}O:mn0qc<76183>4}O:mn0qc<76083>4}O:mn0qc<76383>4}O:mn0qc<76283>4}O:mn0qc<76583>4}O:mn0qc<76483>4}O:mn0qc<76783>4}O:mn0qc<76683>4}O:mn0qc<76983>4}O:mn0qc<76883>4}O:mn0qc<76`83>4}O:mn0qc<76c83>4}O:mn0qc<76b83>4}O:mn0qc<76e83>4}O:mn0qc<76d83>4}O:mn0qc<76g83>4}O:mn0qc<77183>4}O:mn0qc<77083>4}O:mn0qc<77383>4}O:mn0qc<77283>4}O:mn0qc<77583>4}O:mn0qc<77483>4}O:mn0qc<77783>4}O:mn0qc<77683>4}O:mn0qc<77983>4}O:mn0qc<77883>4}O:mn0qc<77`83>4}O:mn0qc<77c83>4}O:mn0qc<77b83>4}O:mn0qc<77e83>4}O:mn0qc<77d83>4}O:mn0qc<77g83>4}O:mn0qc<78183>4}O:mn0qc<78083>4}O:mn0qc<78383>4}O:mn0qc<78283>4}O:mn0qc<78583>4}O:mn0qc<78483>4}O:mn0qc<78783>4}O:mn0qc<78683>4}O:mn0qc<78983>4}O:mn0qc<78883>4}O:mn0qc<78`83>4}O:mn0qc<78c83>4}O:mn0qc<78b83>4}O:mn0qc<78e83>4}O:mn0qc<78d83>4}O:mn0qc<78g83>4}O:mn0qc<79183>4}O:mn0qc<79083>4}O:mn0qc<79383>4}O:mn0qc<79283>4}O:mn0qc<79583>4}O:mn0qc<79483>4}O:mn0qc<79783>4}O:mn0qc<79683>4}O:mn0qc<79983>4}O:mn0qc<79883>4}O:mn0qc<79`83>4}O:mn0qc<79c83>4}O:mn0qc<79b83>4}O:mn0qc<79e83>4}O:mn0qc<79d83>4}O:mn0qc<79g83>4}O:mn0qc<7a183>4}O:mn0qc<7a083>4}O:mn0qc<7a383>4}O:mn0qc<7a283>4}O:mn0qc<7a583>4}O:mn0qc<7a483>4}O:mn0qc<7a783>4}O:mn0qc<7a683>4}O:mn0qc<7a983>4}O:mn0qc<7a883>4}O:mn0qc<7a`83>4}O:mn0qc<7ac83>4}O:mn0qc<7ab83>4}O:mn0qc<7ae83>4}O:mn0qc<7ad83>4}O:mn0qc<7ag83>4}O:mn0qc<7b183>4}O:mn0qc<7b083>4}O:mn0qc<7b383>4}O:mn0qc<7b283>4}O:mn0qc<7b583>4}O:mn0qc<7b483>4}O:mn0qc<7b783>4}O:mn0qc<7b683>4}O:mn0qc<7b983>4}O:mn0qc<7b883>4}O:mn0qc<7b`83>4}O:mn0qc<7bc83>4}O:mn0qc<7bb83>4}O:mn0qc<7be83>4}O:mn0qc<7bd83>4}O:mn0qc<7bg83>4}O:mn0qc<7c183>4}O:mn0qc<7c083>4}O:mn0qc<7c383>4}O:mn0qc<7c283>4}O:mn0qc<7c583>4}O:mn0qc<7c483>4}O:mn0qc<7c783>4}O:mn0qc<7c683>4}O:mn0qc<7c983>4}O:mn0qc<7c883>4}O:mn0qc<7c`83>4}O:mn0qc<7cc83>4}O:mn0qc<7cb83>4}O:mn0qc<7ce83>4}O:mn0qc<7cd83>4}O:mn0qc<7cg83>4}O:mn0qc<7d183>4}O:mn0qc<7d083>4}O:mn0qc<7d383>4}O:mn0qc<7d283>4}O:mn0qc<7d583>4}O:mn0qc<7d483>4}O:mn0qc<7d783>4}O:mn0qc<7d683>4}O:mn0qc<7d983>4}O:mn0qc<7d883>4}O:mn0qc<7d`83>4}O:mn0qc<7dc83>4}O:mn0qc<7db83>4}O:mn0qc<7de83>4}O:mn0qc<7dd83>4}O:mn0qc<7dg83>4}O:mn0qc<7e183>4}O:mn0qc<7e083>4}O:mn0qc<7e383>4}O:mn0qc<7e283>4}O:mn0qc<7e583>4}O:mn0qc<7e483>4}O:mn0qc<7e783>4}O:mn0qc<7e683>4}O:mn0qc<7e983>4}O:mn0qc<7e883>4}O:mn0qc<7e`83>4}O:mn0qc<7ec83>4}O:mn0qc<7eb83>4}O:mn0qc<7ee83>4}O:mn0qc<7ed83>4}O:mn0qc<7eg83>4}O:mn0qc<7f183>4}O:mn0qc<7f083>4}O:mn0qc<7f383>4}O:mn0qc<7f283>4}O:mn0qc<7f583>4}O:mn0qc<7f483>4}O:mn0qc<7f783>4}O:mn0qc<7f683>4}O:mn0qc<7f983>4}O:mn0qc<7f883>4}O:mn0qc<7f`83>4}O:mn0qc<7fc83>4}O:mn0qc<7fb83>4}O:mn0qc<7fe83>4}O:mn0qc<7fd83>4}O:mn0qc<7fg83>4}O:mn0qc<60183>4}O:mn0qc<60083>4}O:mn0qc<60383>4}O:mn0qc<60283>4}O:mn0qc<60583>4}O:mn0qc<60483>4}O:mn0qc<60783>4}O:mn0qc<60683>4}O:mn0qc<60983>4}O:mn0qc<60883>4}O:mn0qc<60`83>4}O:mn0qc<60c83>4}O:mn0qc<60b83>4}O:mn0qc<60e83>4}O:mn0qc<60d83>4}O:mn0qc<60g83>4}O:mn0qc<61183>4}O:mn0qc<61083>4}O:mn0qc<61383>4}O:mn0qc<61283>4}O:mn0qc<61583>4}O:mn0qc<61483>4}O:mn0qc<61783>4}O:mn0qc<61683>4}O:mn0qc<61983>4}O:mn0qc<61883>4}O:mn0qc<61`83>4}O:mn0qc<61c83>4}O:mn0qc<61b83>4}O:mn0qc<61e83>4}O:mn0qc<61d83>4}O:mn0qc<61g83>4}O:mn0qc<62183>4}O:mn0qc<62083>4}O:mn0qc<62383>4}O:mn0qc<62283>4}O:mn0qc<62583>4}O:mn0qc<62483>4}O:mn0qc<62783>4}O:mn0qc<62683>4}O:mn0qc<62983>4}O:mn0qc<62883>4}O:mn0qc<62`83>4}O:mn0qc<62c83>4}O:mn0qc<62b83>4}O:mn0qc<62e83>4}O:mn0qc<62d83>4}O:mn0qc<62g83>4}O:mn0qc<63183>4}O:mn0qc<63083>4}O:mn0qc<63383>4}O:mn0qc<63283>4}O:mn0qc<63583>4}O:mn0qc<63483>4}O:mn0qc<63783>4}O:mn0qc<63683>4}O:mn0qc<63983>4}O:mn0qc<63883>4}O:mn0qc<63`83>4}O:mn0qc<63c83>4}O:mn0qc<63b83>4}O:mn0qc<63e83>4}O:mn0qc<63d83>4}O:mn0qc<63g83>4}O:mn0qc<64183>4}O:mn0qc<64083>4}O:mn0qc<64383>4}O:mn0qc<64283>4}O:mn0qc<64583>4}O:mn0qc<64483>4}O:mn0qc<64783>4}O:mn0qc<64683>4}O:mn0qc<64983>4}O:mn0qc<64883>4}O:mn0qc<64`83>4}O:mn0qc<64c83>4}O:mn0qc<64b83>4}O:mn0qc<64e83>4}O:mn0qc<64d83>4}O:mn0qc<64g83>4}O:mn0qc<n2783>4}O:mn0qpsr@AAx6`>6219j4<j68|BCF~6zHIZpqMN
\ No newline at end of file
diff --git a/lvl1_fifo.vhd b/lvl1_fifo.vhd
new file mode 100644 (file)
index 0000000..45f0ef8
--- /dev/null
@@ -0,0 +1,144 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           --
+--     solely for design, simulation, implementation and creation of          --
+--     design files limited to Xilinx devices or technologies. Use            --
+--     with non-Xilinx devices or technologies is expressly prohibited        --
+--     and immediately terminates your license.                               --
+--                                                                            --
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
+--     FOR A PARTICULAR PURPOSE.                                              --
+--                                                                            --
+--     Xilinx products are not intended for use in life support               --
+--     appliances, devices, or systems. Use in such applications are          --
+--     expressly prohibited.                                                  --
+--                                                                            --
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
+--     All rights reserved.                                                   --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file lvl1_fifo.vhd when simulating
+-- the core, lvl1_fifo. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY lvl1_fifo IS
+       port (
+       clk: IN std_logic;
+       din: IN std_logic_VECTOR(31 downto 0);
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_en: IN std_logic;
+       data_count: OUT std_logic_VECTOR(13 downto 0);
+       dout: OUT std_logic_VECTOR(31 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+END lvl1_fifo;
+
+ARCHITECTURE lvl1_fifo_a OF lvl1_fifo IS
+-- synthesis translate_off
+component wrapped_lvl1_fifo
+       port (
+       clk: IN std_logic;
+       din: IN std_logic_VECTOR(31 downto 0);
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_en: IN std_logic;
+       data_count: OUT std_logic_VECTOR(13 downto 0);
+       dout: OUT std_logic_VECTOR(31 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic);
+end component;
+
+-- Configuration specification 
+       for all : wrapped_lvl1_fifo use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+               generic map(
+                       c_rd_freq => 100,
+                       c_wr_response_latency => 1,
+                       c_has_srst => 0,
+                       c_has_rd_data_count => 0,
+                       c_din_width => 32,
+                       c_has_wr_data_count => 0,
+                       c_implementation_type => 0,
+                       c_family => "virtex4",
+                       c_has_wr_rst => 0,
+                       c_wr_freq => 100,
+                       c_underflow_low => 0,
+                       c_has_meminit_file => 0,
+                       c_has_overflow => 0,
+                       c_preload_latency => 1,
+                       c_dout_width => 32,
+                       c_rd_depth => 16384,
+                       c_default_value => "BlankString",
+                       c_mif_file_name => "BlankString",
+                       c_has_underflow => 0,
+                       c_has_rd_rst => 0,
+                       c_has_almost_full => 0,
+                       c_has_rst => 1,
+                       c_data_count_width => 14,
+                       c_has_wr_ack => 0,
+                       c_use_ecc => 0,
+                       c_wr_ack_low => 0,
+                       c_common_clock => 1,
+                       c_rd_pntr_width => 14,
+                       c_has_almost_empty => 0,
+                       c_rd_data_count_width => 14,
+                       c_enable_rlocs => 0,
+                       c_wr_pntr_width => 14,
+                       c_overflow_low => 0,
+                       c_prog_empty_type => 0,
+                       c_optimization_mode => 0,
+                       c_wr_data_count_width => 14,
+                       c_preload_regs => 0,
+                       c_dout_rst_val => "0",
+                       c_has_data_count => 1,
+                       c_prog_full_thresh_negate_val => 16381,
+                       c_wr_depth => 16384,
+                       c_prog_empty_thresh_negate_val => 3,
+                       c_prog_empty_thresh_assert_val => 2,
+                       c_has_valid => 0,
+                       c_init_wr_pntr_val => 0,
+                       c_prog_full_thresh_assert_val => 16382,
+                       c_use_fifo16_flags => 0,
+                       c_has_backup => 0,
+                       c_valid_low => 0,
+                       c_prim_fifo_type => "8kx4",
+                       c_count_type => 0,
+                       c_prog_full_type => 0,
+                       c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_lvl1_fifo
+               port map (
+                       clk => clk,
+                       din => din,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_en => wr_en,
+                       data_count => data_count,
+                       dout => dout,
+                       empty => empty,
+                       full => full);
+-- synthesis translate_on
+
+END lvl1_fifo_a;
+
diff --git a/ram16x1D_virtex.ngc b/ram16x1D_virtex.ngc
new file mode 100644 (file)
index 0000000..62445d3
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$efx57=#Zl|b\7fdaa:!3-576',=1;<=><;0,355=6:3CE\XZ5D=32>586;2;96B[[PTV9@UI;980;2<=4138LQQVR\3Y[C1?>:1<f?1<NFY__6L2<:1<25>2=AGZ^X7J_R@>0>58a3=|gt9>if037<(77<2K#<$:4A)3*0>G/: >0M%=&2:C36>G6:2K9>6O<4:C?4;2<I5;586O32?48E95=87>0M1=14:@V552<J\;886LZ1768FP7><2H^>?:4BT060>DR:1>0NX=>4:@V712<J\9<86LZ4118FP1?3K_XSD@IO29@HN?<KFGFEYZJD69@V@GSMM;27NQKIRV\I@KX^>U: -M`rdefj%7<L=1O$=';;E*2-0=C 8:"96J'10+7?A.5!=1O$>';;E*7-1=C <#?7I&9)59G,2/33M"3%95K(8+7?A:76<1O0<>17:F?54<76<1O0<?14:F?5;2<L58586J33?68@929<2N793:4D=4=0>B;?7>0H1614:F?=;5<LYE=7I^@(1+5?AVH 8#<7I^@(02*3>BWG!;:%;5KPN*1-3=CXF"8%;5KPN*7-3=CXF">%;5KPN*5-3=CXF"<%;5KPN*;-3=CXF"2%;5KPN>3:2=CXF6:<374DQM?54<76>1O\B2>1?48@UI;97<0H]A32?48@UI;;7<0H]A34?48@UI;=7<0H]A36?48@UI;?7<0H]A38?48@UI;17=0H]\N(1+4?AVUI!;";6J_R@*1-2=CX[K#?$;4DQPB40=CX[K:96J_R@06?AVUI:=0H]\N<1<4?AVUI5;5;6J_R@>1:<=CX[K7?7>17:FSVD:4681L?6IAD89JJLRT\H^N:6B@AEGG3>JHO@IJ@n5BakmqR`ttafdh7@gaosTfvvohf:1E===4N030?K75;2D:?>5A1518J4343G;=?6@>729M5=5<F8397C<<;O037>H59:1E>?=4N310?K43;2D99>5A2718J7143G83?6@=939M76=I;990B>?<;O117>H4;:1E?9=4N270?K51;2D8;>5A3918J6?53G>87C:?3:L756=I<;90B9=<;O677>H3=:1E8;=4N551?K353G<97C9=;O:1?K?03GO_[B\D1:R7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD:8VDK6>Q;O86\COB48WJSUKL90_]A9;RRL,5/13ZZD$<'8;RRL,46.?2Y[C%?>)79PTJ.5!?1X\B&<)79PTJ.3!?1X\B&:)79PTJ.1!?1X\B&8)79PTJ.?!?1X\B&6)79PTJ:76>1X\B2>0?;8WUI;980;2:5\PN>25;0<[YE7=384SQM?6;0<[YE7?384SQM?0;0<[YE79384SQM?2;0<[YE7;384SQM?<;0<[YE753:4TXRFe>STM[UJ@DO\c:WPAWYQAZCI@H=4VBA:?SOB_V^R\H:4WBNH6>QB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFONj2RTOB\J_HLEK46<PVID^HQFNGM\HLUS>2RonRGkf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh5<2id~hijn9-wiu)Xkn%ym`?9x0F\rltsmq$ekb64eeke6kac02dloo{fled8vdk6>q;OS{g}tdz7?}6~8=1s<t?:;y2z553<p9s:=95w0x07?}6~;=1s<t:;;y2z11=\7f8p<?7u>v759{4|>33q:r5pNOp062?EF\7fkm0M694>{R06>fc=:3;8>>hm2;01ga4|fjh1=6`lc;68 f?=k?1v_?:5cd81>455;oh96?<ld39P2g<dn3:1=><<fc0967ec:2Y987mi:182775aj;09>nj:;ef3>5<628qX>84le;095644nk81>?mk2:&`3?413\7f^j87>51;396`}T:<0hi7<51200bg4=:;io>6*l3;4`?Sef2;q~:i4>;t4f>5=z,0l146lk0;296`<b2;opDn?4Z3091~2=>391;7;5}%`e>a6<,j=1>;5Uc982\7f70=uf>>6=4+a0864>hf83:07b:;:18'e4<282dj<7?4;n6g>5<#i80><6`n0;08?j2d290/m<4:0:lb4?5<3f>i6=4+a0864>hf83>07b:n:18'e4<282dj<7;4;n6:>5<#i80><6`n0;48?j2?290/m<4:0:lb4?1<3f><6=4+a0864>hf83207b:9:18'e4<282dj<774;n60>5<#i80><6`n0;c8?j25290/m<4:0:lb4?d<3`i1<7*n1;37?kg72910eo4?:%c2>42<fh:1=65fa;29 d7=9=1em=4=;:k:>5<#i80:86`n0;18?l4e290/m<4<6:lb4?6<3`8j6=4+a0802>hf83;07d=<:18'e4<4>2dj<7<4;h11>5<#i808:6`n0;18?l56290/m<4<6:lb4?2<3`9;6=4+a0802>hf83?07d<i:18'e4<4>2dj<784;h0f>5<#i808:6`n0;58?l4c290/m<4<6:lb4?><3`8h6=4+a0802>hf83307d<6:18'e4<4>2dj<7o4;h0;>5<#i808:6`n0;`8?l442900e8k50;&b5?013gk;6=54i4f94?"f93<=7co?:098m0e=83.j=789;oc3>7=<a<h1<7*n1;45?kg72:10cl750;&b5?d33gk;6=54o`:94?"f93h?7co?:098kg7=83.j=7l;;oc3>7=<gk:1<7*n1;`7?kg72:10clh50;&b5?d33gk;6954o`g94?"f93h?7co?:498kdb=83.j=7l;;oc3>3=<ghi1<7*n1;`7?kg72>10cll50;&b5?d33gk;6554o`c94?"f93h?7co?:898kd1=83.j=7l;;oc3>d=<gh<1<7*n1;`7?kg72k10en;50;9ag7<7280;6=uGc09'fc<d:2e2i7>5;|`5=?6=93:1<vFl1:&ab?0>3f<36=44}c02>5<c290;wEm>;%`e>d4<,h91h<5+6`82?j262900en:50;9j62<722co6=44id83>>oa2900e<>50;9j1c<722c=<7>5;h42>5<<a?81<75`a483>>od=3:17pl>6;29`?6=8rBh=6*mf;c1?!g42m80(;o51:m75?6=3`i?6=44i3594?=nl3:17dk50;9jb?6=3`;;6=44i4d94?=n>90;66g91;29?l052900cl;50;9jg0<722wi=:4?:e83>5}Ok81/nk4n2:&b7?b23-<j6<5`4083>>od<3:17d<8:188ma<722cn6=44ig83>>o683:17d;i:188m36=831b:<4?::k56?6=3fk>6=44ib794?=zj821<7j50;2xLf7<,kl1m?5+a28g2>"1i3;0c9?50;9jg1<722c9;7>5;hf94?=nm3:17dh50;9j55<722c>j7>5;h43>5<<a?;1<75f6383>>if=3:17dm::188yg7>290o6=4?{Ia2?!da2h80(l=5d69'2d<63f>:6=44ib694?=n:>0;66gk:188m`<722cm6=44i0294?=n=o0;66g90;29?l062900e;<50;9le0<722ch97>5;|`2e?6=l3:1<vFl1:&ab?g53-k86i64$7c95>i393:17dm;:188m71=831bh7>5;hg94?=nn3:17d??:188m0`=831b:=4?::k55?6=3`<96=44o`794?=nk<0;66sm1c83>a<729qCo<5+bg8b6>"f;3n27)8n:09l04<722ch87>5;h04>5<<am0;66gj:188mc<722c:<7>5;h7e>5<<a?:1<75f6083>>o1:3:17bo::188mf3=831vn<m50;f94?6|@j;0(oh5a39'e6<ci2.=m7?4o5394?=nk=0;66g=7;29?lb=831bi7>5;hd94?=n990;66g:f;29?l072900e;?50;9j27<722ej97>5;ha6>5<<uk;o6=4k:183\7fMe63-hm6l<4$`19`g=#>h0:7b:>:188mf2=831b>:4?::kg>5<<al0;66gi:188m46=831b9k4?::k54?6=3`<:6=44i7094?=hi<0;66gl5;29?xd6m3:1h7>50zJ`5>"en3k97)o<:ea8 3g=92e?=7>5;ha7>5<<a;=1<75fd;29?lc=831bj7>5;h33>5<<a<l1<75f6183>>o193:17d8=:188kd3=831bo84?::\7fa5c<72m0;6=uGc09'fc<f:2.j?7j<;%4b>4=h<80;66gl4;29?l402900ei4?::kf>5<<ao0;66g>0;29?l3a2900e;>50;9j24<722c=>7>5;nc6>5<<aj?1<75rb3294?b=83:pDn?4$cd9e7=#i:0o86*9a;38k17=831bo94?::k13?6=3`n1<75fe;29?l`=831b==4?::k6b?6=3`<;6=44i7394?=n>;0;66an5;29?le22900q~?>:18g\7f[?<5;;1h63>6;f8941=l27:47j4=0;9`>;6i3n01<l5d:?2g?b<58n1h63>e;f894`=l279<7j4$b293<=z{881<7jt^`9>64<b34;=6h52168f?87?2l16=44j;<3b>`=:9k0n70?l:d9>5a<b34;n6h521g8f?8472l1/o=474:\7fp56<72mqUn63=1;d8940=n27:;7h4=0:9b>;613l01<o5f:?2f?`<58i1j63>d;d894c=n27:j7h4=329b>"d832m7p}>5;29`~Xd348:6<>4=04955=:9>0:<63>8;33?87>28:01<o5119>5g<6827:o7??;<3g>46<58o1==521g824>;583;;7)m?:8:8yv44290owS<<;<02>f2<58<1o952168`0>;603i?70?6:b6894g=k=16=o4l4:?2g?e334;o6n:4=0g9g1=:9o0h863=0;a7?!e72030q~=;:181\7f[4?348:6?94$b29=d=z{:21<7<t^3;8940=:>1/o=46b:\7fp7<<72;qU>n5216813>"d833h7p}<a;296~X5l27:47<8;%a3>3`<uz9i6=4={_0f?87>2;=0(n>5719~w6e=838pR?h4=0c962=#k90<=6s|3e83>7}Y;916=o4=7:&`4?153ty8i7>52z\05>;6k38<7)m?:618yv5a2909wS==;<3g>71<,j:1;95rs5294?4|V:901<k5269'g5<0=2wx?84?:3y]6d=:9o09;6*l0;55?xu4?3:1>vP=b:?14?403-i;6:94}ra6>5<csWi>70<>:b78940=k<16=:4l5:?2<?e234;26n;4=0c9g0=:9k0h963>c;a6?87c2j?01<k5c49>5c<d=279<7m:;%a3>2><uz<86=4k{_7a?8462<l01<855g9>52<2n27:47;i;<3:>0`<58k19k521c86b>;6k3?m70?k:4d894c==o16=k4:f:?14?3a3-i;6:o4}r47>5<csW?h70<>:728940=>916=:490:?2<?0734;26;>4=0c925=:9k0=<63>c;43?87c2?:01<k5619>5c<18279<78?;%a3>2d<uz<>6=4k{_7g?8462?;01<85609>52<1927:478>;<3:>37<58k1:<521c855>;6k3<:70?k:73894c=>816=k491:?14?063-i;6:m4}r44>5<csW?n70<>:708940=>;16=:492:?2<?0534;26;<4=0c927=:9k0=>63>c;41?87c2?801<k5639>5c<1:279<78=;%a3>2b<uz>n6=4={_61?8462=;0(n>57d9~w04=838pR9=4=04904=#k90<j6s|5283>7}Y<?16=:4;1:&`4?>73ty>87>52z\73>;603>:7)m?:938yv322909wS:7;<3:>17<,j:14?5rs4494?4|V=301<o5409'g5<?;2wx9:4?:3y]0d=:9k0?=6*l0;:6?xu203:1>vP;b:?2g?263-i;6584}r7:>5<5sW>h70?k:538 f6=0>1v\7f8o50;0xZ1b<58o18<5+c18;<>{t<o0;6?uQ459>5c<392.h<766;|q65?6=:rT?963=0;62?!e721k0q~l=:181\7f[g1348:6l;4$b29<g=z{k<1<7<t^`58940=i<1/o=47c:\7fpf2<72;qUml52168b1>"d832o7p}m8;296~Xfj27:47o:;%a3>=c<uzh26=4={_c`?87>2h?0(n>5919~wgg=838pRlj4=0c9e0=#k902=6s|bc83>7}Yil16=o4n5:&`4??53tyio7>52z\bb>;6k3k>7)m?:818yvdc2909wSl?;<3g>d3<,j:1595rscg94?4|Vk;01<k5a49'g5<>=2wxn>4?:3y]e==:9o0j96*l0;;5?xue=3:1>vPn9:?14?g23-i;6494}|lf1?6=9rBh=6sae783>4}Ok81vbh950;3xLf7<ugo36=4>{Ia2?xhb13:1=vFl1:\7fmad<728qCo<5rnd`94?7|@j;0qckl:182\7fMe63tdnh7>51zJ`5>{iml0;6<uGc09~j``=83;pDn?4}od3>5<6sAi:7p`i1;295~Nd92wej?4?:0yKg4=zfo91<7?tHb38yk`3290:wEm>;|le1?6=9rBh=6saf783>4}Ok81vbk950;3xLf7<ugl36=4>{Ia2?xha13:1=vFl1:\7fmbd<728qCo<5rng`94?7|@j;0qchl:182\7fMe63tdmh7>51zJ`5>{inl0;6<uGc09~jc`=83;pDn?4}o334?6=9rBh=6sa11394?7|@j;0qc??2;295~Nd92we===50;3xLf7<ug;;87>51zJ`5>{i99?1<7?tHb38yk77>3:1=vFl1:\7fm551=83;pDn?4}o33<?6=9rBh=6sa11;94?7|@j;0qc??a;295~Nd92we==l50;3xLf7<ug;;o7>51zJ`5>{i99n1<7?tHb38yk77m3:1=vFl1:\7fm55`=83;pDn?4}o324?6=9rBh=6sa10394?7|@j;0qc?>2;295~Nd92wvqpNOCz375?45k;?2o8sO@Cy3yEFWstJK
\ No newline at end of file
diff --git a/ram16x1D_virtex.vhd b/ram16x1D_virtex.vhd
new file mode 100644 (file)
index 0000000..23b6b5d
--- /dev/null
@@ -0,0 +1,120 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           --
+--     solely for design, simulation, implementation and creation of          --
+--     design files limited to Xilinx devices or technologies. Use            --
+--     with non-Xilinx devices or technologies is expressly prohibited        --
+--     and immediately terminates your license.                               --
+--                                                                            --
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
+--     FOR A PARTICULAR PURPOSE.                                              --
+--                                                                            --
+--     Xilinx products are not intended for use in life support               --
+--     appliances, devices, or systems. Use in such applications are          --
+--     expressly prohibited.                                                  --
+--                                                                            --
+--     (c) Copyright 1995-2006 Xilinx, Inc.                                   --
+--     All rights reserved.                                                   --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file ram16x1D_virtex.vhd when simulating
+-- the core, ram16x1D_virtex. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY ram16x1D_virtex IS
+       port (
+       A: IN std_logic_VECTOR(3 downto 0);
+       CLK: IN std_logic;
+       D: IN std_logic_VECTOR(11 downto 0);
+       WE: IN std_logic;
+       DPRA: IN std_logic_VECTOR(3 downto 0);
+       DPO: OUT std_logic_VECTOR(11 downto 0);
+       SPO: OUT std_logic_VECTOR(11 downto 0));
+END ram16x1D_virtex;
+
+ARCHITECTURE ram16x1D_virtex_a OF ram16x1D_virtex IS
+-- synthesis translate_off
+component wrapped_ram16x1D_virtex
+       port (
+       A: IN std_logic_VECTOR(3 downto 0);
+       CLK: IN std_logic;
+       D: IN std_logic_VECTOR(11 downto 0);
+       WE: IN std_logic;
+       DPRA: IN std_logic_VECTOR(3 downto 0);
+       DPO: OUT std_logic_VECTOR(11 downto 0);
+       SPO: OUT std_logic_VECTOR(11 downto 0));
+end component;
+
+-- Configuration specification 
+       for all : wrapped_ram16x1D_virtex use entity XilinxCoreLib.C_DIST_MEM_V7_1(behavioral)
+               generic map(
+                       c_qualify_we => 0,
+                       c_mem_type => 2,
+                       c_has_qdpo_rst => 0,
+                       c_has_qspo => 0,
+                       c_has_qspo_rst => 0,
+                       c_has_dpo => 1,
+                       c_has_qdpo_clk => 0,
+                       c_has_d => 1,
+                       c_qce_joined => 0,
+                       c_width => 12,
+                       c_reg_a_d_inputs => 0,
+                       c_latency => 0,
+                       c_has_spo => 1,
+                       c_has_we => 1,
+                       c_depth => 16,
+                       c_has_i_ce => 0,
+                       c_default_data_radix => 1,
+                       c_default_data => "0",
+                       c_has_dpra => 1,
+                       c_has_clk => 1,
+                       c_enable_rlocs => 1,
+                       c_generate_mif => 1,
+                       c_has_qspo_ce => 0,
+                       c_addr_width => 4,
+                       c_has_qdpo_srst => 0,
+                       c_mux_type => 0,
+                       c_has_spra => 0,
+                       c_has_qdpo => 0,
+                       c_reg_dpra_input => 0,
+                       c_mem_init_file => "ram16x1D_virtex.mif",
+                       c_has_qspo_srst => 0,
+                       c_has_rd_en => 0,
+                       c_read_mif => 0,
+                       c_sync_enable => 0,
+                       c_has_qdpo_ce => 0);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_ram16x1D_virtex
+               port map (
+                       A => A,
+                       CLK => CLK,
+                       D => D,
+                       WE => WE,
+                       DPRA => DPRA,
+                       DPO => DPO,
+                       SPO => SPO);
+-- synthesis translate_on
+
+END ram16x1D_virtex_a;
+
diff --git a/sdram_interface.vhd b/sdram_interface.vhd
new file mode 100644 (file)
index 0000000..6bf01fa
--- /dev/null
@@ -0,0 +1,436 @@
+library IEEE;
+
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+--use work.support.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+library UNISIM;
+use UNISIM.VComponents.all;
+
+
+  entity sdram_interface is
+
+    port (
+        --sdram
+      CLK_SDRAM          : out   std_logic;
+      CKE                : out   std_logic;
+      CS                 : out   std_logic;
+      RAS                : out   std_logic;
+      CAS                : out   std_logic;
+      WE                 : out   std_logic;
+      DQM                : out   std_logic_vector(3 downto 0);
+      BA                 : out   std_logic_vector (1 downto 0);
+      A                  : out   std_logic_vector(12 downto 0);
+      DQ                 : inout std_logic_vector(31 downto 0);
+        --internal
+      CLK                : in    std_logic;
+      RESET              : in    std_logic;
+      TRIGGER            : in    std_logic;
+      INTERNAL_DATA_IN   : in    std_logic_vector(31 downto 0);
+      INTERNAL_DATA_OUT  : out   std_logic_vector(31 downto 0);
+      INTERNAL_ADDRESS   : in    std_logic_vector(31 downto 0);
+      INTERNAL_MODE      : in    std_logic_vector(15 downto 0);  
+      VALID_DATA_SENT    : out   std_logic;
+      DEBUGSTATE_MACHINE : out   std_logic_vector(31 downto 0)
+        );
+
+  end sdram_interface;
+architecture sdram_interface of sdram_interface is
+  signal refresh_counter : std_logic_vector(7 downto 0);
+  signal init_counter : std_logic_vector(15 downto 0);
+  type SDRAM_INTERFACE_STATES is (INIT_1, INIT_2, INIT_3, INIT_4, INIT_5, INIT_6, INIT_7,
+                                  IDLE, SELF_REFRESH, AUTO_REFRESH, NOP, INHIBIT,PRECHARGE,
+                                  LOAD_MODE_REGISTER, READ_SDRAM_1, READ_SDRAM_2, READ_SDRAM_3,
+                                  READ_SDRAM_4, READ_SDRAM_5,READ_SDRAM_6, WRITE_SDRAM_1 ,WRITE_SDRAM_2 ,WRITE_SDRAM_3 ,
+                                  WRITE_SDRAM_4 ,CLEAR_REQUEST );
+  signal SDRAM_INTERFACE_fsm_currentstate,SDRAM_INTERFACE_fsm_nextstate  : SDRAM_INTERFACE_STATES;
+  signal etrax_request_adress : std_logic_vector(31 downto 0);
+  signal etrax_request_data : std_logic_vector(31 downto 0);
+  signal etrax_request_mode : std_logic_vector(15 downto 0);
+  signal saved_sdram_data : std_logic_vector(31 downto 0);
+  signal reset_refresh_counter : std_logic;
+  signal   CS_i, RAS_i, CAS_i, WE_i  : std_logic;
+  signal A_i : std_logic_vector(31 downto 0);
+begin
+  SAVE_MODE_ADRES_AND_DATA: process (CLK, RESET, TRIGGER)
+  begin  
+    if rising_edge(CLK) then
+      if RESET = '1'
+       -- or SDRAM_INTERFACE_fsm_currentstate = READ_SDRAM_1
+       -- or SDRAM_INTERFACE_fsm_currentstate = WRITE_SDRAM_1
+        or SDRAM_INTERFACE_fsm_currentstate = CLEAR_REQUEST
+        
+      then                
+--        etrax_request_adress <= (others => '0');
+--        etrax_request_data <= (others => '0');
+        etrax_request_mode <= (others => '0');
+      elsif TRIGGER = '1' then
+        etrax_request_adress <= INTERNAL_ADDRESS;
+        etrax_request_data <= INTERNAL_DATA_IN;
+        etrax_request_mode <= INTERNAL_MODE;
+      else
+        etrax_request_adress <= etrax_request_adress;
+        etrax_request_data <= etrax_request_data;
+        etrax_request_mode <= etrax_request_mode;
+      end if;
+    end if;
+  end process SAVE_MODE_ADRES_AND_DATA;
+  COUNTER_FOR_REFRESH : process (CLK, RESET,refresh_counter)
+  begin  -- process COUNTER_FOR_REFRESH
+    if rising_edge(CLK) then
+      if RESET = '1' or reset_refresh_counter = '1'then
+        refresh_counter                  <= x"00";
+      elsif refresh_counter < x"ff" then
+        refresh_counter                  <= refresh_counter +1;
+      else
+        refresh_counter                  <= refresh_counter;
+      end if;
+    end if;
+  end process COUNTER_FOR_REFRESH;
+  COUNTER_FOR_INIT : process (CLK, RESET,refresh_counter)
+  begin  -- process COUNTER_FOR_REFRESH
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        init_counter               <= x"0000";
+      elsif refresh_counter < x"ffff" then
+        init_counter                 <= init_counter +1;
+      else
+        init_counter                  <= init_counter;
+      end if;
+    end if;
+  end process COUNTER_FOR_INIT;
+  
+  SDRAM_FSM_CLOCK : process (CLK, RESET)
+  begin  -- process DELAY_FSM_CLOCK
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        SDRAM_INTERFACE_fsm_currentstate <= INIT_1;
+      else
+        SDRAM_INTERFACE_fsm_currentstate    <= SDRAM_INTERFACE_fsm_nextstate;
+      end if;
+    end if;
+  end process SDRAM_FSM_CLOCK;
+  SAVE_SDRAM_DATA: process (CLK, RESET,SDRAM_INTERFACE_fsm_currentstate)
+  begin  
+    if rising_edge(CLK) then  
+      if RESET = '1' then 
+        saved_sdram_data <= (others => '0');
+      elsif SDRAM_INTERFACE_fsm_currentstate = CLEAR_REQUEST then
+        saved_sdram_data <= DQ;
+      else
+        saved_sdram_data <= saved_sdram_data;
+      end if;
+    end if;
+  end process SAVE_SDRAM_DATA;
+  INTERNAL_DATA_OUT <= saved_sdram_data;
+  CKE <= '1';
+  CLK_SDRAM <= not CLK;
+  SDRAM_FSM : process (CLK,SDRAM_INTERFACE_fsm_currentstate,init_counter,refresh_counter,etrax_request_mode)
+  begin
+    CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(12 downto 0) <= (others => '0');
+
+
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"00";
+        reset_refresh_counter <= '0';
+    case SDRAM_INTERFACE_fsm_currentstate is
+      when INIT_1   =>                  --INHIBIT
+        CS <= '1';
+        RAS <= '0';
+        CAS <= '0';
+        WE  <= '0';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '0';
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"01"; 
+        if init_counter = x"ffff" then
+          SDRAM_INTERFACE_fsm_nextstate <= INIT_2;
+        else
+          SDRAM_INTERFACE_fsm_nextstate <= INIT_1;
+        end if;
+      when INIT_2 =>                    --PRECHARGE
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '1';
+        WE  <= '0';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '1';
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"02";
+        SDRAM_INTERFACE_fsm_nextstate <= INIT_3;
+      when INIT_3 =>                    --NOP(20ns )
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '1';
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"03";
+        SDRAM_INTERFACE_fsm_nextstate <= INIT_4;
+      when INIT_4 =>                    --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '1';
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"04";
+        SDRAM_INTERFACE_fsm_nextstate <= INIT_5;
+      when INIT_5 =>                    --AUTO_REFRESH
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '0';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '1';
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"05";
+        SDRAM_INTERFACE_fsm_nextstate <= INIT_6;
+       when INIT_6 =>                    --AUTO_REFRESH
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '0';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '1';
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"06";
+        SDRAM_INTERFACE_fsm_nextstate <= INIT_7;
+      when INIT_7 =>                    --MODE_REGISTER
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '0';
+        WE  <= '0';
+        DQM <= x"0";
+        A(9 downto 0) <= "1000100000";  --single access,std.oper,CAS=2,Sequenctial,burstlenght=1
+        A(10) <= '1';                  --enables precharge feature
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"07";
+        SDRAM_INTERFACE_fsm_nextstate <= IDLE;
+      when IDLE =>                    
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        reset_refresh_counter <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"00";
+        
+        if refresh_counter = x"ff" then
+          SDRAM_INTERFACE_fsm_nextstate <= AUTO_REFRESH;
+        elsif etrax_request_mode(15 downto 0)= x"8002" then
+          SDRAM_INTERFACE_fsm_nextstate <= READ_SDRAM_1;
+        elsif etrax_request_mode(15 downto 0)= x"0002" then
+          SDRAM_INTERFACE_fsm_nextstate <= WRITE_SDRAM_1;
+        elsif etrax_request_mode(15 downto 0)= x"1002" then  --part_of_address
+          SDRAM_INTERFACE_fsm_nextstate <= LOAD_MODE_REGISTER;  --and other
+                                                                --should be
+                                                                --added -
+                                                                --burst read,burst write  
+        else
+          SDRAM_INTERFACE_fsm_nextstate <= IDLE;
+        end if;
+--read
+       when READ_SDRAM_1 =>             --ACTIVE
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(12 downto 0) <= etrax_request_adress(12 downto 0);
+--        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= etrax_request_adress(14 downto 13);
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"08";
+        SDRAM_INTERFACE_fsm_nextstate <= READ_SDRAM_2;
+      when READ_SDRAM_2 =>              --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <=(others => '0');
+        A(10) <= '1';
+        DQ <= (others => 'Z');
+        BA <= etrax_request_adress(14 downto 13);
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"09";
+        SDRAM_INTERFACE_fsm_nextstate <= READ_SDRAM_3;
+      when READ_SDRAM_3 =>              --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0a";
+        SDRAM_INTERFACE_fsm_nextstate <= READ_SDRAM_4;
+      when READ_SDRAM_4 =>              --READ
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '0';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= etrax_request_adress(24 downto 15); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= etrax_request_adress(14 downto 13);
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0b";
+        SDRAM_INTERFACE_fsm_nextstate <= READ_SDRAM_5;
+      when READ_SDRAM_5 =>              --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0c";
+        SDRAM_INTERFACE_fsm_nextstate <= READ_SDRAM_6;--CLEAR_REQUEST;
+                                                      --
+      when READ_SDRAM_6 =>              --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0d";
+        SDRAM_INTERFACE_fsm_nextstate <= CLEAR_REQUEST;
+        
+--write
+      when WRITE_SDRAM_1 =>             --ACTIVE
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(12 downto 0) <= etrax_request_adress(12 downto 0);
+--        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= etrax_request_adress(14 downto 13);
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0d";
+        SDRAM_INTERFACE_fsm_nextstate <= WRITE_SDRAM_2;
+      when WRITE_SDRAM_2 =>              --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0');
+        A(10) <= '1';                   --precharge
+        DQ <= (others => 'Z');
+        BA <= etrax_request_adress(14 downto 13);
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0e";
+        SDRAM_INTERFACE_fsm_nextstate <= WRITE_SDRAM_3;
+      when WRITE_SDRAM_3 =>              --NOP
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"0f";
+        SDRAM_INTERFACE_fsm_nextstate <= WRITE_SDRAM_4;
+      when WRITE_SDRAM_4 =>              --WRITE
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '0';
+        WE  <= '0';
+        DQM <= x"0";
+        A(9 downto 0) <= etrax_request_adress(24 downto 15); 
+        A(10) <= '1';                 
+        DQ <= etrax_request_data;
+        BA <= etrax_request_adress(14 downto 13);
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"10";
+        SDRAM_INTERFACE_fsm_nextstate <= CLEAR_REQUEST;
+      when AUTO_REFRESH =>
+        CS <= '0';
+        RAS <= '0';
+        CAS <= '0';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '0';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"11";
+        reset_refresh_counter <= '1';
+        SDRAM_INTERFACE_fsm_nextstate <= IDLE;
+      when CLEAR_REQUEST =>
+        CS <= '0';
+        RAS <= '1';
+        CAS <= '1';
+        WE  <= '1';
+        DQM <= x"0";
+        A(9 downto 0) <= (others => '0'); 
+        A(10) <= '1';                 
+        DQ <= (others => 'Z');
+        BA <= "00";
+        VALID_DATA_SENT <= '1';
+        DEBUGSTATE_MACHINE(7 downto 0) <= x"12";
+        SDRAM_INTERFACE_fsm_nextstate <= IDLE;
+      when others   =>
+        SDRAM_INTERFACE_fsm_nextstate <= IDLE;
+    end case;
+  end process;
+end sdram_interface;
index 071785bc70aae7f341838809557659e84bfc72bf..5d867e8cc7d099a04e537f5d2ac78b5f2bde5f46 100755 (executable)
@@ -3,7 +3,6 @@ use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.STD_LOGIC_ARITH.ALL;
 use IEEE.STD_LOGIC_UNSIGNED.ALL;
 use IEEE.NUMERIC_STD.all;
-
 library UNISIM;
 use UNISIM.VComponents.all;
 entity tdc_interface is
@@ -49,22 +48,25 @@ entity tdc_interface is
     COUNTER_f                         : in  std_logic_vector(31 downto 0);
     COUNTER_g                         : in  std_logic_vector(31 downto 0);
     COUNTER_h                         : in  std_logic_vector(31 downto 0);
-    COUNTER_i                         : in  std_logic_vector(31 downto 0);
-    COUNTER_j                         : in  std_logic_vector(31 downto 0);
-    COUNTER_k                         : in  std_logic_vector(31 downto 0);
-    COUNTER_l                         : in  std_logic_vector(31 downto 0);
-    COUNTER_m                         : in  std_logic_vector(31 downto 0);
     LVL2_TRIGGER                      : in  std_logic_vector(1 downto 0);
     TDC_DATA_OUT                      : out std_logic_vector (31 downto 0);  --data to ETRAX (LVL2)
     TDC_DATA_VALID                    : out std_logic;  -- The TDC_DATA_OUT can be written
     ETRAX_IS_READY_TO_READ            : in  std_logic;
-    TDC_LVL1_BUSY                    : out std_logic;
-    TDC_LVL2_BUSY                   : out std_logic;
+    LVL1_BUSY                    : out std_logic;
+    LVL2_BUSY                   : out std_logic;
     TDC_REGISTER_00                 : out std_logic_vector(31 downto 0);
+    TDC_REGISTER_01                 : out std_logic_vector(31 downto 0);
+    TDC_REGISTER_02                 : out std_logic_vector(31 downto 0);
+    TDC_REGISTER_03                 : out std_logic_vector(31 downto 0);
+    TDC_REGISTER_04                 : out std_logic_vector(31 downto 0);
     BUNCH_RESET              : out std_logic;
     EVENT_RESET              : out std_logic;
-    READ_ADRESS_END_UP       : in  std_logic;
-    MY_LVL1_COUNTER          : in std_logic_vector(7 downto 0)
+    READ_ADRESS_END_UP       : out  std_logic;  --here
+    DELAY_TRIGGER            : in std_logic_vector(7 downto 0);
+    TDC_START                : out std_logic;
+    TRIGGER_WITHOUT_HADES    : in std_logic;
+    TRIGGER_WITH_GEN_EN      : in std_logic;
+    TRIGGER_WITH_GEN         : in std_logic
     );
 end tdc_interface;
 architecture tdc_interface of tdc_interface is
@@ -75,16 +77,6 @@ architecture tdc_interface of tdc_interface is
       signal_in                       : in  std_logic;
       pulse                           : out std_logic);
   end component;
-  component lvl1_memory 
-     port (
-       addra : IN  std_logic_VECTOR(14 downto 0);
-       addrb : IN  std_logic_VECTOR(14 downto 0);
-       clka  : IN  std_logic;
-       clkb  : IN  std_logic;
-       dina  : IN  std_logic_VECTOR(31 downto 0);
-       doutb : OUT std_logic_VECTOR(31 downto 0);
-       wea   : IN  std_logic);
-  end component;
   component up_down_counter_16_bit
     port (
       QOUT                            : out std_logic_vector(15 downto 0);
@@ -100,58 +92,39 @@ architecture tdc_interface of tdc_interface is
         I: in std_ulogic
         );
     end component;
-component DCM_ADV
-      generic( CLK_FEEDBACK : string :=  "1X";
-               CLKDV_DIVIDE : real :=  2.0;
-               CLKFX_DIVIDE : integer :=  1;
-               CLKFX_MULTIPLY : integer :=  4;
-               CLKIN_DIVIDE_BY_2 : boolean :=  FALSE;
-               CLKIN_PERIOD : real :=  10.0;
-               CLKOUT_PHASE_SHIFT : string :=  "NONE";
-               DCM_AUTOCALIBRATION : boolean :=  TRUE;
-               DCM_PERFORMANCE_MODE : string :=  "MAX_SPEED";
-               DESKEW_ADJUST : string :=  "SYSTEM_SYNCHRONOUS";
-               DFS_FREQUENCY_MODE : string :=  "LOW";
-               DLL_FREQUENCY_MODE : string :=  "LOW";
-               DUTY_CYCLE_CORRECTION : boolean :=  TRUE;
-               FACTORY_JF : bit_vector :=  x"F0F0";
-               PHASE_SHIFT : integer :=  0;
-               STARTUP_WAIT : boolean :=  FALSE;
-               SIM_DEVICE : string :=  "VIRTEX4");
-      port ( CLKIN    : in    std_logic;
-             CLKFB    : in    std_logic;
-             DADDR    : in    std_logic_vector (6 downto 0);
-             DI       : in    std_logic_vector (15 downto 0);
-             DWE      : in    std_logic;
-             DEN      : in    std_logic;
-             DCLK     : in    std_logic;
-             RST      : in    std_logic;
-             PSEN     : in    std_logic;
-             PSINCDEC : in    std_logic;
-             PSCLK    : in    std_logic;
-             CLK0     : out   std_logic;
-             CLK90    : out   std_logic;
-             CLK180   : out   std_logic;
-             CLK270   : out   std_logic;
-             CLKDV    : out   std_logic;
-             CLK2X    : out   std_logic;
-             CLK2X180 : out   std_logic;
-             CLKFX    : out   std_logic;
-             CLKFX180 : out   std_logic;
-             DRDY     : out   std_logic;
-             DO       : out   std_logic_vector (15 downto 0);
-             LOCKED   : out   std_logic;
-             PSDONE   : out   std_logic);
-   end component;
-
-
-  signal tdc_ready                  : std_logic:='0';
-  signal write_eneble_and_tdc_ready : std_logic_vector(23 downto 0):=(others => '0');
-  signal write_address              : std_logic_vector(14 downto 0):=(others => '0');
-  signal write_address_tdc_data     : std_logic_vector(14 downto 0):=(others => '0');
-  signal read_address               : std_logic_vector(14 downto 0):=(others => '0');
-  signal data_to_lvl1_fifo          : std_logic_vector (31 downto 0):=(others => '0');
-  signal tdc_ready_or_add_word      : std_logic:='0';
+    component simpleupcounter_8bit
+      port (
+        QOUT : out std_logic_vector(7 downto 0);
+        UP   : in  std_logic;
+        CLK  : in  std_logic;
+        CLR  : in  std_logic);
+    end component;
+  component lvl1_buffer
+    port (
+      din           : IN  std_logic_VECTOR(31 downto 0);
+      rd_clk        : IN  std_logic;
+      rd_en         : IN  std_logic;
+      rst           : IN  std_logic;
+      wr_clk        : IN  std_logic;
+      wr_en         : IN  std_logic;
+      dout          : OUT std_logic_VECTOR(31 downto 0);
+      empty         : OUT std_logic;
+      full          : OUT std_logic;
+      rd_data_count : OUT std_logic_VECTOR(9 downto 0));
+  end component;
+  component lvl1_fifo
+    port (
+      clk        : IN  std_logic;
+      din        : IN  std_logic_VECTOR(31 downto 0);
+      rd_en      : IN  std_logic;
+      rst        : IN  std_logic;
+      wr_en      : IN  std_logic;
+      data_count : OUT std_logic_VECTOR(13 downto 0);
+      dout       : OUT std_logic_VECTOR(31 downto 0);
+      empty      : OUT std_logic;
+      full       : OUT std_logic);
+  end component;
+  signal tdc_ready                  : std_logic;
   signal counter_a_i                : std_logic_vector(31 downto 0);
   signal counter_b_i                : std_logic_vector(31 downto 0);
   signal counter_c_i                : std_logic_vector(31 downto 0);
@@ -160,117 +133,397 @@ component DCM_ADV
   signal counter_f_i                : std_logic_vector(31 downto 0);
   signal counter_g_i                : std_logic_vector(31 downto 0);
   signal counter_h_i                : std_logic_vector(31 downto 0);
-  signal counter_i_i                : std_logic_vector(31 downto 0);
-  signal counter_j_i                : std_logic_vector(31 downto 0);
-  signal counter_k_i                : std_logic_vector(31 downto 0);
-  signal counter_l_i                : std_logic_vector(31 downto 0);
-  signal counter_m_i                : std_logic_vector(31 downto 0);
   signal add_data_counter           : std_logic_vector(7 downto 0):=(others => '0');
-  signal add_data_pulse             : std_logic:='0';
-  signal received_tdc_token_pulse   : std_logic:='0';
-  signal data_selector              : std_logic_vector(4 downto 0):=(others => '0');
-  signal saved_address              : std_logic_vector(14 downto 0):=(others => '0');
+  signal add_data_pulse             : std_logic;
   signal first_header               : std_logic_vector(31 downto 0):=(others => '0');
   signal second_header              : std_logic_vector(31 downto 0):=(others => '0');
   signal words_in_event             : std_logic_vector(15 downto 0):=(others => '0');
-  signal read_data_address_up       : std_logic:='0';
-  signal word_count_up              : std_logic:='0';
-  signal word_count_up_clk : std_logic := '0';
-  signal word_count_up_pulse        : std_logic:='0';
-  signal how_many_words_in_fifo     : std_logic_vector(9 downto 0):=(others => '0');
-  signal how_many_words_in_ram    : std_logic_vector(9 downto 0):=(others => '0');
-  signal tdc_data_valid_i           : std_logic:='0';
-  signal lvl2_trigger_pulse : std_logic;
-  signal how_many_words_in_event : std_logic_vector(19 downto 0);
-  signal lvl2_valid_saved : std_logic;
-  signal start_tdc_readout_pulse : std_logic;
-  signal start_tdc_readout_90_deg : std_logic;
-  signal word_count_up_synch : std_logic;
-  signal doutb_i  : std_logic_vector(31 downto 0);
-  signal end_event_marker_in_i : std_logic;
-  signal first_trigger : std_logic;
-  signal clkfx_i : std_logic;
-  signal clkfx_bufg_i : std_logic;
-  signal clk0_i : std_logic;
-  signal clkfb_i : std_logic;
-  signal word_count_down_clk: std_logic;
-  signal word_count_down_pulse: std_logic;
-  signal locked_i : std_logic;
-  signal word_ram_counter_up : std_logic_vector(9 downto 0);
-  signal word_ram_counter_down : std_logic_vector(9 downto 0);
-  signal lvl1_tag_minus_one : std_logic_vector(7 downto 0);
-  signal saved_beg_address : std_logic_vector( 14 downto 0) ;
-  signal lvl2_trigger_pulse_1delay : std_logic;
-  signal lvl2_trigger_pulse_2delay : std_logic;
-  signal lvl2_trigger_pulse_3delay : std_logic;
-  signal lvl2_trigger_pulse_4delay : std_logic;
-  signal lvl2_trigger_pulse_delay : std_logic;
+  signal tdc_data_valid_i           : std_logic;
+  signal how_many_words_in_event : std_logic_vector(16 downto 0);
+  signal delay_up : std_logic;
+  signal delay_clr : std_logic;
+  signal delay_qout : std_logic_vector(7 downto 0);
+  signal lvl1_trigger_pulse_start : std_logic;
+  signal lvl1_trigger_pulse_delay : std_logic;
+  type DELAY_FSM_TRIGG is
+    (IDLE ,DELAY_1,DELAY_2);
+  signal delay_fsm_currentstate, delay_fsm_nextstate: DELAY_FSM_TRIGG;
+  type LVL1_START_FSM is
+      (IDLE, SEND_LVL1_TRIGG_1, SEND_LVL1_TRIGG_2, SEND_LVL1_TRIGG_3, SEND_LVL1_TRIGG_4, WAIT_FOR_TOKEN, SAVE_ADD_DATA_1, SAVE_ADD_DATA_2, SAVE_ADD_DATA_3, SAVE_ADD_DATA_4, SEND_LVL2_TRIGG);
+  signal LVL1_START_fsm_currentstate, LVL1_START_fsm_nextstate : LVL1_START_FSM;
+  type LVL2_START_FSM is
+    (IDLE, READOUT_WORD1, READOUT_WORD2 ,SAVE_EVENT_SIZE ,SEND_DATA1);
+  signal LVL2_START_fsm_currentstate, LVL2_START_fsm_nextstate : LVL2_START_FSM;
+  signal lvl1_busy_i : std_logic;
+  signal lvl1_busy_ff : std_logic;
+  signal lvl1_memory_busy_i : std_logic;
+  signal lvl2_busy_i : std_logic;
+  signal lvl1_trigger_pulse : std_logic;
+  signal lvl1_tdc_trigg_i : std_logic;
+  signal lvl1_tdc_trigg_ff : std_logic;
+  
+  signal trigger_register_00_i : std_logic_vector(5 downto 0);
+  signal lvl2_busy_end_pulse : std_logic;
+  signal not_tdc_data_valid_i : std_logic;
+
+  signal not_tdc_clk : std_logic;
+  signal test_counter_0 : std_logic_vector(7 downto 0);
+  signal test_counter_1 : std_logic_vector(7 downto 0);
+  signal test_counter_2 : std_logic_vector(7 downto 0);
+  signal test_counter_3 : std_logic_vector(7 downto 0);
+  signal add_data_i : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_out : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_in : std_logic_vector(31 downto 0);
+  signal lvl1_fifo_counter : std_logic_vector(13 downto 0);
+  signal lvl1_fifo_empty : std_logic;
+  signal lvl1_fifo_full : std_logic;
+  signal lvl1_fifo_wr_en : std_logic;
+  signal lvl1_fifo_rd_en : std_logic;
+  signal lvl1_buffer_out : std_logic_vector(31 downto 0);
+  signal lvl1_buffer_in : std_logic_vector(31 downto 0);
+  signal lvl1_buffer_counter : std_logic_vector(9 downto 0);
+  signal lvl1_buffer_empty : std_logic;
+  signal lvl1_buffer_full : std_logic;
+  signal lvl1_buffer_wr_en : std_logic;
+  signal lvl1_buffer_rd_en : std_logic;
+  signal lvl1_or_lvl2_is_busy : std_logic;
+  signal trigger_with_gen_pulse : std_logic;
+  signal lvl1_tag_minus1 : std_logic_vector(7 downto 0);
+  signal lvl2_debug : std_logic_vector(2 downto 0);
+  signal tdc_start_i : std_logic;
+  signal lvl2_busy_start_pulse : std_logic;
+  
+  signal lvl1_tdc_trigg_i_fsm : std_logic;
+  signal lvl1_fifo_wr_en_fsm : std_logic;
+  signal lvl1_fifo_in_fsm : std_logic_vector(31 downto 0);
+  signal lvl1_buffer_rd_en_fsm : std_logic;
+  signal lvl1_busy_i_fsm : std_logic;
+  signal lvl1_fifo_rd_en_fsm : std_logic;
+  signal tdc_data_valid_i_fsm : std_logic;
+  signal lvl1_data_counter : std_logic_vector(15 downto 0):=(others => '0');
 begin
+   READ_ADRESS_END_UP <=   lvl2_busy_end_pulse;  --here
    TDC_REGISTER : process (CLK, RESET)
    begin 
      if rising_edge(CLK) then 
-       if RESET = '1' then 
-         TDC_REGISTER_00 <= (others => '0');
-       else
          TDC_REGISTER_00(0) <= A_TDC_ERROR;
          TDC_REGISTER_00(1) <= B_TDC_ERROR;
          TDC_REGISTER_00(2) <= C_TDC_ERROR;
          TDC_REGISTER_00(3) <= D_TDC_ERROR;
-         TDC_REGISTER_00(19 downto 4) <= "000000"&how_many_words_in_fifo;
-         TDC_REGISTER_00(20) <= clkfx_bufg_i;
-         TDC_REGISTER_00(30 downto 21) <= (others => '0');
-         TDC_REGISTER_00(31) <= locked_i;
-       end if;
+         TDC_REGISTER_00(13 downto 4) <= lvl1_buffer_counter;
+         TDC_REGISTER_00(14) <= lvl1_busy_i;
+         TDC_REGISTER_00(15) <= lvl1_or_lvl2_is_busy;--lvl1_memory_busy_i;
+         TDC_REGISTER_00(30) <= lvl1_fifo_wr_en;
+         TDC_REGISTER_00(31) <= lvl2_busy_i;
+         TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_counter;
+         TDC_REGISTER_01(26 downto 0) <=  lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& how_many_words_in_event(15 downto 0);
+         TDC_REGISTER_01(31 downto 27) <= (others => '0');
+         TDC_REGISTER_02(31 downto 0) <= lvl1_buffer_out;--lvl1_fifo_out;
+         TDC_REGISTER_03(31 downto 0) <= lvl1_fifo_out;
+         TDC_REGISTER_04(31 downto 0) <= test_counter_3 & test_counter_2 & test_counter_1 & test_counter_0;
      end if;
    end process TDC_REGISTER;
-  A_TDC_POWERUP  <=  '1';
-  B_TDC_POWERUP  <=  '1';
-  C_TDC_POWERUP  <=  '1';
-  D_TDC_POWERUP  <=  '1';
-  SEND_TDC_TOKEN <=  start_tdc_readout_90_deg;
-  tdc_ready                    <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY;
-  GET_TDC_DATA                 <= '1';
-   LVL1_MEMORY_32kW: lvl1_memory
-     port map (
-       addra => write_address,--addra_i,
-       addrb => read_address,--addrb_i,
-       clka  => TDC_CLK,--clka_i,
-       clkb  => CLK,--clkb_i,
-       dina  => data_to_lvl1_fifo,
-       doutb => doutb_i,--TDC_DATA_OUT,
-       wea   => tdc_ready_or_add_word);
-   BUNCH_RESET_90deg_shift: process (TDC_CLK, RESET)
+   A_TDC_POWERUP  <=  '1';
+   B_TDC_POWERUP  <=  '1';
+   C_TDC_POWERUP  <=  '1';
+   D_TDC_POWERUP  <=  '1';
+   tdc_ready                    <= A_TDC_READY or B_TDC_READY or C_TDC_READY or D_TDC_READY;
+   GET_TDC_DATA                 <= '1';
+   SEND_BUNCH_RESET: process (TDC_CLK, RESET)
    begin
-     if falling_edge(TDC_CLK) then
+     if rising_edge(TDC_CLK) then
        if RESET = '1' then
          BUNCH_RESET <= '1';
          EVENT_RESET <= '1';
        else
          EVENT_RESET <= '0';
-         BUNCH_RESET <= received_tdc_token_pulse
+         BUNCH_RESET <= RECEIVED_TDC_TOKEN
        end if;
      end if;
-   end process BUNCH_RESET_90deg_shift;
-  
-   TDC_DATA_OUT <= doutb_i;
+   end process SEND_BUNCH_RESET;
   -----------------------------------------------------------------------------
   ----------------------------------------------------------------------------
-  -- LVL1 logic only TDC_CLK domain
+  -- LVL1 logic 
   -----------------------------------------------------------------------------
   -----------------------------------------------------------------------------
-   WRITE_ADDRESS_CHANGE : process (TDC_CLK, RESET,tdc_ready,add_data_pulse)
-  begin
-    if rising_edge(TDC_CLK) then
+
+   LVL1_BUFF: lvl1_buffer
+     port map (
+         din           => TDC_DATA_IN,
+         rd_clk        => CLK,
+         rd_en         => lvl1_buffer_rd_en,
+         rst           => RESET,
+         wr_clk        => TDC_CLK,
+         wr_en         => lvl1_buffer_wr_en,
+         dout          => lvl1_buffer_out,
+         empty         => lvl1_buffer_empty,
+         full          => lvl1_buffer_full,
+         rd_data_count => lvl1_buffer_counter);
+   lvl1_buffer_wr_en <= tdc_ready;
+   lvl1_buffer_in <= TDC_DATA_IN;
+   LVL1_FIFO_LVL2: lvl1_fifo
+     port map (
+         clk        => CLK,
+         din        => lvl1_fifo_in,
+         rd_en      => lvl1_fifo_rd_en,
+         rst        => RESET,
+         wr_en      => lvl1_fifo_wr_en,
+         data_count => lvl1_fifo_counter,
+         dout       => lvl1_fifo_out,
+         empty      => lvl1_fifo_empty,
+         full       => lvl1_fifo_full);
+   TDC_DATA_OUT <= lvl1_fifo_out;
+   lvl1_trigger_pulse <= START_TDC_READOUT;
+   DELAY_COUNTER     : simpleupcounter_8bit
+     port map (
+       CLK       => CLK,
+       UP        => delay_up,
+       CLR       => delay_clr,
+       QOUT      => delay_qout
+       );
+   DELAY_FSM_CLOCK   : process (CLK, RESET)
+   begin 
+    if rising_edge(CLK) then
       if RESET = '1' then
-        write_address_tdc_data <= "0000000" & HOW_MANY_ADD_DATA + 1;  --+1_beacause_data_selector_count_to_0_!
-      elsif tdc_ready = '1' or add_data_pulse = '1' then
-        write_address_tdc_data <= write_address_tdc_data + 1;
+        delay_fsm_currentstate   <= IDLE;
       else
-        write_address_tdc_data <= write_address_tdc_data;
+        delay_fsm_currentstate   <= delay_fsm_nextstate;
       end if;
     end if;
-  end process WRITE_ADDRESS_CHANGE;
-  SAVE_DATA : process (CLK, RESET,START_TDC_READOUT )
+  end process DELAY_FSM_CLOCK;
+  -- purpose: delay trigger whitch is sending to TDC 
+  TO_DELAY_TRIGG : process (delay_fsm_currentstate,lvl1_trigger_pulse,delay_qout,DELAY_TRIGGER)
+  begin  
+    case (delay_fsm_currentstate) is
+      when IDLE    =>
+        trigger_register_00_i(1 downto 0) <= "01";
+        lvl1_trigger_pulse_delay <= '0';
+        delay_clr                <= '1';
+        delay_up                 <= '0';
+        if lvl1_trigger_pulse = '1' and DELAY_TRIGGER /= x"00" then
+          delay_fsm_nextstate    <= DELAY_1;
+        else
+          delay_fsm_nextstate    <= IDLE;
+        end if;
+      when DELAY_1 =>
+        trigger_register_00_i(1 downto 0)    <= "10";
+        lvl1_trigger_pulse_delay <= '0';
+        delay_clr                <= '0';
+        delay_up                 <= '1';
+        if delay_qout = DELAY_TRIGGER(7 downto 0) then
+          delay_fsm_nextstate    <= DELAY_2;
+        else
+          delay_fsm_nextstate    <= DELAY_1;
+        end if;
+      when DELAY_2 =>
+        trigger_register_00_i(1 downto 0)    <= "11";
+        lvl1_trigger_pulse_delay <= '1';
+        delay_clr                <= '1';
+        delay_up                 <= '0';
+        delay_fsm_nextstate      <= IDLE;
+      when others  =>
+        trigger_register_00_i(1 downto 0)    <= "11";
+        lvl1_trigger_pulse_delay <= '0';
+        delay_clr                <= '1';
+        delay_up                 <= '0';
+        delay_fsm_nextstate      <= IDLE;
+    end case;
+  end process TO_DELAY_TRIGG;
+  lvl1_trigger_pulse_start <= lvl1_trigger_pulse when DELAY_TRIGGER = x"00" else lvl1_trigger_pulse_delay;
+   LVL1_START         : process (CLK, RESET)
+  begin 
+    if rising_edge(CLK)  then 
+      if RESET = '1' then 
+        LVL1_START_fsm_currentstate <= IDLE;
+        lvl1_tdc_trigg_i  <= '0';
+      lvl1_fifo_wr_en <= '0';
+      lvl1_fifo_in <= lvl1_buffer_out;
+      lvl1_buffer_rd_en <= '0';
+      lvl1_busy_i <= '0';
+      lvl1_tdc_trigg_i         <= '0';
+      else 
+        LVL1_START_fsm_currentstate <= LVL1_START_fsm_nextstate;
+        lvl1_tdc_trigg_i  <= lvl1_tdc_trigg_i_fsm;
+      lvl1_fifo_wr_en <= lvl1_fifo_wr_en_fsm;
+      lvl1_fifo_in <= lvl1_fifo_in_fsm ;
+      lvl1_buffer_rd_en <= lvl1_buffer_rd_en_fsm;
+      lvl1_busy_i <= lvl1_busy_i_fsm;
+      lvl1_tdc_trigg_i         <= lvl1_tdc_trigg_i_fsm;
+      end if;
+    end if;
+  end process LVL1_START;
+  TRIGGER_WITHOUT_HADES_PULSER : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => TRIGGER_WITH_GEN,
+      pulse     => trigger_with_gen_pulse);
+  LVL1_START_FSM_PROC : process (LVL1_START_fsm_currentstate,RECEIVED_TDC_TOKEN, trigger_with_gen_pulse, lvl1_trigger_pulse_start, add_data_counter, lvl1_data_counter, lvl1_or_lvl2_is_busy, how_many_add_data, lvl1_code, lvl1_buffer_empty, trigger_with_gen_en, add_data_i, second_header, first_header, lvl1_buffer_out)
+  begin
+    lvl1_tdc_trigg_i_fsm  <= '0';
+    lvl1_fifo_wr_en_fsm <= '0';
+    lvl1_fifo_in_fsm <= lvl1_buffer_out;
+    lvl1_buffer_rd_en_fsm <= '0';
+    lvl1_busy_i_fsm <= '0';
+    lvl1_tdc_trigg_i_fsm         <= '0';
+    LVL1_START_fsm_nextstate   <= IDLE;
+    case (LVL1_START_fsm_currentstate) is
+      when IDLE         =>
+        trigger_register_00_i(5 downto 2) <= x"1";
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        lvl1_busy_i_fsm <= '0';
+        if (lvl1_trigger_pulse_start = '1'and LVL1_CODE /= x"d") or (TRIGGER_WITH_GEN_EN ='1' and trigger_with_gen_pulse = '1' and lvl1_or_lvl2_is_busy = '0' ) then
+          LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_1;
+        else
+          LVL1_START_fsm_nextstate <= IDLE;
+        end if;
+      when SEND_LVL1_TRIGG_1 =>       
+        trigger_register_00_i(5 downto 2) <= x"2";
+        lvl1_tdc_trigg_i_fsm         <= '1';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_2;
+      when SEND_LVL1_TRIGG_2 =>
+        trigger_register_00_i(5 downto 2) <= x"3";
+        lvl1_tdc_trigg_i_fsm         <= '1';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_3;
+      when SEND_LVL1_TRIGG_3 =>
+        trigger_register_00_i(5 downto 2) <= x"4";
+        lvl1_tdc_trigg_i_fsm         <= '1';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= SEND_LVL1_TRIGG_4;
+      when SEND_LVL1_TRIGG_4 =>
+        trigger_register_00_i(5 downto 2) <= x"5";
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= WAIT_FOR_TOKEN;
+      when WAIT_FOR_TOKEN =>
+        trigger_register_00_i(5 downto 2) <= x"6";
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        if  RECEIVED_TDC_TOKEN = '1' then
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_1;
+        else
+          LVL1_START_fsm_nextstate   <= WAIT_FOR_TOKEN;
+        end if;
+      when SAVE_ADD_DATA_1 =>
+        trigger_register_00_i(5 downto 2) <= x"7";
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        lvl1_fifo_in_fsm <= first_header;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_buffer_rd_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+        LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_2;
+      when SAVE_ADD_DATA_2 =>
+        trigger_register_00_i(5 downto 2) <= x"8";
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        lvl1_fifo_in_fsm <= second_header;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        if HOW_MANY_ADD_DATA = x"00" then
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_4;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_3;
+        end if;
+      when SAVE_ADD_DATA_3 =>
+        trigger_register_00_i(5 downto 2) <= x"9";
+        lvl1_tdc_trigg_i_fsm   <= '0';
+        lvl1_fifo_in_fsm <= add_data_i;
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        if add_data_counter = x"0f" then
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_4;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_3;
+        end if;
+      when SAVE_ADD_DATA_4 =>
+        trigger_register_00_i(5 downto 2) <= x"a";
+        lvl1_tdc_trigg_i_fsm <= '0';
+        lvl1_fifo_wr_en_fsm <= '1';
+        lvl1_fifo_in_fsm <= lvl1_buffer_out;
+        lvl1_buffer_rd_en_fsm <= '1';
+        lvl1_busy_i_fsm <= '1';
+--        if lvl1_buffer_empty = '1' then  --here !!! correct this !!!
+        if lvl1_data_counter = x"0000" then  
+          LVL1_START_fsm_nextstate   <= SEND_LVL2_TRIGG;
+        else
+          LVL1_START_fsm_nextstate   <= SAVE_ADD_DATA_4;
+        end if;
+      when SEND_LVL2_TRIGG  =>
+        trigger_register_00_i(5 downto 2) <= x"c";  
+        lvl1_tdc_trigg_i_fsm  <= '0';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= lvl1_buffer_out;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        LVL1_START_fsm_nextstate   <= IDLE;
+      when others =>
+        trigger_register_00_i(5 downto 2) <= x"d";  
+        lvl1_tdc_trigg_i_fsm  <= '0';
+        lvl1_fifo_wr_en_fsm <= '0';
+        lvl1_fifo_in_fsm <= lvl1_buffer_out;
+        lvl1_buffer_rd_en_fsm <= '0';
+        lvl1_busy_i_fsm <= '1';
+        lvl1_tdc_trigg_i_fsm         <= '0';
+        LVL1_START_fsm_nextstate   <= IDLE;
+       end case;
+  end process LVL1_START_FSM_PROC;
+  SAVE_NUMBER_OF_LVL1_WORDS: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then
+      if RESET = '1' then     
+         lvl1_data_counter <= (others => '0');
+      elsif LVL1_START_fsm_currentstate = SAVE_ADD_DATA_3 then
+        lvl1_data_counter <= words_in_event - 3 - HOW_MANY_ADD_DATA;
+      elsif LVL1_START_fsm_currentstate = SAVE_ADD_DATA_4 and lvl1_data_counter > 0 then
+        lvl1_data_counter <= lvl1_data_counter - 1;
+      else
+        lvl1_data_counter <= lvl1_data_counter;
+      end if;
+    end if;
+  end process SAVE_NUMBER_OF_LVL1_WORDS;
+--   not_tdc_clk <= TDC_CLK;
+   TDC_TRIGGER_PULSER : edge_to_pulse
+     port map (
+       clock     =>  TDC_CLK,
+       en_clk    => '1',
+       signal_in => lvl1_tdc_trigg_i,
+       pulse     => tdc_start_i);
+  TDC_START <= tdc_start_i;--lvl1_tdc_trigg_ff;--tdc_start_i;
+  SEND_TOKEN: process (TDC_CLK, RESET)
+  begin 
+    if rising_edge(TDC_CLK) then 
+      if RESET = '1' then         
+        SEND_TDC_TOKEN <= '0';
+      else
+        SEND_TDC_TOKEN <= tdc_start_i;
+      end if;
+    end if;
+  end process SEND_TOKEN;
+  SAVE_DATA : process (CLK, RESET,lvl1_tdc_trigg_i)
   begin
     if rising_edge(CLK) then
       if RESET = '1' then
@@ -282,179 +535,141 @@ begin
         counter_f_i <= (others => '0');
         counter_g_i <= (others => '0');
         counter_h_i <= (others => '0');
-        counter_i_i <= (others => '0');
-        counter_j_i <= (others => '0');
-        counter_k_i <= (others => '0');
-        counter_l_i <= (others => '0');
-        counter_m_i <= (others => '0');
-      elsif START_TDC_READOUT = '1' then
-        counter_a_i <= x"00000" & "00" & how_many_words_in_fifo;--COUNTER_a;
-        counter_b_i <= x"000000" & MY_LVL1_COUNTER;--COUNTER_b;
+      elsif lvl1_tdc_trigg_i = '1' then  
+        counter_a_i <= COUNTER_a;
+        counter_b_i <= COUNTER_b;
         counter_c_i <= COUNTER_c;
         counter_d_i <= COUNTER_d;
         counter_e_i <= COUNTER_e;
         counter_f_i <= COUNTER_f;
         counter_g_i <= COUNTER_g;
         counter_h_i <= COUNTER_h;
-        counter_i_i <= COUNTER_i;
-        counter_j_i <= COUNTER_j;
-        counter_k_i <= COUNTER_k;
-        counter_l_i <= COUNTER_l;
-        counter_m_i <= COUNTER_m;
       end if;
     end if;
   end process SAVE_DATA;
-  TOKEN_PULSE         : edge_to_pulse
-    port map (
-      clock              => TDC_CLK,
-      en_clk             => '1',
-      signal_in          => RECEIVED_TDC_TOKEN,
-      pulse              => received_tdc_token_pulse);
-  ADD_DATA_PULSE_MAKE : process (TDC_CLK, RESET,received_tdc_token_pulse,add_data_counter)
+   CHOOSE_DATA              : process (CLK, RESET, add_data_counter)
   begin
-    if rising_edge(TDC_CLK) then
-      if RESET = '1' or add_data_counter = x"0" then
-        add_data_pulse                        <= '0';
-      elsif received_tdc_token_pulse = '1' then
-        add_data_pulse                        <= '1';
+    if rising_edge(CLK) then
+      if RESET = '1' then
+        add_data_i <= x"00000000";
       else
-        add_data_pulse                        <= add_data_pulse;
+        case add_data_counter(3 downto 0) is
+          when "0000" => add_data_i <= counter_a_i;
+          when "0001" => add_data_i <= counter_b_i;
+          when "0010" => add_data_i <= counter_c_i;
+          when "0011" => add_data_i <= counter_d_i;
+          when "0100" => add_data_i <= counter_e_i;
+          when "0101" => add_data_i <= counter_f_i;
+          when "0110" => add_data_i <= counter_g_i;
+          when "0111" => add_data_i <= counter_h_i;
+          when others  => add_data_i <= x"deadface";
+        end case;
       end if;
-    end if;
-  end process ADD_DATA_PULSE_MAKE;
-  data_selector                           <= add_data_pulse & add_data_counter(3 downto 0);
-  CHOOSE_DATA              : process (TDC_CLK, RESET, data_selector)
-  begin
---    if rising_edge(TDC_CLK) then
-      case data_selector is
-        when "10000" => data_to_lvl1_fifo <= first_header;
-        when "10001" => data_to_lvl1_fifo <= second_header;
-        when "10010" => data_to_lvl1_fifo <= counter_a_i;
-        when "10011" => data_to_lvl1_fifo <= counter_b_i;
-        when "10100" => data_to_lvl1_fifo <= counter_c_i;
-        when "10101" => data_to_lvl1_fifo <= counter_d_i;
-        when "10110" => data_to_lvl1_fifo <= counter_e_i;
-        when "10111" => data_to_lvl1_fifo <= counter_f_i;
-        when "11000" => data_to_lvl1_fifo <= counter_g_i;
-        when "11001" => data_to_lvl1_fifo <= counter_h_i;
-        when "11010" => data_to_lvl1_fifo <= counter_i_i;
-        when "11011" => data_to_lvl1_fifo <= counter_j_i;
-        when "11100" => data_to_lvl1_fifo <= counter_k_i;
-        when "11101" => data_to_lvl1_fifo <= counter_l_i;
-        when "11110" => data_to_lvl1_fifo <= counter_m_i;
-        when others  => data_to_lvl1_fifo <= TDC_DATA_IN;
-      end case;
- --   end if;
+    end if;  
   end process CHOOSE_DATA;
-  end_event_marker_in_i    <= '1' when data_selector = "10000" else '0';
-  TDC_READOUT_COMPLETED    <= end_event_marker_in_i;
-  LVL1_TRIGGER_PULSE         : edge_to_pulse
-    port map (
-      clock              => TDC_CLK,
-      en_clk             => '1',
-      signal_in          => START_TDC_READOUT,
-      pulse              => start_tdc_readout_pulse);
-   process (TDC_CLK, RESET)
-   begin
-     if falling_edge(TDC_CLK) then  
-       if RESET = '1' then      
-         start_tdc_readout_90_deg <= start_tdc_readout_90_deg;
-       else
-         start_tdc_readout_90_deg <= start_tdc_readout_pulse;
-       end if;
-     end if;
-   end process;
-  ADD_DATA_COUNTER_CONTROL : process (TDC_CLK, RESET,START_TDC_READOUT,add_data_pulse, start_tdc_readout_pulse)
+  add_data_pulse <= '1' when SAVE_ADD_DATA_3 = LVL1_START_fsm_nextstate else '0';
+  not_tdc_clk <= TDC_CLK;
+  ADD_DATA_COUNTER_CONTROL : process (CLK,RESET,lvl1_tdc_trigg_i,add_data_pulse)
   begin
-    if rising_edge(TDC_CLK) then
-      if RESET = '1' or start_tdc_readout_pulse = '1' then
-        add_data_counter <= HOW_MANY_ADD_DATA + 1;
-        saved_address    <= write_address_tdc_data;
+    if rising_edge(CLK) then
+      if RESET = '1' or lvl1_tdc_trigg_i = '1' then
+        add_data_counter <= x"1" & HOW_MANY_ADD_DATA(3 downto 0) - 1;
       elsif add_data_pulse = '1' then
         add_data_counter <= add_data_counter - 1;
-        saved_address    <= saved_address - 1;
-      else
-        add_data_counter <= add_data_counter;
-        saved_address    <= saved_address;
       end if;
     end if;
   end process ADD_DATA_COUNTER_CONTROL;
-  tdc_ready_or_add_word  <= tdc_ready or add_data_pulse;
-  COUNT_WORDS_IN_EVENT : process (TDC_CLK, RESET, tdc_ready_or_add_word,start_tdc_readout_pulse)
-  begin
-    if rising_edge(TDC_CLK) then
-      if RESET = '1' or start_tdc_readout_pulse = '1' then
-        words_in_event <= x"0000";
-      elsif tdc_ready_or_add_word = '1' then
-        words_in_event <= words_in_event +1;
-      else
-        words_in_event <= words_in_event;
-      end if;
-    end if;
-  end process COUNT_WORDS_IN_EVENT;
-  lvl1_tag_minus_one <= LVL1_TAG - 1;
-  first_header  <= x"a" & LVL1_CODE & LVL1_TAG &  words_in_event;
-
-  second_header <= x"02" & x"00" & x"00" & HOW_MANY_ADD_DATA;
-  write_address <= write_address_tdc_data when add_data_pulse = '0' else saved_address;
+   COUNT_WORDS_IN_EVENT : process (TDC_CLK, RESET, tdc_ready, lvl1_tdc_trigg_i)
+   begin
+     if rising_edge(TDC_CLK) then
+       if RESET = '1' or tdc_start_i = '1' then
+         words_in_event <= x"0003" + HOW_MANY_ADD_DATA;
+       elsif tdc_ready = '1' then
+         words_in_event <= words_in_event + 1;
+       end if;
+     end if;
+   end process COUNT_WORDS_IN_EVENT;
+ --  words_in_event <= x"0001" + HOW_MANY_ADD_DATA + 2 + lvl1_buffer_counter;
+   lvl1_tag_minus1 <= LVL1_TAG - 1;
+   first_header  <= x"0" & LVL1_CODE & lvl1_tag_minus1 &  words_in_event;
+   second_header <= x"030000" & HOW_MANY_ADD_DATA;
   -----------------------------------------------------------------------------
   ----------------------------------------------------------------------------
   -- LVL2 logic (only CLK domain)
   -----------------------------------------------------------------------------
   -----------------------------------------------------------------------------
-   SAVE_LVL2_VALID: process (CLK, RESET,lvl2_trigger_pulse)
- begin 
-   if rising_edge(CLK) then  
-     if RESET = '1' then
-       lvl2_valid_saved <= '0';
-     elsif lvl2_trigger_pulse = '1' then
-       lvl2_valid_saved <= LVL2_TRIGGER(1);
+   LVL2_START         : process (CLK, RESET)
+   begin 
+     if rising_edge(CLK)  then 
+       if RESET = '1' then 
+         LVL2_START_fsm_currentstate <= IDLE;
+         lvl1_fifo_rd_en <= '0';
+         tdc_data_valid_i <= '0';
+       else
+         lvl1_fifo_rd_en <= lvl1_fifo_rd_en_fsm;
+         tdc_data_valid_i <= tdc_data_valid_i_fsm;
+         LVL2_START_fsm_currentstate <= LVL2_START_fsm_nextstate;
+       end if;
      end if;
-   end if;
- end process SAVE_LVL2_VALID;
- LVL2_TRIGGER_PULSER   : edge_to_pulse
-    port map (
-      clock     => CLK,
-      en_clk    => '1',
-      signal_in => LVL2_TRIGGER(0),
-      pulse     => lvl2_trigger_pulse);
-   COUNT_DOWN_READOUT: process (CLK, RESET,lvl2_trigger_pulse,how_many_words_in_event)
+   end process LVL2_START;
+   START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read)
+   begin
+     lvl2_debug (2 downto 0) <= "000";
+     lvl1_fifo_rd_en_fsm <= '0';
+     tdc_data_valid_i_fsm <= '0';
+     LVL2_START_fsm_nextstate <= IDLE;
+     case (LVL2_START_fsm_currentstate) is
+       when IDLE =>
+         lvl2_debug (2 downto 0) <= "001";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then
+             LVL2_START_fsm_nextstate <= READOUT_WORD1;
+         else
+             LVL2_START_fsm_nextstate <= IDLE;
+         end if;
+       when  READOUT_WORD1 =>
+         lvl2_debug (2 downto 0) <= "010";
+         lvl1_fifo_rd_en_fsm <= '1';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= READOUT_WORD2;
+       when  READOUT_WORD2 =>
+         lvl2_debug (2 downto 0) <= "011";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;   
+       when SAVE_EVENT_SIZE =>
+         lvl2_debug (2 downto 0) <= "100";
+         lvl1_fifo_rd_en_fsm <= '0';
+         tdc_data_valid_i_fsm <= '0';
+         LVL2_START_fsm_nextstate <= SEND_DATA1;
+       when  SEND_DATA1 =>
+         lvl2_debug (2 downto 0) <= "101";
+         lvl1_fifo_rd_en_fsm <= ETRAX_IS_READY_TO_READ;
+         tdc_data_valid_i_fsm <= '1';
+         if how_many_words_in_event(15 downto 0) = x"0000" then
+           LVL2_START_fsm_nextstate <= IDLE;
+         else
+           LVL2_START_fsm_nextstate <= SEND_DATA1;
+         end if;
+     end case;
+   end process START_LVL2_FSM;
+   COUNT_DOWN_READOUT: process (CLK, RESET,how_many_words_in_event)
    begin
      if rising_edge(CLK) then
        if RESET = '1' then     
          how_many_words_in_event <= (others => '0');
-       elsif lvl2_trigger_pulse_delay = '1' then
-         how_many_words_in_event <= x"1" & doutb_i(15 downto 0);
-       elsif lvl2_trigger_pulse_delay = '0' and how_many_words_in_event > x"0ffff" and (ETRAX_IS_READY_TO_READ = '1' or READ_ADRESS_END_UP='1')then
-         --this should be without and ETRAX_IS_READY_TO_READ - this is simple
-         --version of readout - in next whole event has to be written without
-         --waiting for etrax - ifthere is no LVL2 busy from etrax
+       elsif LVL2_START_fsm_currentstate = SAVE_EVENT_SIZE then
+         how_many_words_in_event <= '1' & lvl1_fifo_out(15 downto 0);
+       elsif how_many_words_in_event > x"0ffff" and ETRAX_IS_READY_TO_READ = '1' then -- or READ_ADRESS_END_UP='1')then
          how_many_words_in_event <= how_many_words_in_event - 1;
        end if;
      end if;
    end process COUNT_DOWN_READOUT;
-
- MAKE_LVL2_PULSE : process (CLK, RESET, how_many_words_in_event )
-  begin
-    if rising_edge(CLK) then
-      if RESET = '1'  then
-        tdc_data_valid_i        <= '0';
-        read_data_address_up  <= '0';
-      elsif how_many_words_in_event > x"10000" then
-        tdc_data_valid_i        <= '1';--lvl2_valid_saved;
-        read_data_address_up  <= '1';
-      elsif how_many_words_in_event = x"10000" then
-        tdc_data_valid_i        <= '0';--lvl2_valid_saved;
-        read_data_address_up  <= '1';
-      else
-        tdc_data_valid_i      <= '0';--tdc_data_valid_i;
-        read_data_address_up  <= '0';--read_data_address_up;
-      end if;
-    end if;
-  end process MAKE_LVL2_PULSE;
   SYNCH_DATA_VALID: process (CLK, RESET)
   begin  
-    if falling_edge(CLK) then --correct this !!!!!!!!!!!!!!!!(falling?)
+    if rising_edge(CLK) then --correct this !!!!!!!!!!!!!!!!(falling?)
       if RESET = '1' then    
         TDC_DATA_VALID <= '0';
       else
@@ -462,109 +677,95 @@ begin
       end if;
     end if;
   end process SYNCH_DATA_VALID;
-  TDC_LVL2_BUSY <= tdc_data_valid_i;
-  DELAY_LVL2_TRIGGER: process (CLK, RESET)
-  begin
-    if rising_edge(CLK) then 
-      if RESET = '1' then 
-        lvl2_trigger_pulse_delay <= '0';
-        
-        lvl2_trigger_pulse_1delay  <= '0';
-        lvl2_trigger_pulse_2delay  <= '0';
-        lvl2_trigger_pulse_3delay  <= '0';
-        lvl2_trigger_pulse_4delay  <= '0';
-        
-      else
-        lvl2_trigger_pulse_1delay <= lvl2_trigger_pulse;
-        lvl2_trigger_pulse_2delay <= lvl2_trigger_pulse_1delay;
-        lvl2_trigger_pulse_3delay <= lvl2_trigger_pulse_2delay;
-        lvl2_trigger_pulse_4delay <= lvl2_trigger_pulse_3delay;
-        lvl2_trigger_pulse_delay  <= lvl2_trigger_pulse_4delay;
-
---        lvl2_trigger_pulse_delay <= lvl2_trigger_pulse;
-      end if;
-    end if;
-  end process DELAY_LVL2_TRIGGER;
-  READ_ADDRESS_CHANGE       : process (CLK, RESET, read_data_address_up)
-  begin
-    if rising_edge(CLK) then
-      if RESET = '1' then
-        read_address          <= (others => '0');
---        saved_beg_address <= (others => '0');
---        elsif lvl2_trigger_pulse_delay = '1' then
--- --         saved_beg_address <= saved_beg_address + doutb_i(14 downto 0);
---          read_address      <= saved_beg_address + doutb_i(14 downto 0);
-      elsif (read_data_address_up = '1' and ETRAX_IS_READY_TO_READ = '1') or READ_ADRESS_END_UP='1' then
-        read_address          <= read_address + 1;
-      else
-        read_address          <= read_address;
-        saved_beg_address <= saved_beg_address;
-      end if;
-    end if;
-  end process READ_ADDRESS_CHANGE;
---     SAVE_BEG_ADDRESS: process (CLK, RESET)
---    begin 
---    if rising_edge(CLK) then  -- rising clock edge
---      if RESET = '1' then
---        saved_beg_address <= (others => '0');
---      elsif lvl2_trigger_pulse = '1' then
---        saved_beg_address <= saved_beg_address + doutb_i(14 downto 0);
---      else
---        saved_beg_address <= saved_beg_address;
---      end if;
---    end if;
---    end process  SAVE_BEG_ADDRESS;
-  -----------------------------------------------------------------------------
-  ----------------------------------------------------------------------------
-  -- Mixed LVL1 and LVL2  
-  -----------------------------------------------------------------------------
-  -----------------------------------------------------------------------------
-  WORD_ADD_TO_MEMORY: process (TDC_CLK, RESET)
-  begin 
-    if rising_edge(TDC_CLK) then
-      if RESET = '1' then       
-          word_ram_counter_up <= (others => '0');
-      elsif tdc_ready_or_add_word = '1' then
-        word_ram_counter_up <= word_ram_counter_up + 1;
-      end if;
-    end if;
-  end process WORD_ADD_TO_MEMORY;
-  WORD_SEND_FROM_MEMORY: process (CLK, RESET,ETRAX_IS_READY_TO_READ)
+   
+  not_tdc_data_valid_i <= not tdc_data_valid_i;
+  LVL2_BUSY_END_PULSER   : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => not_tdc_data_valid_i,
+      pulse     => lvl2_busy_end_pulse);
+  LVL2_BUSY_SET: process (CLK, RESET)
   begin 
-    if rising_edge(CLK) then
-      if RESET = '1' then       
-          word_ram_counter_down <= (others => '0');
---    elsif (read_data_address_up = '1' and ETRAX_IS_READY_TO_READ = '1') or READ_ADRESS_END_UP='1' then
-      elsif ((tdc_data_valid_i = '1' and ETRAX_IS_READY_TO_READ = '1') or READ_ADRESS_END_UP='1') and how_many_words_in_fifo /= "0000000000000000" then
-        word_ram_counter_down <= word_ram_counter_down + 1;
-      end if;
-    end if;
-  end process WORD_SEND_FROM_MEMORY;
-  REGISTER_DIFFERENCE: process (CLK, RESET)
-  begin
-    if CLK'event and CLK = '1' then  -- rising clock edge
-      if RESET = '1' then                 -- asynchronous reset (active low)
-        how_many_words_in_fifo <= (others => '0');
-      else
-        how_many_words_in_fifo <= word_ram_counter_up - word_ram_counter_down;      
+    if rising_edge(CLK) then 
+      if RESET = '1' or lvl2_busy_end_pulse = '1'then           
+        lvl2_busy_i <= '0';
+      elsif LVL2_START_fsm_currentstate  /= IDLE then
+        lvl2_busy_i <= '1';
       end if;
     end if;
-  end process REGISTER_DIFFERENCE;
-
-  TDC_LVL1_BUSY <= '1' when how_many_words_in_fifo > "011111111" else '0';   
+  end process LVL2_BUSY_SET;
+  LVL2_BUSY_START_PULSER   : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => lvl2_busy_i,
+      pulse     => lvl2_busy_start_pulse); 
+  lvl1_memory_busy_i <= '1' when lvl1_fifo_counter > "00001111111111" else '0';   
                                         --set
                                         --to
                                         --max
                                         --value
                                         --!!!!!!! and cut data funktion should
                                         --be implemented - with busy or max
-                                        --size or last event ? or both 
-  
+                                        --size or last event ? or both
+  LVL1_OR_LVL2_BUSY: process (CLK, RESET, lvl1_busy_i, lvl2_busy_end_pulse)
+  begin  
+    if rising_edge(CLK) then 
+      if RESET = '1' then    
+        lvl1_or_lvl2_is_busy <= '0';
+      elsif lvl1_busy_i = '1'  then
+        lvl1_or_lvl2_is_busy <= '1';
+      elsif lvl2_busy_end_pulse = '1' then  
+        lvl1_or_lvl2_is_busy <= '0';
+      end if;
+    end if;
+  end process LVL1_OR_LVL2_BUSY;
+
+  LVL2_BUSY <= lvl2_busy_i;
+  TDC_READOUT_COMPLETED <= lvl2_busy_end_pulse;
   -----------------------------------------------------------------------------
   -- end writing to fifo when TDC_LVL1_BUSY and read all data and send finish signal
   -----------------------------------------------------------------------------
+  TEST_COUNTER_a     : simpleupcounter_8bit
+     port map (
+       CLK       => TDC_CLK,
+       UP        => tdc_start_i,
+       CLR       => RESET,
+       QOUT      => test_counter_0
+       );
+  TEST_COUNTER_b     : simpleupcounter_8bit
+     port map (
+       CLK       => TDC_CLK,
+       UP        => RECEIVED_TDC_TOKEN,
+       CLR       => RESET,
+       QOUT      => test_counter_1
+       );
+  TEST_COUNTER_c     : simpleupcounter_8bit
+     port map (
+       CLK       => CLK,
+       UP        => lvl2_busy_start_pulse,--lvl2_trigger_pulse,
+       CLR       => RESET,
+       QOUT      => test_counter_2
+       );
+  TEST_COUNTER_d     : simpleupcounter_8bit
+     port map (
+       CLK       => CLK,
+       UP        => lvl2_busy_end_pulse,
+       CLR       => RESET,
+       QOUT      => test_counter_3
+       );
+  REGITERING_SIGNALS: process (CLK, RESET)
+  begin 
+    if rising_edge(CLK) then  -- rising clock edge
+      if RESET = '1' then  
+        LVL1_BUSY <= '0';
+      else
+        LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i;  --here
+      end if;
+    end if;
+  end process REGITERING_SIGNALS;
 end tdc_interface;
 
 
--- etrax czasem widzi za wczesnie header zamiast headera widzi dana z poprzedniego eventu ¿
---   moze READ_ADRESS_END_UP gdzeis jest zgubione ?
+
diff --git a/trb_v2b_fpga.stapl b/trb_v2b_fpga.stapl
new file mode 100644 (file)
index 0000000..f5c40ea
--- /dev/null
@@ -0,0 +1,3516 @@
+NOTE "CREATOR" "Xilinx iMPACT Software";
+NOTE "DATE" "2008/01/07";
+NOTE "STAPL_VERSION" "JESD71";
+NOTE "ALG_VERSION" "1";
+NOTE "DEVICE" "UNSPECIFIED";
+NOTE "CHECKSUM" "UNSPECIFIED";
+NOTE "IDCODE" "UNSPECIFIED";
+NOTE "USERCODE" "UNSPECIFIED";
+NOTE "TARGET" "UNSPECIFIED";
+NOTE "STACK_DEPTH" "4";
+NOTE "MAX_FREQ" "1000000";
+ACTION RUN_XILINX_PROC "Run Xilinx Procedure" = XILINX_PROC;
+DATA MAINDATA;
+INTEGER I = 0;
+INTEGER D = 0;
+ENDDATA;
+PROCEDURE XILINX_PROC USES ADJUST_DELAY, MAINDATA;
+BOOLEAN X = 0;
+IRSTOP IDLE;
+DRSTOP IDLE;
+STATE RESET IDLE;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+' //Loading device with 'idcode' instruction.
+IRSCAN 10, $03c9
+ ;
+DRSCAN 32, $00000000
+, COMPARE $f16a4093
+, $0fffffff
+, X;
+IF (!X) THEN GOTO F;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+' //Loading device with 'idcode' instruction.
+IRSCAN 10, $03c9
+ ;
+DRSCAN 32, $00000000
+, COMPARE $f16a4093
+, $0fffffff
+, X;
+IF (!X) THEN GOTO F;
+' //Loading device with 'bypass' instruction.
+IRSCAN 10, $03ff
+ ;
+' // Loading device with a `jprogram` instruction. 
+IRSCAN 10, $03cb
+ ;
+D = 1;
+WAIT D CYCLES;
+' // Loading device with a `bypass` instruction. 
+IRSCAN 10, $03ff
+ ;
+D = 21000;
+WAIT D CYCLES;
+' // Loading device with a `cfg_in` instruction. 
+IRSCAN 10, $03c5
+ ;
+D = 100000;
+WAIT D CYCLES;
+' // Check init_complete in ircapture.
+' //Loading device with 'Bypass' instruction.
+IRSCAN 10, $03ff
+, COMPARE $0010
+, $0010
+, X;
+IF (!X) THEN GOTO F;
+' STATE RESET;
+' // Loading device with a `cfg_in` instruction. 
+IRSCAN 10, $03c5
+, COMPARE $0000
+, $0000
+, X;
+IF (!X) THEN GOTO F;
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+'  WARNING: This STAPL file describes a data shift of more than 16K bits
+'  which might exceed the capacity of your run-time environment.
+DRSCAN 12259744, @q8s50000000@@l@@lAPggP8000003080010000E20000G000006081020GPrfC0e004GM9Go
+1D00GI00000C0m00400060C0W2040006080WqC82421YW09G05G03m@3W@dV@F@x@yp@pV@F
+__@uV@7Vy@ud@dUz@ql@dU_@qt@7U@@m@_Fym@3VCX88P0000GYa6a3G280G0YbC10081000
+0m0020050ro600000W4088204210YW009G090@10iAWJ0400QAG24000t5a3000K_100r7y0
+000YC4YQN1U00O0cpW400806Rm904005Gm3Go5W19S2800m@3U4@G2nGm0400W8Bm0000131
+0W39CuIYAMVGhI9IOMY7IEG200L1V8So612LkW40400cNuXCCgWhs3mL6LycXM40006he500
+0K6400IUuj1LW10WHyG3jCqIHlX030G5WCEg8bXAUJ1FC000d7Gog8FSCo3bgG2400W51suD
+cD00uddV3LprWHFC6ybJ2xXS2W41WOPa00G0000YW3I89b63gfXaqUyuVdD000mvC00evaPE
+z1cLXu10Gxxw1d4IX7z69qfJR0EE186ePQ2gYBYivjfG000mGK00eYfzIAWqYRWyHgAoMY4G
+WE0BXfrQDXif590008C600an3O000942Y8anc40002X400000WG400GLRR008U8ojb004HqE
+W19N0F00OLs200DmP6030WA0I0000e00CWY0mOOF9o2GYG1C8L06Y6OZe0getjA480004Y41
+100W09G440IW2a0GG400K6O20G420IW0C8O504d0p2u1000008G202HWaAG22a00Y6OZe0U0
+0vG00m14S200007E0000872u00WmfS800u8I200004aW0000W4H220HI0I41GG0XJ00000W3
+000000011G49000D7A1000I729H08806Gy1GI402Ia4I5814n10T2OGq0I45G5lmyVBE@Fub
+D7SQ0002vNuUxDHFc1j2mcE01UDJiclbQQ5tPQmVcHbe93TDg200@qiHVRtK6UVu4GS40xU5
+LtiE1000dP00mnaH5wHHnwc00WPiL20xtLX0j00y8xU5dTxToI00OKF6k@Vnx@ozOxl7I01o
+0CLu@XVM400Xrm7@@xo@x_y@muP8A@J000G0700eK@w00O0y0m1Bj_VQyNT_l4004gNN7lSp
+L1CK2mQl@7_7Cp_N10Wecy0heNWYoz73A000@ppG3zX02f0u@@t0003Sdz3zAwHI1WbzGH00
+Ywx@kYi7wgMRf100mZK00u@@M000HiiUEBsR30WNZ8Hp1Y00GQxc1Sc1ue@P0G0HSAFRy000
+Utscd2I30GupU@m0041Ow13AmScG@j1ui1G4yv0440OeVpuD00yENEFVkK6EE14000000400
+404101HmR68i6WwTpPZ6p000H5InC000W00400000CXyAIstU0030@@33C000Y0S10041wIE
+jhic100iBAe00KTN0Fd@Vx@C0840OLPgIAWq@@@@@@@VW_@6i@V1y@JG@@3u@l0@@@@@@@@@
+@R@@Ro@@@@@@@@@R47U8W005@J2eR1Wgp@4000jP00W@@VxMLO00mpcilSrVdGs3d0ws1OP@
+@dH@@Ou@@5@@@@@@ad@@uw@B__@Xp@Fuz@ptIbths0000g010WG@Hg1iDYjAX@mc1000InMK
+z@lJWDS0k5ugSAskzC900OF73t@pyp3040WOFI0V00GW_@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@V20003E00GVoya8DFJDV20W@YyZtxejJ0uh0KyyF@@RVKOC00000007_@@U0000w@@
+01x50y@F300G0_@dmInP0000Xt10Wt2lw@Va00SPcz0d000800000Mf1u@@F100noU00eUz@
+dd@@uzL4800000Lg@@dyUM6040000T0u@@9pj9XgSD8fW9@@d100300020@@@@o742809200
+0Mi100@@@Vxq@0OY000000Ov4W@@@@@Vr1Q@0CTzd000OrB00a1t@XXxz@@9000WsC00GOu@
+lE@@fx@@px@tS@@B@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fl@Vpz@ly@@pZ@Vyw@@E@@jx
+@@@@@@@@@ll@VxzUtgDC000eDF00y@@@@@N1100WP@R1mp1m@@@76_@XX@@K_@@@@@vq@@D@
+@@@@@@@@@@@@@@@@@@3FG02000000080623c@@J00001S00W@@@@@@@@@t90080000080W0a
+QdD00y3QA8j@@h00G000W0WT0s00000m06W85630W00080m@@h0G00mz76anc4r0O0000G73
+00@@@@@@90008CKnG6h03WBC0@@@9008Ms300rUOMD6Oy@V800Whl9qiU2V8AZ42Ca4mN30@
+@F60m000e00HGQ30004L200@@R6O00Wr2Oe35C_@N200Jj@@d6WK2Wc7me_19_@d1mh50VPc
+MOc6qcf4LQw1000SQ000@@F6620800WWA000ExjY7Vz00mBzrLcX2W0e2N3000G00G0e7t7I
+1uX@@V0uh2mQhZX2D070iR2X004000802080000WK00u@V300800000A5W7Yuw1e100@@@@@
+@60W3000U300O2S0m4m800Wpcz1C100f0ym@@F00r0u@@@@@F1W100bTd30WzX@@vfe33ghU
+30W00G80000A0C5U22000UzmW2aD82P3cw2ZdnC0qn3mbNO00010WG00004W9oCubC30000U
+xb1n0aG306iLc1rBBHXv6q163vWLncOIKsj10020000mwC00eKCC010028000400OlOC0080
+f7W46LBXKoCe7Q900010004eQz48000i5U2zhRG2xa00eLR3Up0001S2F31nd002004G00LD
+bGkP600W0gqC60Y_0qvK5D1aGjrE1008000WOK4C0000C_Q3MPpW3vOe4x4q800KBt6jwlKJ
+u6004000a00G00futI000Xm@@601W0e@g7g5t000nGt85oy@8z@V508008W00azW7WXU0oUO
+iApd9PV6aB0043t@drRp@@F0QN0u@@@NYYXGAo10GS@@@ZDN79N@l1802WWzCBg0U0A00y@@
+@9jo0008Y@@j1qM1GQ6cbF2FS200_@VCi0000810Q8zX@@F10GFQEBBLr2F@@V8P00000o0@
+@F3I00W@@@@@VH10204Vg4PecGrGd0000t700GT1orXKB00_BsMhhut8v@@G0120y@lV@kHo
+CjICQ@@HAyVziHrOv6@@B10WWcmm@@T_@Rt7C0010Zxr28e7W@@hx1JL000GdXJNlXNnUOm0
+00O_@@tofS6GlB0BI@spGm000Wsw00GXTlb3_6X@N10Wk_t7VB7SF6@l208E0ZX@@v@Fa3E9
+d@B10Wmdur@F8ENh797000000MNk38dT_J3er2GDn@4zUBxMrI2w9OJ00eP@@dGk8GF30BI@
+Mvrm0000si00GHp@FKz@1T@@Fv@tp_@xq@VE@@V1@@Lu@@@@@7c_@Vn@VN_@@@@@fg@@fy@N
+g@@Ju@@@@@VZ@@@@@@gs@dA_@dg@Vfy@Fg@@Hu@VLuS_@l100uU_@djPud10000ex3WTu@F7
+@@@Y@@ky@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@t0001mVmC0G00e103_@@XQ0qu@V9G200y@VH000G00G0aJm3@@R0a008000
+00200000Ga001h5m00100G080@@R0010G0004j6Omg1C02Y1eE03o2m0G000z1a000000210
+12m0840WJ4Ue803o38Xa2g0010m@@9iL0314O0000e@1C8f0300G00G00OJ06000GB200801
+300G02000wQW400W0000We6X424m08000N4y0801000000088000Wy@V5020008002800uxX
+7Q78X@@39f03_emfu1Iu_16YWm0002G@@F3GR5WB38v@VC0W00cZ13ZKO0080WjCCeM236p8
+X6AO8509srWXZDO0000dU14WjDy8e26cvGYrEgG0000000e_7C0001mb6900W0w@V3020WS_
+m3NHOG306y6n300e2suWXL9CO926Uc8Xl9a00W0000W002000100w59Xi7y8j1R0OL0az0Fj
+58nd0Bz@l1000OLE00y@VEviy0044W@@f20nQ@@@KjLWJ00C0_@t90004X@RJs@O000WNp00
+m@@y4IW4080000X0Kt@I00Ak@@d7040032nm@@6WA00e@c4_@l8Wh30fPW4000nP5I00A1Gs
+3BPQ00u@@V0W20q0W1pFOm656W000u@Va004zlHnF41000000G404v673000W0X800000W20
+0W@@H2uX0m@@@qOb10W20@i9XbcO8aYYyA00ClbGW000stA1Ke1u0WK2scP900gq@@p32b0O
+0001000Wx0m0Q000JKiq@@Q1W3000U30000y@@N2K00m@@v0WH000I20000a60Cm800m@@es
+h333NnG5HOy@V80fL0_@dddPaOG7R2Dp3@300@@B4020010G0L2fowGj00WVv@@kc2ZXIuUu
+@VL0z60y@lG00010010G040u@Vg000WhF00O2CLcHq02A00Twb0014000000011EZoZX0Vu@
+@M008KzMcD00W0gio0I0080040088W000G010Wm@@K1oU1ulCO00W4ieN200mOcko0G410Ti
+5IoLvWI00OzDL_@t04080000G2OA1020W00420000G040eY4R_@t300FD@@tIZL6y4s3pTo0
+0G0WYMQv@VI40G0qgsCr2oGBc68000uQj4000Ky@lJK300MKebrJD000080G0W14b0220m@@
+H108y@@@J0G0W0000000GKc8Cah633eQGRc9y@@I0U40_@@400G400G000YGy@l1W0W04200
+a8x3080000040004v@@b000mu8008IlJ04000141ujp400002140000W80042000200080e0
+00W8G0080GwZE100JgqWMeWI00W400GA100001c1Z20400088AG90G0Y141b0000W0YBnPG7
+NE1cD0u@@Je00Y0I00010e0251240000G00000Q00Hq000G004m0W0A10G108W10KNe1F0k4
+000Us200@@J200m30A20W2e0CX8004022GC180820094W0Z0000220g0A20324a008412OFd
+Mn@@y00uly@@JQIE100W0G4804090aze1@5R0008H00G280W06Wq089Y0H5i4er1W@@F1D10
+208j101W820W8040qA00Y0fDGh449WK0UG300m@@eY900000Jm@@5108hw@@J0WH000dZa0o
+0E1y64383uEAIGCGa2ue85WtHAGA0C8I10u@@e0OT0y@@92F0c1S00uVy1m@Oc9cnCJ11W@2
+Yvg44ymB8uX7Lm3VA09WP00e2EX2Dx1E000@@RM3qv00mKEqqPE0dXaHMw@VC0Qk446lPlIb
+J0fvKKV2bM5r@@I00mQT5wG0002G0000008Gox60GG40000004G800W00G4408020000082W
+820002800TXH50J7W@@FX00W04LX10004GG64_@t01300000C021000GG08800400WK0CeIw
+eWB00S8y9001W400000W10A0000000010H0000W0mGarl12a006FAgk@D00Wp@O8X0008OhE
+3GW0Ke000002H820000G0100G10090G00600001X8W008008W000i00CoTKW8H0cuVZPmCeg
+C300000W0Y0W00GLcIqG1LXXp0k00W34310Y4GirCymi100X0wLq00020G0080W00a7jJp@d
+00WWc@@31H00000W80800HWRGF_6000G0400Gor9C4MKp@p0uV7WEzEvrO3I_tWa9J000800
+00Y3pD00G0mGwH5_e1S4002f840200810000H088Y0002YmRq6801208000G00000Y040X00
+W00qZ9FfPaJY_6GK00W880042000005W0G4srF101002000G000020000020000K0W40DxJ5
+834W@@t009000K200200K20b00G080GKYI00f40mCA10W00Hp0WI0004X40Af40Y0HH4hI00
+00I1mWaKZYl00GzdRWG00010e108a00GW20YGC101400H84X0G00W0060008G10OW80W0200
+e60A0800A0W19IJ5007q@@t004040080IG02Y0W90dJ8000GG0831G14040GG02nWG18eo8E
+W0We80GG4K021704O0uO108WW1Owwe06P0y@@6W08000028C000244000W2002W0G110W8W1
+98I18W40G04004X001I08W00G00GW09020GaaBkZ20008j10WzbtG000010WQ10GCG_5g5W0
+10vN4006400Y1H0GV02820z2z1mW00qB8203280HW80f0OGOuH10mDu@@D00yW20000zL500
+0000iR00eR0000OYPc100@30@N00_l802VH0y@Ym3UmLbgKL5c1mVB2UuMaP0MDFAGY40@@l
+11i600u7OE8ImTKa2uW85WrHA0@0x0U3_Hy3y349u78o3EGa7uj8FmFWVWt0@0@I1m07000v
+775210WAQt0y000LnCJ10W@2Irm54pCB8uX7u0yFCpaPKLLGeggWmSL1HLL32ymJ5LL5pK0I
+OsSKJ56f@@D0q12GP@vSJU2Z7TLN@irupF00wW_@ddGSJ8vgk09F0iUSB7jomUzCqmDL9Id0
+Z00WGy395V30008004G022WG406qhl10K0000080100000W04G0Wxrf20WfTOumW1008Hu4G
+00001000008mbq6y27L00000180y@l7xbRmWv6G0040140020G008W0dcRmX_6yRk18G02wz
+0u030EWX@@du@_D_VVa@pNv@xP_lUd@d7w@ub_@Tg@Rtw@rn_FaoORrt00080Vtd001000A0
+0dyJo8@64bl100s@@@NB0F00D0O0060W@@Ju2W400000040_@V9I1G20K90Wl2cI3rI00m72
+c00000WII0WFfWCJ_SFG000ZjRml@d0q70ujS6240cs@RPiV3_mtWa2Qnp00GhxC0400ucyh
+oWqRW990hhlHR490W00G0000GG80G8000G001010080GuAr700G200508WKge200S9n90404
+0000004G10O0000018400lzQ0410GXW04G10a0200KP1L00CiYKW400O0080G00H0800900K
+0020W00G40RfQ020W80GY0808A00X0100OPPVg07O0aqRB00010W00Vsw3jtO000aWOYlYX0
+0mU@X88020000WG00W0GI0000100GaVeJG000000W804C00W80IsFA045ULqw1000nP@DG40
+0G11F0011eKV9G080iiVK08G0omr300WWfmKnms6ChI2lNdGRlH100Wab00GIqXS_j1lVBHU
+qTb@V200UucxV304080000410W200020044008gc@J0082000400840n@d00820X020G240M
+sFA02E0pzl1090008200G00Ga0H0011uSR60400e400e4T3ols000WW0001AQcAB100rzl18
+10WO@DG2A00000H1eWI00m040fA1081GJ100WW400W80KG10GG008150000G4I0GfazZ20Wl
+TS@a0021000W0HC000G00q04480100040020G000006000000WW90420500008OrrK1mT0e7
+VF00WX00G00W000GG00010008Y80O182u00ORT34H00000044e000080Wi0C0001g_WX@@Ho
+e00G@yXifl1000WG000008400800800agHI0028GGy60020eVTgAd8X@@t000K321019GxD0
+70Ir0G00S0840210wb00Wmk98y6W100D00006042010WOtG98Ow50_@FAGW00@@x10q70000
+5eF05_@V30mAh0000W1_1Kj@Li100Mrt3w0G000qH000d100y60083m1mRWC2J0@C00CH00W
+H000I200a140e986nFGD20WxC008R@e004Gy@@6006_10000Cy38zT@0kn0izMH95qbC10Wr
+e2PCe4Q_@10W00D_mmg7K100000G0OU@@qXe4@@750_4W@@zOSh4kFHYOaIe9f7_@t9000CA
+7002rh2000420006lqWkjCO2E300W0KCf104108002iRf101W1001000G4eP6g00uS_Wp6hb
+P0010WR1DG0eWGfA6010G42W01K1WWr1D008004W000W0000GK24aAGiA0xCk1060W34J000
+C0A000000m0W110090000W20300000200010080W40400000GI1GOFH100WcK00GGaL0000i
+Xh40200U7M2ZdQ004020N00xmP000G0080100020084y@FL00_E@@FahtIG0000010010G0z
+@PGWP6000OgLC6s3ZAX470Zc520W0000W80000400YG40Wubp4W100Cjc1000OYbrWRFe200
+0PYBX0000W000u6SC00W10G0000G0eyQDOhJ3W0020000KG10m@@H100Pv@@D0W80C6Q2V@n
+Guf6000GizK300W0G0008YF3EkYA0u70@@J288G401008G0000G0100W004000080G100rdQ
+02000W40000000X0C4KCLM600_oO3800099Q0W00008022aOYWWW0G8Y00802000e0g08000
+W2m40G10I90045000008180@@7500tx@@t000O600G90G800gm4e4000W8YW2A008H40cf00
+0000414A1000K4Ge45000829YK2000G0HKGALW3U0khp30G00K002W0040W000e000041060
+000G4505XW202K0440A0800Y4Y10m020W2001G18oLga10043t680000002Ya00440P4G410
+040X2410K0W10O2WH145WWG20W0080BuWL410Z80B25441W00e@@Z20mLSdsU0008028G0GG
+e01008000G084802000208W01000G20IWG0QDs008G0e0W0G208y@FLWGK0sXo30800eU204
+3olWm04m0Cq000G010G4400Y500nWfN8M0240cUH008I0086W00j10W8OL300GScH5vw6000
+c1C0000y3N000000WR18ku2W1_H4CyZ2w18vC30GS1GSn10000NWSdy@lD00yo_@V300Wr00
+0@0s900yZYa03491qCI2e3e4GJGDWVWQW81F5W4u7e2mRmFYEWVa81T0HA00@@750p0W@@z0
+0@3000WAL5002F8cnSG0uVm1m@KL5cnCJ11W@2YvC54ymB8cP6cggA0yNLW7UG0m@WeA00y@
+lGPdo0000M81009XFs@@y00e2u@VL00088002ujC9ExrWFpguygY0xg0y@lAdJUL0fX48HB0
+G00G004KxR5vu6rVy900G5xS_G2xyXdmJ0000Lsx90440u3R30820CsVKWA406r0Zihn8a03
+0008@8E324G0gl@A000Kf2zmTzF0G00Owl70m0Gyik100O00C40KnL20200000Gq4oIr@d00
+0Tsq@pPCS300G0W0000031mcSFyq@IWiK0ADN50440ncR00200a010882000100200G01020
+000410800W04100y@lJ00WGL4004jXAdrR0400WtrD0000okd900Y00100GrdK10uUhk@MkN
+d108008000000H82000005GDt6isBLWeB0kxNbfuIexV3020Wi5l100W0wutWPFe2000kM00
+WfVK12Y0mav6G800000G4002101G40000002WW4000003GhhK100eBlVL80021000wQT30mW
+01W0821m8004H4Y8WGW000419101000NY0Gp_H10M18APLsqp01080K0000010qLe1Y00500
+010W4K00001030aehfoF00mN6g002000012800000G00A10G008LOl1W240000000WW8HS3U
+st900@7nztI7y6W00240G000G0m0_D0W1600W000480G800a020ykVK0V50ky@40005t@R00
+00G0100A00G_@t002041dR00014W0087xlqIv600009YhJ_Sr0C9Y000O0008200Yb00Wm4J
+8K2030380002ap04B300X9JIsFA000FnzV20uE0mmF0000YWBk00000qv1000000u70YsD0W
+zCO0RUCmF503YNdA0s90FSr2c040Q2CHO2qH23t000Wc00040A0Q2G0u2eZ00WB2WmmfgSUa
+0mh0000mIM10Qku_boQHlyRGzp6SYr9WI90w3xXKngeFS66jMYiuCG001msrX0080OCV3_7t
+WHq91000SGZ9i4V5xVro1xN100FeVhP6E_XiuCe7EIQefYM0iOwV60300CMFCLmPGTz90000
+1010W008WjmHgvv700Kady@CZRJLsWR0sN1eclP0C000800SCnPUmkYTiz0000lz00WRTA22
+0WGMFBD@F300_ihname@zGeM3GV@@CSj15XsLsiRawl4810000000WW08509UCLB000NxZgI
+Bu9aUk4nHjKw@I0iY08FHLgitWbwP00400100WrwfwzV6e900itlA440YsL@1W0Ge4100AJf
+hi7K9u@A00G02080gKH6IsdAmNE0tzp3W8000G002000gdHB000WS0002WTc@PP8BE3C000K
+KyL00GvpCkeFVx20l1miOva5N2BrIIOuyapKB2G1z1000W2w1exV30mv1G4t1e1tho@F100N
+iny1pClFa5d100OYoM3uuzv1000gu10Wx_kQ4wAsH6Z3gPOfw700mP5iMBjWNHGAa4zgAbVB
+1Wd2WTjJO0QU2MNeTrn0000gT00WFqo9sjJQt@700Fxh7uKc2@CCV5W7E0gbxdu@PW008GeP
+K1V00eMEUIu@XaG_9vWA00uaCWdGzjmV42@@0n@DOy@28@dWo@7myV1E@F0q@vIVL1sC00u4
+O3kno3W700000aB0gxVck@F9jS3IUrcmpJ00005d00WW4OhfwP00iGM4VTLNt20@1Wc9Ohq@
+7MtcX2gh00G00000Gb100hpLKRxgiNU5Ps@GPuC000FQAzegkVfKbD0aT1G@sJ65AdjpR000
+2W6oP00WISkfG_2i40v10_yx@gvd1000utyF00Gs8Y8H1Aq0Stu@rL_@Sd@7Nw@JRR6020Wj
+_b00001S00WglRCIP9008ZcHEO0001YZ@7W530PKBKst6CoT8lXRmsKm000WsZ00GOug4FU2
+VN@mXz68000uo_GY2mWG_R1005G7Usar_Ox@d0uZ1W5@L1030GgvR00208tSLM6N5000Cu50
+02NyJ00Q6pWyp_QvKfF31ux1ua6W6AiC7U6S300qF@IJY@VZw@l8@@9w@@@@@@@@@1DVx@@C
+0au1O2d@@@@@cczBOS60001000WL800GdvrTHS8p8R0800000mDL6GIdwLG010OeP66YMYOn
+zuqvG0G00000021000e01WkkzO7DC00G8C7z31N1JDwOKc_3000uPB00SWuL3otIcx9y9l40
+0alUp_aivg040G000G0000WDuRmtrXSP86BTdGht6iJv30550c1N8001G00W00GG0K7U8jxZ
+10G0WKyDeKS3Y5d10008s400E0d74000800003000000010Gm6_2z@V2H_d000bzrDRPEz7o
+IF1I0004008wD760G00410000026zl7WEI0IzN800cW5qR0200WzwEPPJIw@t000GS1sNa4G
+4WI4CuaTg00yB@@tI0030UZdAml00LVZ4K41O0P20@ghY0000400Y0000M0eWh@t0ql3GP_2
+10141008Y2H0c3CCOhVd000WGL00urVXWJW000011n0mZ0HWnHzRX200m@@X00GCx@VX000a
+XG000G0001000Gf40@@V2HG0WHLF1yT1Gio51008e573_PL5G4001@V2E10W@@5Y10000W08
+4001n3eo@@g000yu@VX000G21000AY48G801a880hMh2W08XY@91u53GR@810u2000GeL00W
+iSZ2o00m@@21_w100ghlC3WV@7mxPz23000aoIy8n5am500G503200Ls@@j000ktV00Gu4X0
+_W1u@VX0038000K50020HC30A200@@F3001e60C8aYA4E00SFIHPkpGmx6axj4XYR00G0Wum
+Du1U6IXtWQuJ0002G@u900WTgDuM00400W00gU_A6Ft00W00008G28lYHoVeDEF0800yNU2W
+jC0gD664010xQpJ_vLask1rjN1000myQE9awD0002acs3v5w40WtePuh8AU9Egt04011FopG
+cv6002G0102GM@Q1Ah0Ok_AcBnWy_s00W0Ohr900G000800G0GWczo9f0Fu8004uV50200cU
+dXBtD8YV6cIqWO_D0Y40O5t5bBV500UFtPlY8wn010G0000Y306eiU3QMt00G00lmPmy_2jW
+d1Hk@0uz2WB9_feJ32eCXRsNQCU3000XHU00u4_SsYF1069050QGyZyizF600kh7kCdPxV01
+K0002WuzKmO_UU0UE0CtlATzZHRz60010vW@VslJ204000008q00GydlAzmAHPi608K100o0
+WH9Y80G0G@@ppggF02000W0000W0wQQtu@V30001_NB6r0O000W020002W40000AB020u@@V
+000A4rQ2LmQ08Z500000010WA3mZZTJORk7I1m00W81000W000D00008NdMAUUZ@OJ0000jP
+10W8M@10000304WJQD00AGm2r@iDi1LQQGpj600e3Uew4EsjYYYDG180G1l9q1W1@@R00W3W
+4ZD080W00Y002082@@@320GWAUb0Kg1G1nXCth100G0_xL2001080010Wb000000G90210G0
+K000HUd308G303008000020000W00400008500000c720000W6LR5000Wd5s0I0000W0281m
+0aCy30W09I1810400WB0800Yhy@VK000M@@N8000s_700WA0KA0r7N0g2m@@v00060008900
+00W00J00000C10y@l1WVM22JtWp4Cu@@7A3m0300012mGg0I0408200m50120WA60@@75000
+SF000@@N40_70000zwEW_@@FA00f1BU@01W0W0zZgPV6cmLb@@J0qD3GP@FG000O4z40004E
+@T5r_Rm7uC000W00O00900YLYiPLAF8E00y@F300WWMRdXTfyOtz72hnZJ18v@@A00SX5bk7
+PgRGDUOqVE301WGQDlbcsJuBeG0Om0MiU5bmRmYQ90010G000000800G000W02000W000100
+08G3z6W0080A40mD_68002OMU96cdX41DuSU9IeNYptD0000kJ10W7_b0800mGy9yIl10800
+002800020000801101W00Zmd00G0002203UhIq@6qTl49qBnT_600OWlgU92ytWcsV018001
+0001000000X0800040WepV60OG2SOV23@pGt@9q_V2xidGH@9S3L8WoA86slY_@DW020mux6
+q_k1400080100408O603Imr000W08082_H0ZehBA2uDwcFX2zzenyMAzV6044DDpAqGy6ife
+M0ZT0oxt30005xwl10G0Wm2Je_aPYXxaioC8hlYUfyA00EAV@7ITdCiul400040GW00W808I
+1j0fc04Xl7VhQGm@OCQt3VFG5000Y4oL9RkJQsFA00@_rzBK9U6KxXMWWA0_@d7040400080
+20008010040W080W0DYAgG3g6xa@xh00W0000X0000WWW00040GKy1L00gzc24ZdAF9Ku404
+0m00000e00GzwHLLf4@@pW7_7WrUCux6mcktZCSyuaQ3orVZs3DeME3G400qNFL00UlIsd72
+0m3p4KnKo64GJE12y00q7WawR9WW70a08C@F6080018202000itU30840COg100I000W0028
+1O_U3010W4Bk1040274F1000W0400X000808000002C100020W0010800G00000002vGGC0G
+01egK3Q@c1300008G0010GqMU2fOP0200m7pP0002100400010FRdmpv60G000W00quv9008
+0i0T3kHdX_2C8LS300ypdtL2leR0016WnqJu6T6A8F10082fgRGAv6G000OKT300W1SjE300
+022Rc10010zSom4r9CRE3W040otd10400zQR0ei2W43aOhT60000ru_312R0001WodbO5U3M
+Ep00000G010W020qVw3G000W001i5V2PeR00O000Wm000000G15kU_3000uf8000W04CM_40
+000204H0000W0200040004009800yjc140000G1G00011080040e0000200W20G0080089bR
+340W800004W20m@@60010H000nru94Ql12G00_AWXxxDO9B6g9tWKgD8vU300qSUeT2NvdW0
+0WWeVJ0m0084000808200000I04000G0WWW00W0200a0W8G2W00uKDW108240YWH0Y0X00I0
+obz98W000001m1@900G00008G8@6qoF3j5O04000300000W1C02080008kV60J800002uUU3
+2oq0G00308000021000200K0A0fI000W02Y000010I0460W40AG800W040040G0222W1f200
+A00IO0008002880wrtWj@J04C0moT9a6V2G001kGt000W00201QzCXl1V0400I4@9uE008Yo
+70048Srl4lqd0000G0W00G0W1W0054zV2000I00WWG0OO0004pIyH108JxrwG0400W000004
+80a001X4W8000aR@F10O0mrmv40G400820l@@W1h5WVmt8xT6000801101W00G7XC020WG02
+0GZ16qi@IvZPGx@9CLq9V@cW000WOxP0024H@Y94jtL00iMJu_4020G1uBnHC6001000WGq@
+@510H08x190Pl0CBk70002000Y0000Y00I1GA040G0000LIYrt000G4a200m410K8n35sB40
+0We40PWX00GYLUidl1G00GWG8200D81Y00GjzC86C00Y0W800OgO0OuhFd00WHTLh7Ryd000
+8049200I90_bc108202105E2ddUlme_V60O90S0g7r_p000YWe@PujU30C04a@FI08000500
+ial4PAo0000XbJz0300600005040tWO002000W00zoO0E00W@@5I000HmbI008A9Hb7YLfY@
+@J0W01GXxC800040400W40WIadfIPCYyFXguJ0K70m1@949E31Dz00840K304WWGJ_@F1CG0
+0081AX240Wm600000G8NRiklA0eg0mCY0000uEHw7mC00y@F6000n9E0000g2N0000000V1G
+ax2WR@1600Wu@1u@V30GS1G1z1e8U3wo094100Z@@00W3q@@J8IS6I1WXsxD00Y000S600G4
+e000WG440y8k1f0O0P05WWInOXzY01u0y@@9G502G500SmC300W_50t_B0LP6d000go4WVkh
+00G000C0m2VV0G004100W7BC8Hk40006asB6w100oxt30008JLP0W0GYy1U8QW4o3dXcsCeo
+D3gosWmrI8Ex40800000XeRD30800stc10408080Ga7G2PlP0G00000eqTRBHeQ900008G03
+8000208W000W00W00040W000008W06Ez3dlP0000mHkD8f03sgq0W010lUN10W0WMqDuhs4Q
+ooWovI8_E30Ej0K706Xad00001001102000220yKE6f7Om5uXKvM20008040W4Em3P6Om4wF
+000WXsG0G_xU40V202006lo0G0000028IaFXUhnO0k4wts00GG1040000200H0000001080W
+6wD0100GBB6008042000W000840000000020z_l100AJkBd10H00Dpdmit608040420008K2
+08W000Y2c4t052G1000a0088000mI184WW04A0mG1G2W0sdD10W08hZd00G1WQoIOdX7W008
+00G00080W000ZhxC0WG00030WAwDOqb40iI0y@l1G4040800000G0GI0Glv9000021KWmtv6
+W024100004265328WG4001G0W084K4X0020G80820YW0G001I08840TxU3G0W0y@V2e00010
+000005m000GD160000400G020W00G00WW00800300I0000G01004W008801CEVt0W000nvR0
+y10WncC00204001008042100QNt080200024m0X400080Z0m06G4GC00186HY04GaW0G0m0G
+0C18290o108O0e081118G0050012W2WwsP0304Grw9C@13hAcGQ@C000XOxE3Ud@1008rFrp
+GSw9CJV2pMOml@CG040mW04m646800220140W808W8m020e0QFFXt@pPG@7Art00c80rdv10
+0W80G04JPO0000028W8n3P00G00000O002000WG01118yQ3c5EdyvDeuqA0003ZV0084qJ00
+GG00200G00HQW6qXP20W01szAXtrLf@@7wqqW_xh00mmTRfg0044OrU3_0rWZDCW820G6@90
+840e6_MgJ0Zt@V0OH1G8ud0W118Ar4Ixt040G0W404hnq0eW000008gZ2cfnJ000WC00WG00
+0Wxf@00m00000yHN72002052008G40e0000G4040H004H01800W042000AG020000Om080W0
+Z00W00e80WG8_AlAnupG3_9itk400GMwkpZj@DW8040000A16O00CW8oOr08202001C81H8X
+v284H040022G0400440026aX@@3vIc4e0000300OrR3__nWDtP0eW0mhmU00b0u@T300e000
+0K86E3e000m0000020040022gaI8204100A148HW80WnUu6S0dDjFpGR@90000nO00GrsR00
+0001Y0miq600021W0GGu@900006200200a04GW82n00001100000K01mAngyUl10EG000000
+e00u2O6c@d100nVvzlHT0600W000XW000a0W8800000GK000804WY000Y000810G020WG001
+qah10006W040awXAhQd0000140000004NKWX0uI04o3muZa80000242m_k9aAW100W4GY08z
+Sl10010kamWKZDe9EFW000Suh1GI4100m000G0004u32102D010010IpjnW0uI0000OW4R00
+006000000W6Q0000a0AX280Wq60QMV30010000WG3Ka180aGl000foP0QBXO0GQ3Bx7500uh
+v_t00i_F00004700x_ZXi2GL00GHU2B00Ww0z@lDO20089m4G6G600WC000WWwtC0m0mK0SC
+0km1u@@D0Wr00000pH0000000c000S60083u0GG02W8W8000H000G0000l100c1G0S6Oc83W
+B30WC20Lx75000IB200@@J200eU1e__2G15mjgm0elw6G920ypF00GV0O600e_xKGP001g00
+0i20WVCR9qT38001Cx13d@B100tK0040xld0000a5GC8Mk76gtWOuCOAD302G0G000uHH9kz
+bXUtC0G00OeRF0G804WWWW0W0WmjDOeR3Q2u1020W2008EVt0WZ90XmnGvS94sj1JcRmFyC0
+40086E3Q_sW6TV0W00mI@9yEa100886zcXnmD000218000000GriRGG_6iCl1@@R0800G00W
+0hYR0000cLcD8uJFoztfquD0240K4TLSLk400wNwkxX4uDeRS3cCFXK0I00G0004120008W0
+88IhNYtYO8d@7kwN2K00033QGpz6Sck1000W0000zhk1020067m0WE10pgp0W000G002Prd0
+010WMeJ8vy40W00iel1V2d0400gNID0000010IWPvDup73000010X08jT300G00004EnT6Qd
+d11210x3O00G9000004420Ept0000Sg100kZtWAtJewF3cOsWH2I0000001478X01W104102
+002LH0W0W010K114I4m000WG0A2001000Y801WGG400lpR0820040000020410GiBL208K0M
+Ld1004GR@Rm40600G001000170000G8010002G0000000GQA000WtkD084000020000WA000
+Y_t0041000100S00Ssl10HK1G0YW00X4000GYW9040G020f8101Ha0W080nC100O000H000W
+10su_ai7Cuet4EYtWi7COaV3kvt0G000W2O0wUKYu@POA@40080Sul10002krt0G000pldmN
+_604W0O_8FUos0288840WC40D0040WG0Y0X13W220a50003MntWAzV0000lU1W00009lMZH2
+_900A0010200G800280G0000210X20W000G0008WtiD8DSL40GG60i10080000a102000004
+Y024200WdUN10YKYx8tO_@4820G001000WGHay60041000G000YH2000Ga00wDvXvrF100YW
+820000G42080100000K4fiTC0yF0Cd_6Nup000HWzuJ8eV3_VmWe@DOCSLUtt004000102G0
+04KxK2L@Y1000Q1200rsXHT@6aTl1000G00080100eN43Imt00G8008001WC044@93wR0020
+P16H02W2GW0BC00aYGHiW120X2eC1G08GHU0@Xn_D00mdrb16yTS5jTR00080410040aIW00
+420YW06411082100WG0024mo008m00m800000IXSzF10148010000042YHQ084000YW0WY08
+2G0G0Xn41pZ1OZ400000A400_5V3008000GG80WGW44G4010002W40089063X0ae02G08YKY
+0800002030@@VoARCKwc1nkPGH0O000WVGS36fnWE1gWI0000100000XWGA00WIA1I0GG20e
+my@6080040G82bK0W@@XvD@4IWxXf_h00WLK0y64vF65@d00001Wa0Y8W000W00000410400
+02a00W00XXKn8uRKrJ2C1002JE10WW500000002D_V50Bs1G10Wy@l420G0Yns0000240A0E
+1m002W00G0000040006W000401W08088DyRm5kXq__3000Woar080413yamIw64iy3000uS5
+04yQE3pSdmkv608008HS30001W0A00G0Ymmy6iTh1G0K008G000004000S6taKpl10W0P000
+0W0Aq104mA20100G000040GXE000M901I0yDyI00mD648020ON42100TwRGji6G3W10040GC
+S600Aq000082ed5W00fU180004420000X8040Wm44i1m00WN_@t6V50egF0SXBGCJL8sbuWd
+f00bU18E0Z@N10x2W1@tG1d0000GKc300x@R00201D0G4Y0WYCb8Y00ZE0038204H40m0N41
+00W1k0Ch_9W0a10001000E000W10003W3060C0C0_100m00003000a4WObV0000lB9000m40
+0W9Wt2dXi0IWr00000x0000000Wo000E300i3m0e8G7Y806200Y000m0000D100c1A000eav
+Vu@@A00ku200O6o9700@C0g_L00zr08Yx01AzXLig2al2WAP60pRzN10WGl5@F10150tv700
+00W870000009m00e@V30G0mG400000Kq@@gSKl1PlbGz59yxF6Wc7023daK1OeJMR___XAzD
+OUH9gez10004000WJQGYCoJuFe4ovFXmRRPrrDwCtWi6D8FWG00OvKQYATrMHCSsqXSB0xB0
+YKOZEuIOGVg00W0000W0W80200018102Dz@GCy604010000ZE100000008400201aWl12000
+G00200000006000008W080000W5000G100000uQy6W000010010G10G003000Y00KG000020
+00Lgy6Knl10200W48000000GWWoj_60500100021200Y020014a800WKTh14000W8022G01e
+oU3002Gq2l1TuR000_D0W0400800W0001010W01000CWibDep_4W1G0GG0100G000500401G
+0004W8g40020LL4G0084101WY04400W0G0G0GQ4n4k@qW4zC0000Os@60084eUO300012G00
+444Y100iGG0820204W02211K02G00ohV6G0G008005001WwxD0Wn301020000A2082W004r_
+g1GW00G000apg1088c0C081e80080IW000003C00G0YGC1001X5628444442CK40040WX8G0
+10A00W01q@6LqwS2djcGENLipT5000uC000K@l1plP0000WPvDueP9UwnWw@J0080Ogz600G
+8PZV3AUgYszn00W8W000YX@DW0W01W0WmHvDuyV900CmLFj4BsRm0w9W808u@@4G40Y000m0
+02410800W00H082o000H0048W005mGV60Y00OryJ000H0000400Xmu@68I00W440Gu@L8S11
+uLT60140y_l15ZN108aez@D0W0a9200W0dD0010On560X0YumEL0008800G0W00W44pCY067
+G050AR730004j59nLUI00W0G010W120040000800oSoW8_D0200GLz6a9g10K00_PL5W8200
+GO00002010GOWgD00ey_Xl1000AG40002200IGGGjpFi0_3tyR000040080jvR04W400100e
+000YnsW1xFHWJm0022049094H0LW18X0229OUTC0Io0y_l1001410000H0944O0IYK6akM20
+8G00W0400180001A00080G10RpR008I42W100002GA80AG2G0G0o8G00ap3VeS@D04CZG2GW
+0Y8YeOWW4C0OG02W9cbl200042300U_tWoNDG00000A0mKqV01W00000080106G10W810880
+0X8pG0X448000e4180KC88GW08280AW00W01E00@@h2008YOugez@700WVZ00Ke@w7g6tW5z
+D8Rk76Dr00e41pxdWG0G1A106W00Ye4000081uR0Fo1Fan@h0OC2u8z9yHk10000N5@XT@De
+CJ3G00081000101mSq600084W0G004AC0001K0000G2ISLx9000BQ@tWeUC00c0Gs@R8J00e
+6V6CW004EU2XXdGhy6SfK200G0WW0000W00840000000HW040004880G020mG00mo_d00GGe
+4E3gps00X00R@Z100GjXrJ00Y0G7y64E_3dlP04GiWUyP0Y0008040110WNcR000410W0081
+04IiZaz@D86E9mA10C_V5WeGOY4tWD_J00WW4H00WmnP01Q0000W0GW040WPH80002000100
+G914000I0bA1080O3000W10X0800eV2002oDnT200uB1eAp20qw14yg2Kwn6GV50WMF0O300
+b@NX_00WS6tW20000Cm0000000Wx4W8Y000004Ae300060v500mC00Jt10H_3W0o38WY2Gd3
+00eC006sNbOug8y@A008GY8008IS30100WB02000NGuu9000q4m400G70WmzD00S100O2G0G
+5m803mS200A000G9000Z100w040i6qHu6eZ10WBxOVLiU0GH@0W7p0nCLXONaZPu20sO50v0
+C_V50mI0_@t008Wy00We1Uc10Hk3uPiAKP0CGL0000K200000p0000m03000P80NzV_V3000
+@30000055GqUaqEMB000ODF004t@9P@RGjZdqHT29TRmcqLCtA6jyRmsE6000000W@_Gu9Kr
+T2000000CGSyx3Z1dG9r9S8S2hbdGVvCi1k1Pxk1100WqxnuNu70tB0CqN5W048YqRcqQbuD
+i4_@7cl4I0000Yv10m1uPupV3wdL200WWbpR0000gZ@2PjWDsIo011G0000004011102000W
+0408000G000100400K4H25kR0W00000YdpkR00G020402tC@mxu6010001000000240G0rlR
+0000G8080A000EFtWkxU8GvAc7_XuLCu@@4sZEX9nJ00080W48W6uD0Cg220010W0205xRWG
+0W40A000040E0OWvrD0W002W0140G0000019200GW0W10G08G20WmyD080002812Z0000GG1
+02000004OhD3wGMYByJudS3W0W0qPJ228040040KWl1Pvd000820G000800000m0D008FT30
+808800W000GY000WNBI000240m0GGG00000008BG0X0001020G02G04000W1000GG000000W
+K000000X047iAnvoOSNl1020ast9Xa_DOLV3Axt010G0NtR000XB020W00GCAFAXsZDu6S3_
+@FXPsJ00800G0000005pmd0020H00840004ootWj5n8lVU0XL00100Ocy4000Ji9l1HDd000
+08W40000200020qsi1DO@0004WO0UedoMUQF400GC8400UrL20020000O2AB1W004DmP0040
+000G4G8000006rvk10400180YqVU2VJsIZyd008viWxA0WP000200600me@600080H0ImCB9
+q@l1010000I10006OiIgQmd1WxB0LcZHWm6qqe1200040G0qvl10040010HKSg1JPOmfvX0W
+018aYSsEN20K400020001Yiff1YG600008WKGW0G0181100084001000G000W8G408e50084
+a000A00HsaFXZhK9JUL008s30C0O336YBn00Z800WB008H0000049GW00GG04WG0492000W0
+00C42402GBa6002240W0A0G4W9dPeAyJ6lN5mC410K100200101aI20e4Y000Xa00K04000G
+0G1000W2000LW80800jpR01000AGWK40W0e41000pI00012G0080e00r0O0Y00G0a00h9XH0
+iv000WGl02Gku604G0eOS3G800G000040100K02W0040G1000XX00208IV3048W00480G000
+00G048000020000Y00G08jK6_@@X8mneb@J00ej20008ZE30000W00K0001oat6KWf101G00
+000GG6WwfO30K020IG0004002W00200000020W005Fl13bR0022W75meJXS0ER00000uun4W
+0040W41uiV3WG1030040400C00GG0I00BfR0008IW0040000VaqWxWJ8HO32_0Z8mheKULy5
+C043d1070000G0WsR840as00A1000G2000W10b0G008c4G02aCXmmnD00W3000G80Gl4004s
+P20b45W1060Y1000WG90uy6UE1VZ@@b000Kb0O5K0000maLG10000pm385062@d1V60YM400
+YXFa8mhOcVL06f453d1060l100o0yp008Z0002000W100G601WcWO4k0r800iY000Z000q40
+001e0mR020NWV600kee0I0W089G610WC2079J5000oePa0000WC3WLW20000S000008Q0000
+8oTMS680YW700004v6U0000Wuk08od1W0N18O@A2rAX@fz8bw4ofMY8bI00WaNrSd4hD3LtR
+GwrLa4_3fuR0010WinV8JyD__M2Gz3071bGbIgS8U2dWpGKtFiGl14020YMt080W0vhBnw@9
+ybU5FsR0000Sc000tZRm0v94rU5LWPGZuC4qR5nOap9vO002000mnsstvyE@3Zb_mWR60100
+020W00000G00200G00400_0C3h@oGOw64Ig1rOd008008000W5K0AVtWIrVO1U3gxqWY@VeH
+S3cO@10480G800EQgYKzD00080040WTaV00002000eZuOuVU368q00W000048omnWaoD00W0
+oV19KtV50G006Dt000W00080G0WW0400W0000I0GWOcJ0GW0mYyF0W008vV3MKpWTvJ0004W
+00000010TePm@@901020000Szz64Fk100cf3TsWIyVOJV32tCX7xP00020020W8kDu@@4000
+82W00ONW4W000qnl1@gQmzh600800G00nC_Faz031_RmLv6W000us83W20006F1eu@401G0y
+y@6r4OG1190W000W0200G010480llQm0JySvq6000WBVgY9kVOsQ3kvK200WWzRhI_@Xycd1
+j_P00001W000xZR00Y7D0000G00GExCacBh0002GHuaS8cA8000UIqWU6JW0000054Wg_2fW
+l4kBHYKCFfJeJEwF1G008lla0000qOXDecU30200asl1D7dmdfRieW1nTUIMOdS6f1000G00
+42040400H000G0000MWhYOmaC6KMU2Tpo000O0000W00W82fcXZJJ0022mtNailF993QW000
+0G48002000100Y0000W000u63Wj@P00200200000m01PpGt86a8V5O500W200q@@9nm52W00
+09090K2800900ayl1000W0000AI000Y000W020H000n0a00G0084W2K0004209G90080@400
+0ASag1W400cJq00W00KI90000aL276nmf200W0A00X0002G00YydH20a0000CK000C000G02
+00qqrCeFV300051000W8Y0008a000002110Uxy1000G0002000cf80801Y0002Wm@@tORL3U
+A94C00H008002Q03Ge0G010307028Q00W00003X200u0W000W4W200C002W0000100A00004
+00W8080G00480480008000QfK360Z100mG00e8Mat02800H7dpVj90W004248208G8HW08I5
+4100020C1W4000F30042G00e418812001gWIWW0aW00YIPCe@D3EWyXQXP05800A02axMDe0
+UFYXpZ4mJ0S1G008S582010e00W80m1W000WY0000nIm10100GHW8040W0086030e84w6W10
+0D000070420G0WM9000aY02a8Wk40W00084008892wl1S0m_B420000XWiwfG00WCjWggQHc
+P6c0yF0y7UKLrYmCp51F000Yr2tYCpi51uVW3m@egAcHLLB2pCJ4p00mZeE53d7RWR0W9000
+K40AWB0K0N0h0k0tPC1iY00O41G1000WT4H0e0c0G1C1i2O2O4n4m820WH405O400Wa000P0
+10U3o800yp2exXXnD0m4100E300uD00mFm100Wl4W1Nw9a2000000SW700gND100AE5d2MLu
+ga8_30C00ctt0WuF0z2mJ@l6SZP2xmR00400020W0G002tdXsqU8ZV6U3FXQrO8gn4wBsWdi
+dv3P32fxXWoIG0008004WlfC81y70200Kvl1redGFuC4OT2000010G2a_l100a_ZnNbL2aOf
+C3oD_d83gOFT60ra04E_C01GGQFLY_DDepV6U6c70200tjR0000Q6300XVdG7R94WV2von00
+000H000NsRmGy9aJd1@sRm6XFy6z3000G6VBXdvP8X_780000W08ejx4gbmWq@D020801004
+02WG000W4G00111400000480001W0XmP012W0000009WG8W00001GWG88210003GW0Fed016
+G40020depGniICJ@300G0ExVZ3yJ0uY0mHYF0049001Amzy6qEk1lr81080O20100002YzE1
+04004020cz@X6zV0W00GbzX00408U030100DQT28500000G0020G0801W02080000002U6qW
+r_DG00W00m000401G000W1W40m0G008400G008W00ToRGry60W0GG00GGFlLqrlA0300_@t0
+000Q008H080000G000mYmGQvyRzLGW00000WW20G0080m4m68Uk18wwA00WWG4000200Gw_C
+Sf@30G00kPqWv@Ju9kJAOV348000G0P0G00Tql1i440000Wu800G00G0200Y_sb8quAAfNY9
+pN200HJz460810u__400S@Vej7byPmf@9Ktl1DtOGxfCyBl1FYMHFpg0000642102g001204
+46O40GK0824104000uF6WZIJe1V6008WG404X008I5b9CPJ2hca004000008D0OGPx9yM8F0
+01048200045H0g404869401081Ga000G1K00W00G0W0Ga0@Jeg53A0DXrKV0G00mf@6CxV2T
+tRGA0FynE3bjRmsEd00W0W240mY@C000TvBnA001080W0Qa63sAt00100a20004G4e40Y000
+10008YOvC00004W0GA0W800Y00I1mWdvbu5ULMirWe@D8nL300000aU1e7_4Icd102008W05
+e1041020eEE3000W0008010180050400000K0001A00D0004G00010600102000A00yK@3Xh
+5owZL4fc1HAaWG00WLqJ8TG30010G0000u0000G0C2601hqR000I040400080W00WS7a10e0
+0070408W10G00000104002C000G000Llh4FLNHZjUyKk1P4R000iiYUb010000e400082000
+401W80e410082000W0KG000010G9009G20G000W01009I058000G0GK200041008041mmtg8
+S76UpqZ3uD8J_70OC0SkS2000W0040000850G10000GYW0080W9IaBX0va850A0e80y@VE00
+0010K00WP000CmGwU6Gr00040008E00003C9F@00AF0YAw2KLLofgg0mVc1W@B2kmNaPXl8y
+WVHLfAum3U0ydgOcf51mVBgQcf0O0CLLrK0IWg00GuRIyUiA0GH0006020C0K0O0e000G100
+0000OjE00CMh1Z2m0200041G1O2e2m4m4W9W9000J00AWW0vI850AY28Xz9Qv@V9Cpi10600
+1Y82W0B04000O0102000G6DZ1D2jNy_X00mWEJ9Uwbza_uD8QLCktF4m0F09ZZ10W4WkmneW
+S6whlYKxhulU9cob4000aq200YG7ZfTF9aNIkPW700ik32ZnR@OSU_3bENnr3LC7k15GlnWy
+FCyc100000PR0SyyFjpp0000G0420BXrIz_Iqn@3000G9100qsV2Bsd0G80WeRJ87I32zt0W
+00020000G02G000Os@76@t004009pZnxY9yAu6rBN100UcZZ31400G6p94wl16000oS_XK0I
+ejvAMxdXnk9PUV3W100y_l1Wd90knN200129scmfmL0X00e_MF6CT9000aXeA10W4nYJmOix
+7wjtWAKUuNbYc@d104CtpwMnD@Ca205H1inovBr_l1b@y0041W058PXD3YM8ahuH2Oc3mPnU
+4iG5H14oekB100W6P00m@@C00WYua39UweYxEg0400G879KkFI00a3ttdXzED0m82G4F6K33
+30400I18XHuDO@V3Y2GYSm99c@M0gX0iDT20005G40G00W0W20000G00Ae000GA0Oc241000
+WP0eGA09010AX200GA060004A509Q0EA1100ve@mQ_6e80000Y0GE_Ca8k141WY00W0G450e
+pT3gMnWK0Cu@@4IxcXRf520GATic9W000010H1G00GG1W201i0W00400G00G8I0040428808
+G0G020e04200O48003080120444WO48Y00G00100A41GG40W00100JxkY3i@94t70G000040
+0W0GmMu9810G00000400o1nJ000a200810W02002002000081020204G0WSsD0W48HEuUasD
+C000uIp8Xw@D011Wa80e146W020W60002800Y000Ge008YK0e8AWAq000qFcevWxHzvp00WU
+QfG6838200G6Ga2CW85GIHAWC0H091o0o0a1YC50AY20ZSlZ20GcGl@6000W5i00W@fhE1GL
+L20Wm54pCB80_7uCpCggwVW7UGeggWI181m3FLgwVCbPGKb2G2H1mmJ8FCuUH4QP0cdNe@yt
+0400uXqs0080OpV3000mhS008kDCYb5Z@bs84N9IA8dZiJ00mYLW@RKfT5vp_G1uaiqtF0gF
+0IFkbPa39IS6cgtWDqIOl_AA5NYcnP000020008T100ZYXn_OayJ699zz3008pYqh8p69IKt
+0W00GNgB1000auRyupV3gnPZg1auzU6uR20iRT53DQm0yCCGk100G0lsJYfnD8FlPwZd4000
+Gs700oqFaSk7xVeD00qFz8XJlw@GqwRibX7ZHzmusXK_k1v3SodfYs1A91d230WeXG26xJmA
+2fNY2_n0W83Gk246nb7Jn6oVTRqnlVbOyyb2@7Sog0V60Ma6f4aGQuv7000W7Yh1hSAKo7N1
+80000mZv_GdaAWAxUl48YWG81000tU00000WGa0000008Y0WK1AQDb@7OVfuvDe306I1WYe0
+89Q0gwwt0W480000082100004W840Y01GWK0e8AWGU@t000r3pTZHg0s000oX1000vu4WE0O
+0dvOGA0K45m6W000021vW04008S20000UvG00W04E121CGO400O6a32626WmPEf2mJqG@@iq
+@DJz@Xwv0002ezV60G00000G0010GE@6a_S2zFR00G0W8eD8S@4Y18XE@D000UmcxE1004uD
+V6QptWyyJOKV3UrtWGzPeNV3o38Xe0O000000A0WKoc720W000WWF5C0024mMn6000400400
+1G00000040100W000W00e8030W00G0048A03s@sWY0C8A03000000058hOpG0W0KKR5VoJ28
+q0WJtlwo_70100SZl13s_00a0WR@VucQ3IDd14300nuJrKjF002WfeR36ibXV@D0E00G@lF0
+80WO_V60W7e_ut@@@R000mWQ0q8aVI0RQ0CYgSnWoyHp600GEyHV6EdtWvvzuc_AgS_10a00
+@@BHA0CK4m6H14oV@8X1008gv7gP_XY0Cehv72TsWy0I0001000W1000eA400EqJeUWzOjd7
+c67ZQ_n00WiomnCaDQ55d230I80000008W4_@d1902000004020a2m3000H4200y@V2001G0
+0000W02fAT60FrW4I1C0000000aql_6XSRGIsFG000000WGlzCSiS54G006wFXMpD0000EA3
+0Wx8yu@@A0GG04f8O7n_VSpb2400000G08J000000WC1G00000020950AY2u4000gk100s1t
+9H9400G85V9m040IK42008aYHb205H1S2000Y28L9@@J8H88212H200W84W8200W421Y8G8I
+Ia205H1S200WKs200BhxqIc6y3F3FQQGA0K4509HAGr@@6SGX1JKOGA0K450A00000GhsDek
+eYrU05000aQQ200m00000A003850AY2WaYJLvnVL0901Y0G82812000X8YG0008G2821W8m0
+9850AY2W4W4OE800S02d0000m170000oX0S008uC72I1WYe0818S200W80GI402Ia4000088
+1100009Y440Ya0af0GHK0dyS_@Dl@@ozMsylABzJ2Wk6WQXqw@@Y000GvA00u@VxZYVZal21
+00WOryF06e1u@@FpKKkiq8fi@700qk@tlJPF4Ic@X000m8s3pMi@@4@@@Zz@xe@@D_@@@@@@
+@@@gi@VAy@ZI@@du@l9@@@@NeEhhO8WG_@d100E7NxjNGRdaKmO5T@@Gv@7q_@@q@VF@@@@@
+@NK@V5t@FH_@Hi@@d@E1000Cs_S00q6aK0fnz@V6t89400ewQg_@@@i7_Fcy@VP@@Mw@Vb@@
+@@@@@@@@@@@@@@@@@@@@@CH000y@@F020000OkSUdeWL20_ZUlWzE1F00m@@W1W00u@VU00q
+Y7MXeWHN0_@VlX5810002z10Wi7_@@@@3Z@@ly@@@@@@@@@@@@@@@@@@@@@@@@@@C502044c
+108004020q0W1T0O0000XW0CG0040420W6nC8A034020C5T22010WG0044G20n60_@t900W0
+200000020000W00G0400W60C000G008000400f0O0040XY0C8B0380228000OpQ300048002
+010Gm_T6aK0L@@@@@@@tF@@xx@VO_@@@@@5w@@@@@@@@@@@@@@@@@@@@@@VE001WehB2N00m
+@@y300Wu@@Y0Ct0y@@@@@@@Ft@tJ_@xi@VEz@Vp@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@Rr@VM@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vb@@5t@@m@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@dF010WQ0qe8090400y@lJ00W0
+084010000YG0000800100008004080000001000G0WK0C0G0W0002001002090Q3m00002T4
+a0000110000200400000007f00m@@E101W08000008201000G00_@tWj1CW0000400WK0Cej
+0300028000870369mW@@D008G000000001000000mulvGN00G00000kxm30480Y18Xv7Oe60
+3_T8XJ8I0OS2m@@E1000AGYeW100y@@@@@R90004W000PFy@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@5
+a@@0x@7G@@@x@@@@@Fo@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lP0000x0mXW0m8AW4I2GY
+@@D00Wam@@H10W0udW400G000004001m@@Cq1W1f0OG408q3m312m0004X35ax@VO0O30iym
+Lx9Omu360G00uIX4I18XT5C8204A3uXn8O0CC2G85cLxG5XHin@@90000DIXe0A0WybX1000
+GMqG21000DG030WNs@@TQc030200000040G0GD0F00048BW7_@d4W8B0dZ4LI6RqIm97Cm00
+00kqCYA6bAW800y@VE00mN_@NKnQF0@@RF0G0WE0S8n581G00qt13T0OW800W@@p1008o4EV
+20000aF0m@@H1W0000A0000001e00pFa0400WK0C8fePeA00iHHK1pO0H0040000G0010000
+itI2ljSo@@C00eBx@@e050000008P63010GDAr3HBD38@1W@@fQz63klX10G00HumG85jOO0
+0u@VdGe4030080000S706G200004GOA06y@lD008I_@VU0C200GI0000Wq0W16100I1WXyni
+XD00m@@@@@Fd2010_@V9O300@@RF048W@@N24O2m@@Hbjc10010G000KOc1h5cmjBICN39Q5
+00sPAAW0000004008WqYZ100G0002Wy@l101K00028y@VB00YI_@FA0804JNP08e0Wx4J0WG
+0mZF6a5t3@@J2W53Wn7fgfk4000400009jk4001W00080140mkbjG500uJ8gMpaXxmCeznS0
+00Vz@FLJMXnPJ6000004W0m@@g84Y0uUKgYKq000080040W030G02000C0G7R600040180G7
+09aoM8000enF00aeWMNNbmb2IChm3@@l1005@wweQrq400020W0YA0Y4Q0m000G008600000
+y5d17d52m53WSzY2000Os86000W0WG0004011A000GK06er000O04000pfCX1L31000kz10W
+@@ZI40e0b00I8AI4K2G0W0Y825b000G614KI90Y8YY82b08000H41XI00W02040e4W00W0oY
+p300t2@@x400G1082e00020W8600G904A003C004e0041K0G01Gm030D5W4000W040001G0G
+8D6y@@6WSN0_@t9084W2ZG000220k0020144W0GG410G8Y200000WWW000GG146WC8025tnb
+W10000G10@@l100066100@@x44010200W00010009208I0824000804O1000G00X00G00W28
+10210000600W000YzW400pq@@x400WM18I08j1W0W810W8420q700YXTlGV0490szYG0Ga00
+GC21GA06WM04H0aIGGka0850u@@vTKa2mx85WCWB0l1P0_1_HY4yZ4f079I1yMa2u7m5mRWV
+aVf0O01E2I2uD0000m@@F400im@@x400mV11Fy22Uu10ym3pCP6cP640yF8CNLGKLrWmCpK1
+UunKc@Jbg22JP640gA8u1F0000g0u@@G0wZ0CdQT1IrYK00W@@1BqpP_@d100ju@@@VYcO43
+7CPu450413W00000050Y080000082080400WW085NR01G0000W0TNd0100Wkh3100gx@@H10
+4G188W80001WW2800400Y21104008e000G00800802040800KIl1m000_@d4Gf40Bx7b0X02
+0000O00841000G00064000082GG0000000041cw@C0500Qst90GY042018200G8280W000Ge
+G00AW0010X000200100100010Y04800f6RGs3a00WX8gmhAM@XePVu4vM0fM0iiVKW000800
+00100Sm_4Eyr02000G0W0ssp020000410sXd400GaO300_@t920023rBnm_6ixl17@R0080X
+KAnBt@4_dm0040001802@FXX5IOLS6ksF4Gw10hP6b00402000K00GY_t0G00001046Wm020
+000H004000WG00Wg20mv_a000WMl00Gp_E9000y@V3G004008GuaI3ctt0W00080100204Vt
+y3JNv10W_wazZ20G410KI90W8YY86b00000Hp0WI00W8Y0WK0I000Ye01G900O4Y00be4000
+W80CwVF0O9043NK23K0G010m0305W40050m00001K00GJ1W18O09f0a00000Wg10H5wHEJHX
+8KG048G4WX050AW90L2XmO400010GC00e022G0230om0GaX0102A00CD0fG0G2000800W2_@
+t300nG9xx40084W01G00228402G405W08020G440c81aG0I0W22H0000G00049H00K0W2a00
+0G1221108wyG0ub0iilJ0W_0OG00w541W114W8G40q7G0Y0mNI1m0820n08W8W80qxc210Wl
+GlR8600_2Y0000K800ail7a600Qst9PkegKTHcnCcgIL0y7y0ul5fgAB2VLM4Uuj8pCZAuX7
+uX3Fm3VHKLrYWt@5nCp92W@1000WfC10Wg@t00WcNo_E100EGa7uj8FmFWVWt0@0@0_1H2y3
+Yq174f3y6I7u7uAmRmTWVWx400t90KWJ0e0U3G1y3S000ud4zl7WsN0YXBA0yVGKLL00FyOA
+p@1W@1XfC32WK0CWg00000m@azd9QceculbAs91000pKTZr9h4FOt2eC7WTupFUT6Y6MYDkR
+100PwY2v300H028000G018002G000I2t00W02f0OmtnICWy6000ui300y@FL0002ouEXE0IO
+Ml4_@l500Cf@4G5000840W00001GW00iKG2FnR008WWK0Iuq096@l2m_50ZF_Vub@@zv@Td_
+@sh@dTx@@OV_eva000000meT_n@V@y@rN@@yt@7V_@ll@Vxz@l_Vf000W_4tWU_D00maRzbU
+WS20Oq@7I1uXRG730G20uhWfyCj400yXW900000Ce40uJAOZ5thOszMk_l2400Gzo7I_@900
+O1BoLm2Wdae@z0mA1msiWrkmF000ef000Kvwy4000H0W00G00eMT30020sfF3JxRGYz6qZB3
+vhl1033WPXf24001KW00W00001W0W04001000400240000W08zwRmI5g000WWC00GFyH1220
+600J1W002000400052Jr00011000080401004Ov_4YKW400Hm3kULbh9G000Ep19AXxa@@D0
+WI1mo_E10Y01100028W8W080LCR00820008W0G0100Y1b@l1Ga00_erW3f91000Xk00WczT2
+0C2uJq6W00000H4181080100zuQ000W2G0000080sorWxKE101EO6tK1200gpw40022yyF30
+820G000808Yuu334100iyV8WvR0sRHBW000000AI9y1418800001400a5U20GG0c_V3000uA
+100sl9A044080004082a3k102000O0002000YW4080WPW0KK0Oa0G408D@p900aCJp8A3B00
+4G0WG46020W0G4HG34XO2000004W0W000G00100000A0G0083000G08YG0G400000GKq@U06
+91O7_e0G2HG1500a00WY02000GP9oa0W0000020K000010X82000W00W00G100000840c_t3
+l2005s75210000W8000Goks00G000G40U0mWLuJ000WmY26ayV800UBJsFAHW2000001W808
+2WW4000f000000044004UXo0W0vW0000GW00SSl10400owPZ@@D0OV2GZ2KLBg1G000000W0
+n200000000HWXzJ0W00mZL6450395inW06Cj@I8y6W103D000010420X00Em008ON4O0180W
+K002003000000GeC0006G1XI0O0Ge9yDImF100tf@@x400uJ1eW_206_18000mp1u@@703@0
+0Wd92t1W1y30O00000805eF0000W_w@VF0v90iilJ0O400Wc000T050S1gHu2O510mA200K4
+00WB0000030D100O0w800m0000Z000aCh93_Hp3000iC600_@dA00W2q700uEGV908kJ0YWl
+00zL0000m000000A30000GV50b10W@@F10GWp6lxzx@90wP06idAX0G0DWPmP7IKDa1RUamO
+A9S0u6K500QsFA000mrzl40W0murkwTH38G004r56PDQGfZ6y@l7WkC0ErZA8082Ge0I0000
+000W20W00081X@@DG020G3X60W008lbDcaZ1000Ku300c9CAW010W8W000G00040000WIQT9
+01008W26Uk_400cG@@75004200000WX1G400W0000mI0400W0100000020020G0000001GM6
+ay@V20U70EpWA10y0TWp0001WTBDODk40000KiG2tVWHyH9eO00u@Vm0021CmP21Aeo@@900
+809fGgYGX1000800G00G0200H0G0000800WSGXHiU3GxZN10004200oG16q2h1jYcmWfj000
+WIF00mBbKzN8600402ZAa@@V00G2w@@Krqg1W000000020821000W00OWRrI00Y0n@@j0I41
+85re00W200020080W000YINC0H00040400012000XNuS6Y200bF6b8GG9A002C304f400200
+Y0CA0002800eWI00W4W0YA000000H2GEhg008sFEge000W2020002002DW00e1021K00W200
+4C10040008K060009v23e83W@@T20K00200002I101W8CK0W08GGWW004WG1C0050844G008
+000100028qcBCypS81I550002000W0200X008001G000W00005000100G88000G041001080
+G0W1IL10Gkn@@E1008M0240aUH008I0086W00j10W88L3q60H2WLD04048004100WmI8400q
+m@@g02_0u@Vp0G00xP00000_vkkpW500u@VdCGa2Go85WVW80l1@8o0_HY4a14f079I1yMa2
+e3m5GIWEWC0P081o80y@lA00wn_@t900Gu2ILL5agg2SuX70_@FCpC8KLLG0m@W0Fy11W@1W
+ggIbg000_740@@t2eI4W@@@@5gD_@N500Tu@@@@7Ia4lE9PSJ50000W01KFHRmwe6yI@3DXX
+Hs@L008YPUYh0008008002000GG0bDAu10000Wa6Wbzf20W08W14WVpD0HI00G0000G00xgd
+G1kXai@3m200YuwA200Gr1fIr@R000tfACjYIC10W800008G0W04wlDWIA0_@t90G40002OI
+Ns0G000002000100004SNO3_@V600Gyq700QsFA0808f1dG8l6KgO2Xh13000000TGfcJrww
+6ims33S1p_@90Y11OPVd000b000W0Y800000Yi7C00H0041240E40fRxHQlR0U00ePVd4148
+0mH000H0GWdC031G0420800000X00PFG8CJ00100G0000000A1I00G0Y0200420YG80I1000
+006dnC0SD0AeCgZmDG8X42080Wi@D048G010W00820ZfOJ_nH108510000810WzyD080G100
+08GW20000OtzyXU@F10G3MGpN10800082Gjw60040Stp42uT6GJE0Bxx40W1cSmD0u000002
+0qA100WK2GiC030cC93t4Yc16R100Df2600upehD8nOR004lailJvWR0000203Wt08000_P0
+a8U20WBG1000h800erVO0zh04lUKKMv08Yx06l_3080n9E00008khIvPYK8gevGzfN30400S
+yF3nmb3eh4WTulQVTa00003Q00emUgWW00ygk4nmP300euazf2414mT@B1gZ1OJHxtQncj@n
+00GzHo_K104Guk@b0060C6kM00W84101iu@F000eJNZgmjJ0W00GGOsC@F308MfdyKhj@D0G
+40mZ@@02Q0O7Um0I20qX@FK400gpyA00008W8YG000aboF000Pt6LB000G00W8G2H4yu@F0s
+M0sFMB0e00n4EJIgIanTK9@dm356qyOEv@d00W0y4fl20800000G000C0W20Uy@7GGC0nmfL
+FJ5100WenqYgsj2000000029100W120OoVU00mY66_L00qN100p3el20Wd7mN16ivVEW040M
+D@A0e90001GD2006nbGa100wu_@Doji2kYIIz1N300xg@VHusy_@30yI0IXtiQup1000X500
+WkrjC@@400qgje1R@@R3WL1WhFChffSoUOiZO_l8d@72w@Wa_@7g@xnw@Tm_F7j@lXx@Qy_V
+6m@ZHy@Lum30000ef3WLuuCmU32Q6iNE@lbq@NPz@KQ@@at@B9_@Hc@Faw@@u_@Eo@@EQdwF
+_7GZ20xmpF080W60C8IX@B3@G000m4300gk_@MpB2qY0mOu@7c_@Vn@VN_@@@@@@@@@@@@@@
+@@@@@@@@Q1400u@@40100qHSEWrN0_@dA9GG2G000000XqqC3h5OmNS6000GAkWDgGp00000
+0000100mvU80e203_@d1G000t70ptQF0122ORW7kOx10W000200_@l200m095m00W1D01009
+5y0000e50AuMFUsZsWy3C8aW4gGWXN4Uu@@D008nz@lJ000170C140000H00of810220@@@G
+42d0yN08@RL0800q2X10002008W0011SbW402000004e933000W00G0X0W02011a@@J00W0n
+U6p00000000dc0101000BSOm579y0m1f0mGL7Fy@l1Y000oi8Xy4D080Gm@@6010WW000Ogv
+C0O00eG2R0of0y@l4LXOmO86y6I20002YKWXm6a8cG30040000W0002e0002010e5Na00W0W
+aCa8z2I000Wg8010100Gj76CPH8@Vm0G020IG3000008100aXY10W0G62v1000Y1YO040000
+W0W0000W8Y00100eC3L000000m@A33L0800izk140010200SJF30001W000yLl1m80000400
+0201W08041000400G100W000010a8BU30081y@VBYU40_@N500G4Y020W000y6Z1D9O00oCJ
+8A00vom0W002000A80G000WeW80W44000410000000030k7P60008w200_@@4W00800000W0
+0110G40000210082000240000000m8400I4c00O000YntO0001otCD0088G6E6S0m000202o
+n0G000zk8ns@O00W9x@@P0W8Ym40040082000080C0@@R0H00000020G40802000a1G0i44e
+0G01C10GO0002Y800000Z0Y0000O000Y00e82fe5Ge70@@V20140G00YGCW00008GaHKX0GW
+004HL21050YPcfg0G0246nCo808K00Y004060W000W2G03000A3G0WKW0WW00WX510010QG4
+0CWu@@60W01u@@J00002J00OMoJMzn0G0W8G00411W0G8030Y000G0020000004502W0010G
+102X8000002W20G400900C1a10G1002002050uP5C_@t300Spjis25K0000000GXG002000A
+K00U0004GXz1CW200060001e0000080500y@l10G3060o00014Xac3mz3W@@FffeAO000q1W
+3000800G400000120020040G40801C50HG4uh1000G0800qL4CPFeIQL60282W00G48Y00W4
+80W2000G10AA01G408W010GHGm824084040roa100620G0000W88Mu40050002WeK9O000GI
+P00u@@J08000000Y0G08000800080W8000HW028800010804049000W00G4000W20000a100
+000m40000040200W40G00W40I0W@@j10mwr@@d002WW000W60002400Y000G000822Q0108W
+00e100W020X80004004Y00D00006egmC000qD0020Oc10gwi0ypl0000y@@VR0Bn0y@VB000
+aW4000C8X4B03CM13Ii2C3O5OO09I81OW13WPmA03ZLW5000030004020C0n8O0e000KY000
+f0a080HajmI8HQLSE00y@F900W1G302Y61a182e9G6G7WE0D0T0QOm0qm0DfX1w0A3q4qK0C
+m0mO01000A2000Gav0700mL00I0Kbf0S510We200011ml@3HL1WlO2m@@j00O9x@@J00r00O
+60YQgHDrK3G@z3W_380z7G0ZQWKZQ0fMA06GDgf6GWvfWK3WidmOI860a00y@lA0uP0g2@gp
+wI0004mGp60Y00uESLklB1000So5202GRZNyEvE6I001W49aA@@p000TAW0005zXHESvCRT2
+@qP01G2Whcd9Kl40S70y@VH3zb0042W@@D00e8m4t900001006I42s0000JI00G6V60000w7
+B9s1aX6wP021WGSYL00800G022G104000W20401AWW000000W2WG00G00WCFhOGoY6ihe1Dl
+zGKLC4zN200C8cbBXu0P00W0m10341t30W0000040000gDV300080200W000mPvC0008QzG3
+s6qW14D0W088000YSpC0201G2M6S183XGo0004WT8V0yy20002Wr0b0W000800Wl0OuU5600
+08SI66002W00C0020008091W05eL3C00002W08aJcg84nDEUq0000uA300Ikq0000mNevHM_
+680000W000600Wq@C00100020Wv5J0002Gk_60010gDn7Att00m80@@V20G0408Y8000000G
+ZCOL2Vz7Y8Y000W0400W0090H000W00G4000W00810082410W0008Y00W10008800000K0G0
+02GW10001012028004X004408000yOMB@OaW8F300G40@@V2Y40ovyPeQB3owq0000W02W00
+00080Y0200000Ga0Y0008W0o0W8c1820G020GHy600I0w@VIW8Y04GA3_500_@NbIDD8Zb70
+20WaGO2Y000001G8J00W0W0W0000q009000XoBp0G02p@@tYW00W3EC00mSmG76ajQ8dBPGy
+d6SuN2000AE1z1000m014H8a0Y09XGX00G0Lm2043S1Ae2002H2I00820000510WVGFHWG0G
+e260ms000H00024Wes21201W8802XG200W2K000000O000G00200014A0W00148000202GK0
+1a0Ke04Y8000H1W8W1IWG1a0C706048WmG4000KK3W000D0AxXJ00408000uzI3y100a1h1D
+OQGjdR02g8200180X000G1086008000X800GX00400W9n0W8008aG440W000008000208042
+08200gKn00f4021081W20z@lD00SuNu1cTTt0000040G10100ZsQGJg60000010CPg7jy@@3
+WLG0QDy40830Pwom3l6W000eCu4ksr00G00G00090u8G4W8011040S40aW0000280020jA4C
+ftQ0000M2300lbS2000a8GDecO3ItrWlVJ00100020100040i400WG820014I20g0041W82W
+000a6@mWgYc1WG000W9hpaK10100820WhIJ000nmco600004103W00002080tAR0a4100G04
+220410418008rAG40240G9080XDR3G_0W@@jfkO60002Cbe1RvO00UW9va306TAz1r36vKsz
+qViMAzHTU@0W2cHV0EA4ccZD0C00m@@a000gI0C3l0WIVWpAhWON0WLM1000000q70bL6000
+050zL000@000082t10JCS6WA090N0N8t0kG00S90WW2001S100m0m000G51W@@914000808W
+mfD00G2Qxg6SkC90030006020C0K000uMr64Ld100G0W10001030002000G10ylg2WSof0el
+2vaTd00000eC0wlR00jU0mCyG00000O00m@@X00YU000824z00SI10a3000pyg20ec9Pr7cX
+63000Kq7W000v4T1z340qNhc4c2Jyu@V6000GPO00uwjMI0z4040W0GG0sxw11000XbRmztF
+KUU2t1N10WZlyWtuBT6wz_XfktOVy40100KeE3NbdG4tIKqU2VB@m@@60Yp1uhkDU7FXnBD8
+xTIULt000W004W0AVlYYza87NF000W0R00u@Vd008011080144G5z64uZ10004K001qGZ1@@
+NHJq6iOV5vUcGPo9y4F3ru@moWRC4j1@Bp000G500I035O000110400rvRmVz6qOV23LY180
+0Wj3Vu@VaG00000002eA0010001W10vwR040001200OO004000yCh4ZYdmSMFiOV2000O5C0
+0C693twpmp_FioP80004_SC14G0040080005KUN2z_RmNR6qll4Z_l100Pf0xB2010OkbKL@
+l1W070k_Fdf8SAxVC000m3B00u@@MsL6cxG520G0I6tpyAc796yM5142000dc00GhvpG400O
+yKRAKV600EUvMbpre9Kd1OWNA0sXVcmoDOvLRgieQ00k41pAKJAW1UH1unRR00m0abNBRbuD
+0W0XEqX13y30000hOuC837p0090KasCNKasKHQzZz6FuRmzwj00WM9Lfh6_MYPlJ8st4_@FX
+XVJOy@A0GZ0iQUK1xRGsuI41R20002IidXgnIOC6CKF00K1XYX@N100UwRufIW00mcx60040
+000WGFS64Lk1G0W00000cqc1G000wYt01W0WlnR000e080G0@@RGfy600000ua0m@@6e0008
+7zMkedXnyP8JV340081400WY004402G8020VbQ000040W0000e018404TV2rHQ08W000400b
+rR000GG00100044G000i2k1A700kwFXm_D00X0G@My0010G0120084000W200m208G48G000
+00mG6c6WW000Y21I@t60044000041000040G0600Uqt0C000288000000G6OefV300OQz@l1
+0O80_@F13200RxJos@9iNj40G0000WGKvV2z@dGQ@6000W8o_46V8XnuIueF3M@d1Wk50PbD
+60100W8GG4020IQ@XQk910003V00WUt0300400WW00G04Tw@GkSd0WGpDWknEqdX8NJOOEL0
+l80iatO001100000030OA@7UatWKrz0000k300WA@03000808801020q0002e@100G00004V
+pt300FYP3E602WG028068GW0000D_T200G00G1HiWC9WcM06HqC00W2K200M1GYqz31000je
+10Ws673000LOuF0008e4jJ00q75xXP2X00_sLYbpF1uf3m_bZ1HG0igT9Ybx4000uI200Aw4
+C0005Y020HO30y@F30W08MmLYe@h00GVOYeZ1Wp3WoA3m8Vv0W70Owqn4000mR00W802000H
+a5tJ0008PbVd4_eDb_t200eO10m30GVtHC00W2C0u@V30Go1WSo1000WSn@X0002Fevek_VZ
+swJ8mU62ZV30W00Y0000d904B_35ppmz_CqHb1N_@GA0ICeV200001040G0004004m2t6020
+00002001000080410000800G0040021010GG1000400ktFX8@DW000OOu6mE00OHT6Q48X01
+I0WG00004000G0D2aG709ylE3v3Om@tC00010804GU06qol10040cQt002GC000001002001
+0W018004u1tDOEy4oDF10G2000_IpSc10200002001000080040080W08G00WZ@dmlnRaK03
+HHlHQrj00408SU30wk04Nj7vjdmQw600G0e303cv73002WNgR00004G18020000300010G04
+0004G1WzmD0W000W20WR@JG0e0W0G4We@DGH0KG4x64IT2000ef600aBl1zlpGhxF4YF91td
+WW4a1YGG000000G010000W0W0001030100840i04100040G0041I01WX_D030WmJ@64v_300
+G0ApF100fS1Qsois90008uqzA000a2150K0000G000a0A0001400m01W20K00Y40u8O80020
+002001OSki100a2001000GGv@@40m00y4k1020023F1WaE01xzGu@U8W00eeU30G00ScU500
+0W1020SNk14020I_tW4zD0800000W00400W200g0qWoxJG0n0GuYFqpV2000m4F00000000e
+0Gr@CanH2tStov@9008musV30040Cel401W0EWzaKjD00mjSo_ELCY1@@R0W02020008200_
+xE1420000040000Lbj1LeZnJxC0000Gim3mOwE101GG8W4000G2H002G0001000zbe1PZp00
+40WL@DesV3INpZWKIO8re412000K0uyV30050izF38400gstWJMI8PLC0400008000mjVyyH
+116141WW0A810G01000005300Y600u@V3WA00000m000880GW000000W0GEtZXMCV0200801
+00G0000xQ0snt90W1W0A0WW8We010O220GC00GWaaV00210220004100G0040004wT5ZzdGV
+56uS00emVdG880A20KYI0400040A10005002@b100WIJURG@uIizF600S8oyFA0G0e0a0200
+80qyY40G002RFXPVDelTFAKt0Wf90lz750800002W04001880S8F3HvQ00W8WqPR1000Zy00
+WK@T2Y088O0WHmG000YO44000ySl44800Znj500ov@@x400WQ1002G_51_Zo00G340000@TW
+10000e40000X4020W00K2Gn@a0gK1e0wJAClYMpb00X7WH700000GSrV00000eY00euj7Yys
+000mw20RiNmd40004K100wyt9X000C600u6W0mQWB3006q@@C000S60000G51W@@D00C1mmy
+O0200O3x400q3CxOK2mTz50s@32o9704308sDW@@PWxy30000Rx700@@x10OML0000C3C0_@
+t0GkE0vmBQCad00000G08G7p9ilo6tVRmEBCaJt6tklnfu9000Tw7DC_M@dxrJeYAO2HcX@@
+D0mL2mLLOSPkDts750008R000b_J5222eJzIebd7KG00KrZ700X0c@d100Bj9RhIQUOiHl10
+0A2_@t08G100G011W0W0G51OLV38000004000G01000n@zD85W4000000C4OC93_eB11W00l
+bp0um5W3pFPqV908W0yVU2bwd000W0e000008K0000f80000W0Gx@6W00008010082408020
+00WcJd1YW00000000900400OVV38000iF43s401YKO600010040ANtWL@DOzS60008e002P4
+E30000LY_3DnR0001WDAn00CWmy@C00Wl_@@S008Wy@F300O1opCal@D000Ami@j0yY1OnVO
+000100208xR6INE100000W024G04jNz382G0_Pt0000GDjRmDUg000Yaw00G2u@Kz56PzNH@
+5p00G8jFFO6h@XllUuqV3001G00000G41Gsy518L1OcSLQJt00008XPOG_tCqeV208G0000H
+0102uG43AytWXPJOhV30820q9YA0008YAK50G000W40040000H0W000014GWe@D000GIU_C0
+043K40084140008Wxzp00080001000104800SvVB00obESHbnHC000000808W000d9cm@@F0
+WGY87yAk1tWYm9vz@70wH0y@@900WI0G00180AfUJ3400000W000200000e9mV00W2808000
+0G8brGI_naO100u@@J02W000280002GFv9W00008000080e3da84S6_mnWKzQP_V600O2y@@
+90m02EWrW9ODW140mAvL000G08000004XX@O8503_797mv20@@V2090moVPOa53s8mW@@P00
+q60G0YKD040fZ_0008100000404c9nWqrFPZV30E00qPm9GW00Ge882020G00W80W31100W4
+160G000M6LKj@@00W8q@@F10OcaX7EPF0lP6UOpW9pAPfbz0uBFZbIUO33000G90_@F100GP
+000d100Y0O00ODZ70WC000918hs4ozN5mO30@@V221W0C1G1O2u2m4m4Y9W9400J808W00G0
+J00000C00y@V200h0ug@10x@10000WY00WOpPmC00F0m0V0GPbFss2000Gh200rm9Qo_WDbX
+15ZkHZsX02U0eFWGsnNb5zneYT9IvMY1_n0000J_n6aKGHr50Jl@d00GQ_htesUs00042008
+02_sWtwD0004oBAji@V2W@U023k540W07Sd01000W001@@R008G000400200000W0XGGWW20
+102012130DTPW008010GW2001QstW6SJ00O00400WniP020WGx_6000G8VV300003P0088hJ
+sAc100GHDrR00W14G024012G028WSSc100G1Ci0810W0n04WCW10awcC0028008Y00500JpR
+GlO9W808eBQ6Q8tWmxD0044m@@600Oyx1_7_v84aG00lnRGTy6W00020W00W000208GH_dGR
+xFqxl10004oUm6G4C0nWtIW_9030040000G00OG00W00200XW020000G00nCtF0010QxxV00
+0WiOnCNbP08W0W@wV8z_AEAG80CzelY840410002053cmktH1_0081_M6@t0G8W0000008W0
+0G40eGT3EwFXZo3PWyPO700CLVB0WI60002i5i10104sFkYfuPG001G@y@00GyFmUOWYI000
+b2IHWW2G00508J00W0Y00000W10ub@A_8j8mGB0XW3p2_90G0100410W00WGAC0200X00GW6
+zD00A000200Ye00r4QJCSFar83@@J20AXqLhIWI0044G81Af40nvRG6z9yJl1ZwdGZ@@00eg
+z@VO0e00002000G0000Z000KHA202GW80G4008VG3000Si_l100e0k7f800000h10wf56000
+080W5000603YEG4100K0AG00004WC000GGj193rbR0402Wa24oQ00mzxm0000008I0L030A0
+41e41G8X00iIj1NwR02b0O00013YP000WGI000R7Dpy@C000XeuORGV00000V1zr040G_520
+0G0WGG0004cI730eW2wx790y00@@t200mIf100I3yF00Bj80Bd60fP90000i000T@d006100
+890000G0Wc00000r800mY@@000WiS56_@d400Wn000C0c100C30006000i600u7O0GImT00W
+4X3LHAO3cd10000Bc60MqI00iAd10WA0000000QfjOO62L@11002R_d38s1W@@F1009Gj6mq
+U6IE500QJZA0W40riX400ftSuZgs@AG002ivVEW9J06LlbczQvEQ9Q@dXxCtOaQ3cNt0000W
+fK_menLyxU5fld000C0G1807md0001001Y80O0G802WqCj10I40Qms08G80DuRGEz9000W8g
+T3YocXxwDeEx400qoDJj4rBBH2zCiXZ10W02G0000010829308140G010W0182800G00W00G
+0G041W0W144000000280040004000208000W04m5wCirV2dopmGV60m00u@V30nv0adE37qZ
+Hc@CacW12004Mmt0W00WG0O0102005000W0404000G00WFvPGuvF000GeXV3UtdXAgn8_86o
+XdaB@hG000msH900GG00W0m2W6i_l4tSb00G000X20D_330WOpAkNQ6S3A1uXbrD08G08H0G
+004W0d_FZXj3W@@v9qy400002000ipX4o5NYqfCugV300AWay09z@d0x10W@9p10Y8IrQFCs
+F62000000K00800000X8Y0eM@R10mRINc81W800210GJSLSTb1010100W28W0YG4060042mu
+yL18b3mo_s0700ekU3G00GKok1G40HW0H5SxV5GaO00e848GGG42W01010WAnPuuVImA00iH
+1F00HWQrB12000fPn01000AG40Nsd000I14000WI0082000I0IOxQLgTsWdzX9cS6WG20H10
+00G00mfe64XS29ydGn06WmG001004810WQ_D8HwM0CW0CpFCNbR0400000W20WG100100WOb
+100m0041afRVuQ4300001000100W3200cNpd1000i900WqrcPBU30W104Rl1x@R05m1WBFV8
+Cw408000401uCSR00msMmhDr@R001W2G10W00X80410014GitV6YXZ14Gi4060aOY0000W00
+8200W000WWa8v_h2WE7WN_FvBT3o7e20020004W00zbWG08rB00Gq@Ieo087e0000e4WQnjn
+F00mmS8100mqP00@@@9GI0000WCIMS6000q400m5G1020N4N08000SH0SxlA00WbMJ7cQwCm
+000GNc6GI000NW00d1k0s1k100i3YBT3Y0F08mLmWFy0vh0WJS18oq_g00U0eszV0Wbf10Uu
+XCD3GCS645VK000ugIsW@@V0801mHlCK2NBp@RG1y641FCX@N10WQaurpN000GJr6yHvFHAq
+b0W0Woyn000W0408WIsPe42F00aTC5s9vzRmvjF0002e2L600G00W048Qw40W00O000emE6g
+HcXIy2Pz_402z0CaeDPSRmdv600280W40mDpC0W00iux4kgFX8xJOny4UAVZZsPmR00mN7jC
+RT2rvRmRw68040wlX4YS8X5rDerV9UfDXZ0JOKTF00i6cz0FnkRGs3C4zk1Z7BKz@C06V181
+pe_us900GifhPppTy4BDC00WjJXNbsOKPXS6_xNY5xX1Ka1mOdgCz_9F@dmQy60090euIIIs
+V3P300BmVY00004200@iAKYum00mmgaPI0001qJkABmPGCS6W000iwy72RpWIwR1mp2mBhd4
+gl1bmbGnzU002410W4200080W00LfoGiX6qb99t@d0000QJ200xxUIWwj0000I088GOuFKoW
+1bqkHu@L008Ex@@D0002M0U20W20IrM500823mLnczs0WH1e8yJ_o@40030010I10G046k49
+YR30006n2009mXn1z6080W02000Q1WWioDu4hX1004100O20000W1GoOuI8ij7I476GrF0@@
+lHt06CIl19mPGkfjC56dF2wnwsLap66040000eaKD0C1YJot_6yOU5XKhIPs904E08qQIIh_
+10080vNlHIyOCml10280I3e5000O95mmUsC4yj70400MjTZOiDez5OQ60300EdhtsIgT2LgD
+3v2V2G44WoCkY020000O02008pCnJqr6GQW0e@SFMTtWRtD0820m2hOyUU2xwp0060WqsPu6
+v7_ew400qN1yZHD@9CtO2FZdmYZa0W0G8ivA0018CsFCWvP0MsledSSDP@4ksF108007Vm30
+Y9trGlQdU6E9d7010009L0QKWgkDUuhTFgTt3000CHhyJ7@ISgU2zvd0040WGcRfu@700mKD
+4xL400000100400eqQ6E2hblyI0Kw2GQ6K18W0WK0W800Y000G4tVc0W00WVSc1000bI00Wh
+TTY20e100W040080m00G0GA00G1004000K0WZmD8zXP00K5DwHK00A0M2t08O0000000G100
+800CTdPErE1mq60b5x40220GW00041WG1200G20IY00W010WfuI8TV3YELbazD8HQO_xF4m1
+0100GHW8040W000Y00702000A2C0W000800_vlD00stJsFdGRh0004k51uVBoCp07Uu1W@g2
+pCN40_l8LzFHggA0t@h2006uw@P0Wn0mmCHf0c0G1C1i2O2O4m4m800WH005W00A8BE32KNb
+XtJ0000fi00W6fpFFyb0v@0i7JBNfI2000Y7__9lP6000GAP0087hGY2caDXP0001m@P@K6B
+3006dtOrcUM5AtVL0w10KD0ONMpGirFCvC3@dp0841WSuPOk63EkzdLU@fSS30400i4U2020
+0Q8F100tWvFLHloFi3R5RbpW00000G00@bdG9yCyiVETPd0OS0WusPeHR9YrsWVWzOhU3W00
+0amT89c@mzw900018DM3Qlt0GG301jpWk10W4SAwi2aAYNY85IeXybcftWKiF100WHtWC000
+308W0mw_6G00002200G0001UA000I0M2pfbyP8XzGYqq0K0W0I020MrF14400040004800I0
+00000So_6ag13PAiKW@g03008f@40G0004G000GAmf@600mLAbV30080y8RKT@RGAgUqbk10
+1014GG0W010eLE30080008028401WW00W0000DK0008000W0u7V3gcj800805DdGX@X00W12
+00W0I0522G20hiP0W0034e2G0W021K04W40O0000X_H00020000G0M5Kez@D0a000b0G1A00
+0G00pe0000002PI_G0W200W01001e000W090WIK000O600q_j10M1000ai10000Y0eG4ua43
+t91rRmsz6aQ33@@Z1C10W@@D040e000YmUxJ0000K_z60GT1000OGGpEX04000480m200M0W
+0htJ200W001080W200aGiG40H0G000G0008EW00W40W822100W5v26201400WW0dWRGar290
+0WijU3002000020W0WmYjaykl1G0408000014200KW0G08021020100008E11YY8Mfb0004A
+01200D0004800410jyRG6wR0004G000000WGH0H40e1Y5W010WN0002400Y100GO0W820q00
+00Ip9CL0300000W80e1dt0000004O600082000c100G400G400aml10GL8000W3K0K7000eX
+00WqHAQx@4CGa2Go85WCW8091P000o0Bs421q400e3e69IGDIa2Oa85WcHA0T0L0Q2_Pf0OW
+850000D@69I1e9a2G6G1ORSOkyl200GL22Uu5aggYALL5cP200yFeo@3n@000cfgA10Uu2Yv
+m54ymB80_7um3FggwVOcPGeggW00000y0gIG0ylWW7UK100mCJ0kVlefGS2000ET00WwoTw5
+yA2uE10204XL3300TaXpJuzz7Y@@XLun8IT3I2NYMmh0000ukyp0_S0udECAI@Xmqn8xT3QQ
+tZFARvYy70001m200OVERgX7ZJuhu9U6QwdXQqPe@DC00Spz@F30401Ep7ZvyDeG53MqlY_u
+JOHV3satWPkDuLV3khdXE_Qn_C2oMzXKyECG100oqtWczJ080WGT_Fakk4jQY100mJc1001v
+Bn4ypKKE9PmBnhva000oE@V6ozlY8pPGW00mlxjqpt6r1g2Wo3WU2pP9@7YutWD_FPVTL000
+Grar3V@dmnaQ5uEC00MUcxMbC0ZgexJ0ZU0aQkMLCMHsl6W00WuxS620sWK0U8x@4W700K6C
+9jpKH81LqqVK000uhT7Z3GJ8MPC6LJYvtF9tU3wvwXK0au@@40Xf0KaV59Y6IH0Ia2c7@5@V
+VpONKgJ000eyD00KTzd00qAQbnlY@91eT2G7b8DF_L000ODF0000084I000000Y40390z@@V
+@D000WBIpG706a205H14In0U4DGE2001000W8G0000082200008W880a0J1WYe02P@@40n20
+y@_3f2aJ8168mSC00087E10Wq@De303I1WYe0s000W300000a31u00Gm90002vp11001Gu44
+4000G000I5mcG2OedW4oxv@xY_lkf@dhw@uk_@ji@RRx@rw_Fjl@FBCswdJ0G00Gkh9KRl1f
+0GH9qF0k50Ofn@xXsZ85O88xD00u4aheSXecGA0Kqbl400o72fWj@@D0900G306a2055bB10
+0JXRU7hn@7EjtWPxP850Ao@FXa2CxEr@hZz@vS@FEu@VJ_@KId10060000G10O0f0GHUfLK0
+VQ09G00084H420001I0H80416810X8GI1WYyIJ00082209G000aC000cgt9vG00m14E10000
+7E08HK302dvW00mX89000088220000IY880Y81892019IA0K810000u880000vGWW0Ga4000
+0OWS88L03000S4DG8f0GH81F4Gw@bf@@Oy@7c@@@@@Vvv@F__@Xt@@t@@tk_@hp@Vw_@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Nl@@Bg@VYy@Ve@@@@@@@@@@@@@@@@@@@@Fy_@1t@@l@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@R000HP700y@@d00k3@@l5000G@@B7mE0W@@R1002m@@@@@@@@@@@@@@@@@@@@@@
+@@@@@FXW780_@76000895y6m10W@@X1010m@@@@@Fj000uyA00y@lA0W00_@dgLggOgg4000
+5y@@90uSG00OW00040ei8kGmG000YcMk4GW78Wn0H8YGw18AqIKe8e0AHm3T200y@VE7V9nx
+N60Y30ep3L0080W810tV2000400W8000100E0O0W00048r7800@H0W0b000QiNmy@V2W822X
+400a2W4000um500y@@98BM5@@t000C0NdTu@@@@@@@@@V58000WGGE801002dvW00008S263
+00YK0I0d0008k7W@@@@@@@@@FG0180@@R01000000iq700_@@@@@@n71J00000WA90Wl2c_@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V0_@3m@@@@@@@@@@@@@@@@@@@@@@@@@@@F
+e_@@v@@@@@lhz@tg@@i_@@@@@@@@@0@@@@@@xo@@@@@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@J3010m307y@VH0008j100y@@y0G00U0uW85_@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@4400m@@81000op00m@@@@@Fd0200_@79000KU400
+_@FA0008B0Km@@51000q700m@@E92008eWb000000Wkx@Vg0000_@@I0w20_@t90G20PFu40
+00I@300@@x40G0W@@T200jo@@E1004CPF30100ic1IW6I0c7XgzHM20001200W@@Z2028ow3
+B108Vx6be400WW000w@@b0qs0y@lJ0W000200G424500GmYB5100W0g00m@@pFDZ1@@l4801
+W@@T2048mkd60002CGAaC500CX3d000000G3qmrd00000qm0y@lJ00OM1CC1I6806000us35
+100W6w00m@@E9008060G0404WyZG20GUo@@H1W7U8MEu100W2P008mk3p53300UdnhfuAV9a
+ss3WgQ0_@t_HuC86Ea00WJK08@J0YKa2v@@@@1i@@@y@tl@@@@@@@@@@@@@@@@@@@@7bQ2t2
+Z40W0kbh@400008g4WKbBAaP6MNtWdhH2000jk00Wud5wXoe_@Vi1IDOvS9sEt6Gn707I750
+0WW@@T2X00Gp_EX00000C0GKYdCyF900mscjNKGN90xrzVUV@VNu@rD_@Sb@7tv@dopC400W
+6@@10GJIah@@Ox@D__@Yn@N6_s@zN4000AF100hj0v@@F00es96Eg_Kq9GG30ZX@VOw@@5@@
+Tv@@@@@@@@@@@@@@@@@@@@@@Rl000WtiDuEx4I18XQdd1000BX00W@@LX0W0m@@X0G0020W0
+0W00820800200J4F4000WV6mmk19SYU2000V@@tZ012f9T90W40020W00G420102W010@@R0
+900Wa2o1a@3GI1Ez@@@@@tOJzF0kD0e7WDQjtZi0KvdFU000WPB008VSFko7Zi0O9yx@@E@@
+kt@Vx_@p_@@@@@@@@@x5FA0HF0@@h20W0W@@@@@@@@@@yfBy00WaSo_@dtTH000Oa400y@VK
+DNR0e40G0G00p3om2XIabz6@@d00W2qVsEfe0O6LqWSpD00002020WVLU00W4G3ZIqJ83ZUd
+0W@1000A001000080q0W10000w5C1001000W0UK@Xy6POoH9000020G0000G00W100205x5c
+020000840Zmu1040WfnD8I16000m1U00OoT6oFqWRtJeR03gOOZ07setS3w3uXx0EfPF600u
+l100W00G00000mgRIexo4QlCX4SWP8_7kNy70_20L1kHhVs4Hf1VUoGrFgK3g100H00W8041
+W100Wv8A00y@@6004GM6Cd2ID8T@7Au730020rtRGIXF0400000Cv@@L08Z020H01600WYKp
+fA9X00804kg1WVJ0_@NYe5C0H002000XKJEPKoA0100Kuw3XkY100GW8LD0004u01600H00G
+0000W00000aG700_@N200YC01000mW000X8v@VOW000cLb1G0006dr00080bb620W0WePb00
+0G4400000_M@@B100W80W000O6G0400qXAC0002000I105K010850WK1410000H0e0000010
+00AGmCkR0100020100200000G0810wfb10BA0@@N10J000940JuO30010000G00408200000
+0W100mNd600W0OcJ900X0yy53G000w_oWqlI0000Az10W@@b002e10002O000@@F31010000
+GYu0G0G40YGmG080GHyD9e000eUc4o_LYAoC00800000a3ID0W000011000Ux@djX000WeOs
+ejtDY4s00WW04802wnnWAq21020mynCG40G0000090042200WJP0_@730054000HI7QZ@@h0
+04611000D00048004100Wm00G40q021G01001jCl10020002I4160020a20W0OB23030000I
+10000XR10W@@b0z00000qa@@zJQ0000KgM0O90000F_@N200mq08DB1WbfX5000cd1m@@j00
+06G400W85G6W8Wa0P0P0o0H2a1Ya03091a4WtQt0J00000c00001N0O0W1W900020c00Uos0
+mrE0@@B10C000G500W8m00005I1C08p0yF011W@n4pCZfCPcAL54LgA8cLLG0uV0000K1m@@
+s4By3@@R033mIf10000F0_@dG00Qp342shv902G0ewuD2F_XM5P00s2GkpvqS6CDZZnEx6aG
+_3rFc0000EX300lexHuZ@a4fG00Oj@@Fg5wDeJe4AftWbpCO5kP00000Ow08W1FsoaaCzJen
+63008W00G000W02100W4tCOSV30W00Swc1PtOm0@60000CVR3knt00220vLy0000Kg200@2m
+GNt6quf1004027mWquCeV03408W00009Y03000004G0PPV9084002004WG000W0mtzD0003G
+PvF0G008U@Doyd100NP@@NHg064Eg1Zwp001W0G08000G800W0stl1D4aGsVIaid1X_P0000
+e@yI8oq7QMmWSJD00W0ORW6KTA3WON0E@FXm@De2r4000G000104G00800Yk2IeNVFEAq00W
+G0000008W00102488m48G000010v3Q000We@@J0006nz@6G0W0e_zD000m8R00y_rG080000
+40S3M30020K2h1G400W800a_a1fpBX800XatD000W00Y10080WTKOmfK6y1V5NBM1H0000CD
+gJCM10I000a0000005002SVF34000084008800002000G00002G000W200A0100020080102
+020@@R0100200G8RqR00020G000W820_xOZI8t0yP3GXkdiBf1ztRm5560008eVI30010100
+10801mvk600W001000I000G000G008oeSZw7OuToDeB00i413XHOGFl60010efN6QcqW05C0
+0G20040Wu@DW008mT@90G00G0000400114W8a20CG4WG0eP050q0208202G0H00000Y80ieP
+2WW80U@F10040lBM1Y000001E08G000Z0y@V2G400c@F1CW08Y001gOn0808000K080WW0L0
+0G00W8G011G0WW018100040024100G1W4000K208040100GG00W00H00000GAGK00G0C400W
+00G0080GoldF000YOyu4I0r01000RTA10J1W@@hmW00W100W@@P0280W00008000W00A0100
+2009008G000G400WI000a80G026WW0001020mWIBI000100Y000020000e00001081OzY700
+GAKpC340M0eW2000b0OYZ40000100WYR00W000WtDDOqK64200G808I80001Y50005020800
+0Y000W021H00f0084100a20004100101W001mz360000G209GsfFyTg1W0002uK2G2008000
+gB8XqIC000002K0e1HJ00G0000BU0W02K000W100G100uPw40W80000muUQ60100m00004G0
+4G10400100WGG000G0804041W08000Y00aG00540042140uc56008820400G00mc39y@F300
+1OgfE1041W000108O220W2G200GAiC02E1000004W000000GG800000e040u@V34000100Aw
+zL3m10u900000C0q7B9000O0009001100041404000W800WGfck7011K000eOOR300420000
+00WWm@@IGeG08Yx40010yfj100100000r1h1Q000000WG20000000K00WEQJ00W0000a2008
+G7UR0I0800G100W22004000090G100004XglV0A0000WGm0UJW000000060000G40W000001
+H4u@V600n000008sj40G94200I0j20800bWFTD8BE3Wa8000e25jI0E08b0010mj1Q090000
+G0j00000810ilh10WO84008m4u011818HG4040G000H0070100051CX000GC100W02008000
+4A01Y8z03000000H_700G8ipC02Im021a15aW0A42b0000kF00W@@J000egg0000mCp@@x1W
+W7WGpg0000GeP60Wg00000kmNeAPk8yWVHLfAc0uV0y7pOcf51mV9oSc2000CG2G2t4WaW4G
+8XGOG000W29000041208000O0W0m00148W20m0000101020J02GYR900040008800W8W0000
+Y0P00000a10001000m00000uPnn00002KT000mR0000W3091OA03EQq0A20000C08900W8G4
+0002000W00K0J2i0k0S1S1u2u2m548WB0G500000X84020P0D1r0w0g1Y4K34v0m0000G0XW
+GC2YW2X015a011000A80_@N20mC0OU60000C@@V81xXn236W5000000mnU90002GG22WG8KG
+40fGG88000G90000001yF000Um3UuWdgg44LL98u18@xItlqWzUIOxPCwm@4000iW200cpV_
+@ZC080WmfwCShk4BXxn@@C0M81u@Vg0001C5k1BXl10G2Wbu91000az00W9Th8zuJY1pWFpV
+0W000004G028000G180f22005W0002144000008GG00W40qjE3jsnG0x6CXi1hsd00Wm6040
+8@@R0110Wrxb8DP9_@@4eG20@@RmShCCWj1liRGJN6y2@304G0sKt00W00fcd0Wx002012fE
+mpxsC4gN2@JR000048W0AG10GW0200m029S73cwEXOtJewRF00002Q00u@V3wwnWrxJ8XU3c
+@sWmmX1002mx1yy@@300qdsGlblmFvzE30G4WSXF3NVa0008WbqRnOR3GB12zkE61iRmOT9S
+kl141P0YN76h10GHklq1@F000GenZ4AZtWe6c10WVzCvXiF@CA00410800041f953IDp000Y
+0441000Y8cz0C0MV0k3aXsvJeCzS0008MG930002did10001JcCZR00Wq_bub3U00082n44Y
+I041G0002520082001G00040000140G0182WI00G04000C2N2B_720WqnMJJG200m4y90W00
+08084200WdFc106K00OW0Y0g0000600000K000100WY0004GW82e83001YG300Pk@M0Zn000
+08ShV3IxF10e000H400060EgmC0G0CG8020804040000010ZXL0A0008W0G0OEW0880600i0
+0qW004aYghH5000e9wR0W80Wz6DuKV3O1K09G00008000AGW9@X1g041W000800am2040008
+0082200Y00W41049000028GW0004180D3wx@4003q@zd0008WoXJOy_4Uo16000zc0S0m_B2
+100000WW80e70100XC1E0G000I441018400040q60000m6oxN50gC0A1000G2000W10X00GC
+S6W0Q58Hk4M3F7@30000UgKr2OAp5XNuB2W@N4Um3EyW70@100_BH008MuMgBFg4tCWRW30Z
+1t0C1k100O20G140W2O205m4WBmP0JW80t000Y004ulA00ep@@t0o000a4a1YXpW4vO8VTR0
+0yF00pC3uV00ym3WN0U00FGBQ1WMq20y3_h28W0W9t_4000b700WbjpvcxAo7d10210hlcGA
+v9C3S2040001W040l10000N7EXO0C0008GR06008W3000KX06q0W100G0044000W00WG0mHt
+90G00C5W4w2mWwbDurI3A4mW0pDe7z70200Cck1VC@0000eZcD0400Oqt9qLl10088000W02
+000010m1160Ms100G008010W000xhR001020100W008IoqWNsD850300W0DYi1004002W0a6
+W1F5OGCv90800AOSFIU76000uc000_L_XrwBI0100022000004020sas00400A0000G000e0
+081r4UPdXQrP0004mke6000000W6IRyLqpqF0W00Y7s0400X8002G004axw30W00hdN50360
+pkJL2n60W0G00a0ulT90060OF@4W0G0a2u9000mYKWX8_bOjkPoU81W440N@QmUS6q7k1080
+00K08azGB000mwt7Z889FZz7W0000400Sq@P000osiB3tX6LBuLCKAC00QQpK0iKqPusU3My
+36Gt70phUr5S64lF3014GMNr6l000zmg500G00G00nHpGr_6K0HB00QR2izA0K20000W4200
+qgZ1a20004G4G10G4001GdTFy3V80kG0UzGB0010K0Wm000GSxZ1W0800W10anc13xsYx10W
+AWr200K242W000K8G0FW100W00e000700021XlFQ100GTMnN10AH000G00240W54008HG001
+00G0004400W0009200Nfr20q1WHbrAjR300XY43N20800080mSVPB000Wj300q_CL00W7y00
+0GLggo2Ocf5LjAB2FyM4UuH50@Zgg00LLbOqqj00eT9cwh0004100O2m40GCSCe0c06100S_
+Cm0O80OHwvl3aXX6D85W7657c5yD809sc3FXmmL1OF1mtuISEd13gJo0qCKLB6Rc@mbv9ids
+90008bC00KTW4LFUII2RK4@I00SZhEMewsdFmU94200qOsd00qmojken7_FyY@@_u@kJ_Vxb
+@pkv@hV_FnVFol@XAyD84VU00GXahq@tIz@S5rTv@6WzV0w38drZtuuCdS900afl4tfjquP2
+10WYRIDdspNbGVL1yP3GzuIq1SHDpBqHx94Yh7jINqKx6Klz900000I00qBF300cJFtcdF_v
+vrVC0000UZC304000vW0KMg71ippP2XaQF9000uj800KDu@dzN4Hj3Wn6@lQ_Y000CCol1Jh
+oJwzW1qC0yL@F100WgQ00uHqF100i20000408GlmS20M10000K1fS200WLS00m@eV20GJQhd
+nUy@7Wc2020000G20Slx@D_@30W0m@@DW8000008aymv40m0pTXWTlT5d6AH_nLG7008pj70
+0204yc1DlzGA0K0W000002G7SFKel10000Z00XK0OeKyG0a00K8m3WC80glo04000vyB1000
+40200LVzmHu600018CB3Y8WXzmD8fW4QBtWyoD0002II1aqSW4000GP900aSm6xel1800Y_q
+J8HTF6W6ZQuU8AE30048q_@300ms1004000G8xQ6G0004Bd10W00wQWXK0Oe8J300040000f
+Xk4EDdA0000WD74_@Fpj_D0000AA10WOKoy@V30WlRCQ_d0000Ggj0CG@@1ypSjYOame1WS0
+0sh@@tH_v_V300i86DIZDmM1876WGAQSoP9000GGC00u@V2ZqrWGTDOpA3_@FXG0WeoXt_@d
+4000qy600IAWqhUoy@V300e8@@VQ00m0_@d40G0a@@@0GU3W01m8L03g5uXK0e8A09EHMYQE
+LXG22GCSI48W4f2yGn06a205H1Kn@@I00921Y8G8a0000004Y000_@t34YCGH1y0OGJY01a8
+LW4W82G8200W4X0X884fK0e8A09g8mW@@37G40GdtF0700e6Zewn8XE0S8L3OIsua@@dHW40
+2Y09G00088I420001Y0H80812m@@X00049AW4000WW8000004ueu6000Fu@Vd000GY802Y89
+000088220000IY880Y8XS800u02E1000W370000a31S00GuC5W7GWW045G200WWm10000892
+7002E100G8GE12O00000S000@@R00oXWK129QWAI5mWMg@Vbx@J9@@Js@la_@7v@@8z@@@@@
+pt_@AHBTRN3EtrWLtD850AY2u40000W800w3b@4HP850AY2ua85ewkS6QmkYQih8A0C_@dA0
+009T0uGA0K450Ap@V5008eE0S850AY20bNc@lbw@Nv_@Ko@@az@hztl0Ib0W10OA0K45m91a
+Q6G2CmK0e8AWJoPYg0IbW890PA0K45m90008p6bd0I@l_@@dcz@eT@@Pu@RM_@bf@FPx@F6@
+@Yr@VO_@3s@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@xm@lEz@dZ@@uy@@@@@@@@@@@@@@
+@@@@@@Dt@Fp_@ly@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@X7W00u@@s02408fWq0040aKWDG600_@@@k7Uu@VR0710480F
+@@@3008W9B8XY000000Wg8g00W0Gg0py@VB0G00_@F400pn@@lHo5IaA09X2qo@@a0ua0eC1
+3Itmc@@@@@@@@@@@@@pFO59_@@100W8H142000Og0000000G800aA090W00o7uanNQPR6F00
+qACJJBzAPmsCEz@@@fG4oR4a45G8000000WxcAm9v3S200uSW00O010080eiGyGmW0002DNk
+4WW780p0HGaGw1GAqYee881AHG7U20H1S2000006mvf2u4000400080W810_V2000400W8m8
+0600G0002ag7400@90G0L000w1045m90000500WaAWJ0WiO3Vo0000m@@N100200000000o4
+hYG65m6@1a000AQ0GMCh0yGg0O45m9@@@@@@@@@@@@@@@@@@NQOT00SJ@WIbneGw@Vf100mA
+40WN4C1m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@bn@@O_@dU_@dl@Vvz@F_@@@@
+@@@@@@@@@3r@VG@@7M_@Vj@VNz@lr@@@@@@@@@@@@@@@@@nx@NS@@3@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@N7000m@@31GF2m@@X0800u@Vj
+0040y@l7000ey000q8WG@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lV0001Q0mWK0au@@G000muF00e2
+SjG80042U2rWR0010m@@31000I204y@V2W871A1mWO0Uu@V64000K1kD00H021d102000020
+IAWaE3Cu@@4aN004SG29eNHA3gy@@@DrdMMzCKJH2r0mG90FKRl1000WJatW41OeKU3Yb@XW
+u@f0332lWX2CCe6W7Q2WXYCC000000WGW41mOjU51j00Kz@3n@RGA0KSj@@@@@@@@@@@@@@@
+@@@@@@@Fg0010w0mXK0au@@D0I80y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@V2ogy@FCWkA0oaMk@@R1000iA00W@@@@@VH7y_dehJOCS3_@dgTiH2
+003uEz@@GHu@@d002la@@lY000Gvjgy@l7Wy80QKuJ000Gs600cr@A00G0dzN4006ykRYAy6
+32Aeex@D0Kl3Gn@H1041W2A000000G200NmKKa2HH100W1000000000W8@@N4000q@@T200m
+1K20YaPC0041m@@510M1u@Vd0G0W02W0uz7dIp8A46W020W60000000Yu@@Y004K_@@y0OW8
+9WaGI0P01000Y100WScA2j00m@@E9yW0m@1nCc17000W@@@N400yW@@@@Y@V_@t0000Cs100
+IAWq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@n6uC0mOsOycD3f0GHK0RKp
+i1F2a08000020Wf0OG706ati1H18HU0L0yg1000100W000040r1amjo9CiC3f0GHK0LKpS2N
+7a0W00002040200c6mWl1Cemv4_A8XK0e00010008Zu0I00G0mBw600G08303cgcXK0e8AWA
+_@@@wZZwqxby600iYOQ@@R30Wwb0x@@l@@xY@@jy@@@@@@uz@EY@VZv@pe_@Bk@llVa00@00
+810uEh4sMBX9j_P6E3sOJY4wmOjj700010000G004mlQ6Wa00OJS3Y1810002f0aGO09Kh5C
+x44IKURq_@3008E@@lhy1su@VFG0000020010000000WXB1N9aGC098020uR13238XE0Iem0
+joK03000eqb00cB8X@2COT@zYemW6AC0010G85600mWU_YGMlRl3yC0a33G9UC4f0R98yGaZ
+9qGX1fEa00008fJ00@@ZHCY@@@@@@@@@@@@@@@@@@@@@@s3EH086M6QYrWiOTw@@@@@@@@@b
+00W0KWRRaSr6JcDMTSLKxz300A1sMplMs81SL0m@@GUKs3000GSD00idsL12_30W0WZvD00m
+Po@@A_Q860aA0ET@XTtJ86EvkvtWZ@D0000us@60000s500mw@CCM7If1k40WfqKyt8CVvEz
+h2mCE0Fzy0410Xj6V000WGTZvKlvF82000001uB80G000000W810G4Y00W00000012000200
+I0WrylAZIF0004C3g1RQc000Fri@COyF6A98jH5z0000v@@60413uuHCcArWoCfwr@J08e04
+df1s71000W0020WOKL34008206004W0Gog900800080mecc1004200G008100G00m000000H
+0000000PB80010000G8040G4H0380400000280000068Y03lYXqCUx@@4e0000EW1400000K
+GX70C000A00000A0010000eW20i4W1@@l70010000i65200000ka330W00eW0000m1uG3vYn
+b108WW@@R00024020O200WGW0W900001014000000aH0102cyr00001DVm60G063000tgn00
+0000sS2f_o00I0mtFI0W0WG85f5Ny3LCR00010G0G2000WuF10GW00G30001200H00080004
+10Da2m3Lvc600uXnPb00mSs@@DM5j10408000Y0W00083aD0000mQw0YK095f0o041a48383
+G68IWC0D4O0Q8Waqa2W1600GC0C0y@lS0OO0000U0y0U0000BGfF8OZTGOMLG1b_OASWH4t1
+1e032O164m0E8W1CW03O034005aW00Wn9Yw@@A00O0y@l400eyFlfY7Sg8AWJIkEaDWDucy4
+k1E4WH30F2BnovCa205H14IDDE1O40eTfqsM@XSsD00G0mguU000WNX00GTu6W000Cco4000
+4q0W1PLoGA0K45G5Tfu4000Me000@@@@yy5nNx1OSx9pUu@3q@l0_@7m@@@@@@@VZ37CXhXD
+0000eH00W@@F400Ym@@6804186N600apaWJc@@d0GM1W@@VhkP60000A000e103I1uXsVJ00
+00d300Wi0y850AY28axe9X000mkr9q1W1f08HSc6e0048B0II5uaQWhu@V90H4429921Y8G8
+a0008Y04Y0008H8Ga2W42100IAmWi089LWJ424HWGa0u@@@RrtI0600000uB@dJYG0824000
+1E0y@lJ087200EWWJ0000um10000vG07004EJ1WYe08XW08Y4000GcSEf2i4E004S200Lpz@
+qU@7Du@FB_Vpa@liv@9Z_V0fvdBx@N36xpp9CNS2f0GHK0dCBC@VPBKl@60082eC@nowdXK0
+e8A0FIAuA0G20T0uGA0K450Ax_V5044WE0S850AY20b9k@FYy@VO@@6w@VX@@B3Tl4UJ8bN3
+0O00c205H1So@@K9G201HW480004492100W0HW840a03C50AY2uaKXe2a41WW49100002IG0
+000GY811W890PA0K45m90008JDTf0I@@@@@@@@@@@@lgx@dA@@es@@f_@Rw@@nr@FS_@@s@@
+@@@@@@@@@@@@@@l9vh_@l20kC05DJL61py@F6000WP200iUTQn0Wn@@U00eQjDy@@x@@@@@V
+C@@pF@@xt@l__@d@@@@@@@@@@@@@@@@@Fbz@VuVcx_pvfE900au7G0L95Gw@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vbu420005B00m@@T1X00u@@V00il10088AykEJFdYn
+J0030GqR@@@@@Rn@VM_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lV0D900200y@Fd000
+ObC0Gy@@@@@@@_x@dV@@t@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fm@V3_@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@lV0400_@F400Y2@@@@@@X00W0u@@G000mGH00u
+@@@@@@@Ix@Vq@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@R9000y@l7WnD0_@tF00G0vr52t
+10W@@@@@@Y0dB0y@@60010QBCG000Wi000cDib@@b30mEvQWjqMOT0QD0ItWd@@X70G0m@@L
+0W07v@@@d_KT00Ib@@@@@@g00e08JZDMH9m@@H500G0200W@@n04G0m@@@@@F9004000000I
+00u@VC008E_@@@@@J200cW4ey0000X300W@@@@@@Y0@q00804u@VXExwgInDmsG0m@@V20mt
+z@VaMOPZIn@14R2u@@@@@@@lx@VN_@@@@@@@@@@@@@@@@@@@@@@Y2qK08zMs_@@@@@z3qD0G
+CsOqaT8@@@@@@@@@FL000mGF00Cpy@HAyVgzD20OR70000A00egzvKe23GSwBr_uLpgBA00T
+k@@J00W8oo_M20Z18YK300000Y80e8uVE_Xg0ID0010GJp8jl1L00_BhwsWnkt8zxMEfcAG0
+80@@d0D10WiPjK84G0W00WkfDu@@Swz9Xtltu@@S00ybB0008DyVAUWg@@P0ml2B00000620
+56pGanm00029zR3000aSslz00qF00040008u0QUI@s00m40Vzh50xkB0gwV0000Kr@04VabU
+300gWxJ00V9@@NqNuNz@l10lC0_@leC_YzjdAcPF700pW00G0E5oWjTOOPe46YZGG800@@Bq
+RI94DV2vZj4000SI2003Ibm@@TTsNE00ufpZAXPbOejW4s0aaHYIukj4Yxt9GzD0bFHLu_B1
+002NA00mo_@@@Vc000YtMn9@000@@3@UR6SLiJWwF0_@FV8200RxX40W6q@@fgcId0rl0y@l
+J00I00G8a4GgJk500kgoi@@RiWje6nB@@@TDDK3g3z7Gu00@@@VHi6yyrFHAyV_z@V@@@@@@
+Vt_@la@@@@@VRY@l6v@fP_@Pe@Ncw@Zn_VOk@@5y@T9@@Mq@7iVN00yRhmbp@@DGis3mOu@N
+jVH00_XAxVf7xD8D3xT100isFI0820oJcA001o@@Z4040WLFe20000mN2WEA_4000kD00WxR
+Nw@Vg00u3y@FIG0000000bu2LW0S0_@7920G90W0067@ys@V00GWp@@8P00000o0GaBXdDT5
+000GK000Kl_@@@t2002WY@h0C52mWz@7u@@ds@Vf@@Fn@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@V4z@@m@@b1@@Oo@76z@VP@VNu@lb_@Pn@@L_@@@@
+@3@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vr000100000000w@VX00400000H200000G0W000v
+08HH06CEW1@3ym@@d01000080m@@F000202100008Wc0O0000m8094803000000Wyy@@320W
+0000080808h06w3GYG5K1100m@@F0W080200GI19K4G2958H8360IU18fWA0800aKWG@@B40
+010000em200o10ZY0CeLWPAQm0W000P0amc0sy@l100CbcEGeq3I8r060G01y@l4fHO3eR7W
+@@lY040Gu7Ly@FC000WrA00y@lJbZO0000G0100P0am59y00mKyaWY2HnWIKCu559oe8d@@D
+0a53m@@TDjY1@@p3008Eo000@@NNV1g00GOkE1g4400y@l1lZOm2COaGZ4@@N1ms3W@@T200
+GJLFC00Y0OQbD2iX4000uTMmJgGU4f03Zu81008Y@@F100L@@@E100ag9360W0GCH46J_OGI
+Ha0Qu1eOdeIrfblT21000d900W@@Z2042001000005@BPGFJ60102u@V9gynW@@z00WDy@@K
+X408evg4kkAXYNa00400400WDi21SR1m@@E10gb01XG300084000000WGa4000000HIGmCNI
+e4000080mnKLy@F3000urD00i1Z7PDam@@C30mF00000@0P00000DsaG70CSO53l0Kn@@F0Y
+N0u@Vx1W10KRb7pHq20Wap@@hOAE6cepWzua00G00040aPZIOue4QRp000G0fmb0800WE0I0
+0000420WwuOG080GJS9K_c1r0m00000G@00T2mGrSC0040uJk70000TSsCBe8nMS9K7t395m
+004000W019kOWv1000400BwbmcUCG000uyk7ENXa85O83G38000aC030GW0MEJYVys0005NQ
+Lva7t3F2QGuIOaPcD@@R0OR1WNqsumE3kHqWAxUuBH3008WKyZJ9xL1h00W@@TArGO_4B400
+MBHLos@@s0SB0u0lDklqW7CD82p7knq0004G@@@@@@@@@@@@@@@@@@@@@@@@7ued2z@F300w
+h3jCaOus8BEc@@@@@@F40y0GIqs47E6vknm@@OK1d1hfYnSuF0000na00mppgCVj7lYV2008
+WX7nujnA00GKTHTHpfpGa2Xy@FC0xO0gC6ixrDO7JFYpq3U200XPVITzLS5sO00oVoUxdaeJ
+0400mOqOG0008OFU0di0aw6F1xbGKu6iKCL00082nzXi7Wf5k@t@F1nQF0ZXRsPqgyYk4HNJ
+LTktc_BFWE70YlwJ000mo600AiFDG100b_F30W0eK9@@@V60W20qGjD000epc5cJ_p9tdS00
+mHUizO00400008rvl16000Qz76WF00LYysxuS20W90000Wa00WL@X10GGMMi@dqS8FVRmn_U
+0000XI00GB7di0j4f0mGWYg46k1B9hozBF480CJER6eW4W@@P8pR3IAehmmV8TDIiC00aW2I
+TzV500ViBh9y@VF0820SvDFNZwKI1sKgV87FeLs3kMI_@xxB400W4000000SdQZCXYvJ8HI3
+MaaXQ0OeYU30002yC9FZoFSS_60G00G8000000Qu000Lz7IY@F4fG2@wh5010WK@J00mOxsn
+vSVSN000I3jr0W000WkE0of8XKJPOrq7UmrWe0UeDW7E7AA080000010000hU0000000110W
+g5U8S13kJzXe0UeD06_@V60G0W@@J200mt@@t3GW0m@@a00l1u@Vm8100SzD6nYZHfXC000W
+3700Ggav46_@@@@@@@@Vb@dW7m00000MbU2L0OG809a@V20C00Z2uXc0au@@h00av8G201HW
+480004492100W0H000000241WGq@@CG420HW1IGK0C8G2411W4u@@c102X4H044HI0000GG4
+40000a4X3001dGI40224S200000Ekon008I2H1y0Y440Ya00@@@Vhs@d5VK000G5000aGl10
+0W0QB7I083gU000000GsfEd95O0OJ30WC8_jlp9000S5300ten301020080HRd0G02WRKzuF
+yP00uEajc408046zq600G0BClnkSIis@90F40sLFjTOj1000Ev10WGuU010Wm0Xd00G04800
+4001WrnJ8xJgIsVfg@v9wVF0WL04cVE@szmkW6avQKnUOJqo9aWh4b4J50WVs@@H200WpN@N
+1yd1u@VU0WW00008w@TXc_V300Gii700_@@J00p1@@@GGg6qOy9G400W0000441umo7cZNH0
+0W8b5yGO@K100W5y00mU_y00200013mu_T10umhU0Cc_d4C30H0000Mpm0100YXW_m@@81w9
+0OOU9cMkna2UOcy4shUi@@L10W@rjgF8000020mmsjmKZoL@@R0ul7WKVheb5L6u6C0008W1
+00Esd10K000004Ga04CfKEBkGLv@y000F0006e0U0004H49nh50I0W@@J000CmPz60400083
+0GVza0002000608000O000FFRG@8KjBW1DzpZ030000m060000003O00p8T@e00CA6qj1d@R
+mZrsy3sCxcPGEed0Gy0u@V94001CJi1F5JI3v9000010W0GePH100WUR76EYt000G01YRmzx
+T11008SyJ00q_zJ56vdRmYz9ycz60200_Ws0020WbzG5m34W@@P0004KrWCieF900080004S
+tU26000kwp9000Kw000gcP34001J8z002WW5sD0300GMwIKaBL00_r_@V30802x2QGoWCG00
+00002G9@Cq_D3nmXKv@C0ex18x19gJpZG_De6H60004Kbe18W00ICDA000a2200MGFXI6Du3
+HOkoC18220hEH50YbXE4520Y0W000nAsL9pGO0bO0Scs33lr2008YHu03000jN00Wo6VG480
+GFSyasVK00CgQva1K100440HEsk5820WY0H0w_r00100hhHISbm0_E0OSx4QCr00484z01pi
+d600H000WWm75H100WuYb4820000040KO8IvWm000Wg1036grc@@310GkyV0yS5cDbk13ew1
+WMNP006GW020W_BL10eW0200WBgD00000G0WW6vY20009J00WeWP0000X008YqwW1000Kcn6
+Knk7ZxQ008IW@@R100CVrk904000000fG0W0WY00t7hI0o90000100C0a01WvzZ2mV1mv@90
+00q3000W@@2Gl2005@RZ8Y0mGpseoDLY5_1v100b@R0Y9000802000T000W6ylA040004180
+00220500008B000mnx7500_jC@Pm100000u10UD03@VY10CG10uXUP000G1Lz@lMWGA0YoEX
+zHXv@@SMVDXfnD8FyD80000000tf00mnaOKRV2nsJoqsjyoTB00CjkHjYArDOMRg2mKYBTtu
+OS30YG0aaGHvHJL1z9qx_31RR000GWcq3vLs7AHtWkPR9YTCoj@100BKljxnex9C1G27l@00
+00e5NOeKZbs@F1GQC0xAxnJy9C1G2pn@m7y9G00WeTSRoFE4s000FMKn2oXakU2brpGjmaqF
+CC00w1ouMqmm5Tlxw00Y0yqc4bjl10W9qurP30H000040H020zvAHhyR0ay1O7_3tl730008
+g400Ai@jSsg0K00mRoO00OgEP@ww_k50V50@@@600K000000G80_@d10G0100G4Uf63m2003
+_ps4s94YjA002EYUekRtP8xD3wUV3WA00JHDMKCk6ii12200oaF10J00Jqx10WOhp@V30h10
+00mT000bF_h20u1WLX_4000lO00WAbHgq_G_8dXmmne0V900SSq7G5XZxHa_6y0l1RmR0000
+10200DbNHNy94XBCx@d0GX0W6TVOVT3IHVZawJOHO3k4s00808zCtIOuRKW@3000OqB00yFc
+J92l1005008000200wQK5000000scdsHh7NB20000eN2WVKU30m00000c0xRHf00GmoTbpD3
+hQQ300Tqi7UxFjg100HbsQEpAy@dUn200WNs00GRqWrC8vW080wexga6R70mdulWN5l@I0FQ
+0we3f8kqYy00G3T5bshMxZMA880WNz@PVkGMOz@rC1xlRL02_0iYS57OCMXwd000WAS_Akrk
+hczX10mXVh1mSOkG33238a3W@LBga_4ciRZdrDOKyDQjtWYXV00001M00WQU9yu@4sI@10W0
+000k8hztfH0zu_lMs@F1mz00jm9Am00Wj@h00e0mprNH400uvQ9g_V304grfcBA801WflL98
+bw000GRO00e7_q00Y04102N0aGYBaGJ00eipPMld7820G9xt20WDxki99x1d0500Sr16Z@N1
+804Wtn3vzjs1W800008w@V34400y@@900Wr@@73G0100000W008c@cJZ@RGOuj0WF1uuKIAq
+OfSmDes@MkLj2G2002X40000084008SS3G200SA_v0Y006f8XS@L10H0msRO000Og103_@79
+00mXQ0000m@WSTLB000er10043@@hYBnZrC00400010GEVX0u_0u@VII8tWC_DuGxD6qPc_k
+310002h00WryMg2TC6xEXfiPOvS3g1A400@QHAqoM2syu@F0pB0s8730020VkdpbzR000W00
+00nZkg000W7S00m_fd0002G0G0mgvF0W00ehMO00G000G08vML008Si@TB0200Uw@1200Whj
+36Gb3Wvvn00G1mT@FC@WJlmfYA00W64lAaoY00000W0eyRTvUV46W4F0p_dp1kc100WFRMRs
+VW700085Qs20Wte@@99GgAk4cdhmQ1SL1GTvs8G00ekLR00KGLXUB000mS500y1E9n1@010W
+W@@d1020GwQ6G40WusVL00ycl@FRJ5cW2e0W@@L1WJ0m7uX000Ge5yAIPE70800F8g2000GF
+2009DGo@@Caad1xsEpUt600O1003WUbfg00epy@@DYX3300W00e40G008y@lq000cH000W04
+00091GQUL4xE6w700k0tZOua000C00000o000rpMtHpM2Q60un@SMhNY7xf2000HE_yy1f1W
+009cXqWPn8ftoP00m56uleW010UKW7100000G048000W000004GIRUKbbD000WxSKYMqJ020
+0moy6a7TQ040023N2002pne@mEwF0940OBT9oTlbTs524P3mG@F00O08Q@40004O0008Sz7Q
+TDdSu39yyA000WB300u3VFgQ9G00QatJYHPYlLy@6asD0Qghh85AId00mocIKfGZ00uQg_3Z
+wbK4eL3GTWL0G0287U5HF00isE32008sRt000G07MT800ps@@D000e40000W04G0W400000T
+Cl10200KA00imVWWpU0oWF100W0W02044000080We000e82YDp94000Ro_98000004020084
+4G0G400H0002G141u95210OM71B3W2W000G1y@l120IW27jkq@b0q10mFVC00O10W01eGW50
+820W21000000Mk_IFulD00JWYmP01C1a4I2892CGI4O2a8m4W900GI01m@@12Cq0u@V30Wgg
+000Em@08ePcHG7EZW_300bP6p000Um300mByN5tl1pupGKtC00G8000100402000uE700Am5
+910G0puY400WWxbJ00WiKOsCC2k1lZRG3v6K4k1nZpGTu6itS2ZQRmxiviKz65oR0W000GW3
+01ZN1000aDlD8RU9AKtW4wP8W8ao4dXipJ0000D_00W_qVuwvAIvUZwqJW008m6_K10uVVPs
+Jc3dXBavvAS323tWtdF1Gw1m@@U02W0OFy7QitWwvJe0JL_@t0010G000GUvM5000yq500Ak
+ca@_PuP03EYle1n9100zoOlXaa@33WAHJtW1aV0OZL51W80K_l400WWf300yizU@@B1Y00Ws
+@V00mPMAwL0400OxMywKmWJ5guVN210Y0000800H000000H000rydWA10Wk_hOxMyW880q_@
+300agozN240004100oK4lAnb0Kf2GEuF000W00I1mWdW53NBu200Q@lh8_520G0_vuIaKe10
+4005000yf0XWG00MLl20009zU2s9wX004000G000004i100vWB1gbW0XG20XjcpbSX7wk1f_
+p00N0WSmh0u70000mlOrhpK60000WW0kJ0000RHl94zER0081gx6ZiyD8rV6008j43kDzkHo
+lz6qS@62400M7FXDyDW00Wm4v6SZF3Wr30ARz10W0200W000080042uMZ4000G0W40OoN3_y
+rWFpDePV9k_DXsVJeHSFcHF120000004I7tWxnP0000cN00WCoVW000Gn@9qBC3LDRm0s6iW
+j1DcBHHjj00K4eTFI00OR5sJ500008004S@k10400G000ak73lnrIZURakB6000WYkd1W700
+12kn8_6i_U2XrR0040XbtJ0G00oM1jajl47uBHEvF000WW700GH@90X00uFV6QaEXBBCOOQ6
+40004il10104wst080G000G0U_NbcqDG00GGgwL4f0300gd2leYNBs0001W000WBsD00W01W
+80000000G020W000400OezA_LGbn@h0iG0m1x9Kan3p8OG1XCC5l10G000010arT5R4yJo_O
+axP20050sQ3ZVQa8z_AEZ@A044G9zd00100G00GBjvHbzij@V20rV0_t_X2zbulJ300804GV
+8LfnG4@8100W4w00Gy@900G0HW00m5_LqFG5fzd00G0Wmsr20muMHgC000bQcFC8004yjl40
+080ozt0O0000400s9sWJ_Z24e2m@@6000X100Gy_c9i6O2r1Q0000aohg0Y00Ggy9KV@L000
+uYQAX2AD0K0WuAig00080004GSb6qNP27z7500Kut@V0050m3djaStOWBE0_8c11E04lecm2
+mdG10Gu@V34010Cd1L9NnmyJISkF3tcp0502Wfbb8973EG@aY1t8gG900Cu6n_30000g2tW@
+@V00491200WiuU080000G2aUfCe5O3UYaAGZF0x7@W020WepJ0L_10000Pw300LxxNss9W82
+0005W0000ZeIPegG600830000WC00m@@F0O20000a4080000GGfyH50W2li@D000W7C000g0
+0000000Wg0y@lD0GL0IvNYWkhe6xD2zM20A90BpYnbt6CwT5vWRmHQ6S_j1VSlHVKv000GG0
+080000Az000rT@mpuOqnl18000U8t00400noRGUw9SQE6LTd304010W00002KAGdXhsPOgE6
+sLdXFtJ8FE9IKlYrohetvDMEN2m4F0NeVoyxC0008004000GGWU3geKUOAPV30400000OP30
+0KoI5ZymmWx9iWl10G0Y0000G81400000W60100a0008W0W00GW00uwzAkpFX5zJuM@40004
+KOV20W00phFX1_D00800400000Dw0000004S4pl1vyRW000WmyDG080mN@600020I00W0001
+120020m02FdXeuIen_DwoFXuyJuM@40028KOV2G080AoFXL@COtU30KP04bl1@wR08000W0W
+0@@d020G0001200020W042004000GHp36G80000W0000200023004Wg3tWJdf203000006x3
+00000G2NxXCwDejS980G00000gpS38W04SkV20G4G0010G010emUFwRtWC7Iu@V900O0irl4
+00WLdFMYHrJut@4U_@100WW0W04M_c1W800BSfoNa9Ko@92c80oxdaAuJOWQ3W00Y4ml1GW8
+0Q6lb8od1000U5@F0001ecPForFXCVI000080G0mGwFviU3s8l500fizX7Ic_I00010010Kp
+_F82008U@GUp9Xoqn0L00Gw@I0YP085F6000e000K8_r7UEb100080400088HG0WG8oT30W8
+004001G082041WO@314000800300GWLtf2000Qi200t@Zn9EL0022000402001401000W8G4
+0000080028mBaCy@l7a200k1nWU@bW0G0mv@I000HyAN600KGjbd1FQq2G40WkTD0004Gpp6
+qwf70G08oqlYitn0eh0mHuy02000008mcy6Sxv6@@R000mW@@D0408GJkOCNT21Sd00006f1
+000008cWF100084GX8MpkbRKP008GGyxa0400OTDFAYd100pA@@d00W8W@@D08G0mEcaa5d1
+h0P0200eubDulxG00020a20000aIiRd00000y22WI0000W0040WG001000O1003msDyUiWF3
+Q1000020G0200040008IWbKf20007b10WVTFv@V300Stb5N20WV00tT0000G500000k30000
+oq70uQ2Y4H1008500gplYOta00mu@g_6O00061o002O200a4000O0YXhYiuOupp4400WO084
+n0o0W0W0WjxF100mCY04W7@L1ml3Gw@puE0000WxUQ2LaNK2nVpG5_6Cij1nrd0W40WNYD00
+044000001W0080023FXOzD002G00002A200pWNHf89q@rC2000UKsW7zJG000060G04G00po
+n002W0K0018000YWA12480d@@04W00005dvWR00WW04W000G00UuAXXwCeR03YapW9pIunV3
+kzoWWPDOr@4WG000W02000GmHv6a_V200W200338000OMz400200W0G00340000W9uD000mG
+EwL0Co0ugS30028i5W10010IA8XJoPW010G7SLCV79BIXHvs9y4l1D7A1040G000SO2W0Q1F
+XFg8PT_40G0W4rh40G00AyE1I00000G1000G0004G0W208W02001G08WG4000yhH200204W0
+080008d53000W2100m0O000Y008400080000G000WR502000G8WzTJuqR6Ykt0408G0000G0
+e480Y0OxX40100002000G00060000Ge80000Y0GyYZ1zmd0020Wl9CG000020200W018C80W
+G08qTl1801004A080200800GTI6Kkl12Y0WU@@1WcA000000160000O20000020eR9D0H81X
+0204G5000000401H04W060W2mb_60000H0X00G080040108W000008Y0WG00G4G004100020
+W0EQt0008080005G000204008400140GW028600G010iMY140009104AW0W00000800nTyD0
+G0G14G4200GWfaR00008000SI300000WO40000L0Gv@9000mW40800W1020000W01040G002
+00400qN_600880WG0108004W0W8G000004G0H4008A880a00021Hupmm_600040003000K00
+0300OWGYqtWytJuS430800EHl12000glmWHuJ00GqsfI6ylN2W00000X00000080G80W1Wu0
+C0H008W1M81G00bnnmm@60W1000W4yfx6a_F6rfI2A00WLug00000ON3Wa3X1800m__6SNl1
+ZZYKF_60002yv_7000XXI41gQP3wRN5000H0800otoWncb8f0d000000WLzhyJEtFXnLIe@s
+M6UD4K0000000O200KzD3l0O00q3W0yLvQx4080Y8008RVV3_pd400209QRmXoO000K10040
+008guiP00G000400000mA1000002MoK89rRW800000WW00WH10920WPG0008qrwFClUE00G0
+00D00020Oj96W0008600000Xc8G100400jkBHajFaiV20090sBtWVUL10G1mH@900G480Q90
+WKIT6d104000004Chk1W2A2w8t008D00000a000qzj45W@mkz600f0e@a7g2FXmmzuZ@42Lg
+2W020XVd0004avYVWF00md@jy3F3ZHt204HWCjDenV3Ucd10G00G41G0W6a000000m862010
+08W40000002021X000mz@2P6Syl4T5Qmzx9000G8509kRt00G0000G0o4tWa3JuSCFUS@121
+0200W00GW10000010050000Y004PlR08000mF10p@kH9yC0G00eoU3QYFXywD0810nqF9CVk
+4FeR08H00XG20BpdW200W7PD04000088400004Y_R0m1y17wl11Xm7K0G80420E0000B00O0
+_01000as110000JNV@0G0000W58Jgcmo_6e4000Ie0010020S00V@R008J2400IzxRGOu6O0
+a0PQ@e004ult@C0mF0000eYV0eQ_VL0C10Kha10O00EjrWUHJm100W3y300i7000S000u700
+mFWV0JWz0WW0uI00f10004000C1G000wf@X8mDuhq7S0000Wz0G00000m00008000q100m4G
+7008CS3IbZXxzN2sF0e3F0GPcn300WPC30043dAPwHIE_CCoj10084keb404007td00WTc6b
+P000400K0W4qCW000mzn90804ey39w@t00K000020U5F140G0JZR040GWytC001000180044
+02008QutWItI000404G20G0G0000440200W0mG204mkL6W0008NE348000O11G0040440XUL
+D8eV300010W0GOrV340200W0GfZk4sTq00000RIP0008mkxD000W00G8Wa2C0020000G00W0
+0G004UWsWPqPm000GA09a9M2hmyGDq6Chk1000WX508Sik108800W00WG0082L3W0100W10O
+2J34W4843N28000e000CwN23iR0000048004010QQl2002000041020020Gu@@7W0006al18
+0100008Wm00G008GJA600G4G0000001000P1ZSQmVx9CvEC0H00gwbX9uD000080W00W2000
+0G1800280808oU6000022808sU30G00G00GiDR3W8000G100000008500200040W08IG0000
+0eU3820000814W008000O00010mG0HQO6q1d100000104040000G080240000W0OW000W000
+G1KW10nuf600G1eN03wyp040000WG0YlF10020W08YgepWz_CG01080008W4000100008010
+0010050000000A00188g7m043G000441I000000HG00mxt68002020W0028080G0W882HH1W
+WAWc00440800011G008G0KG110100H0040G20WmBC00GGXWA10101AG8W2000000W20204GE
+@68G0au@@408203Y09020Gmz@600240Xm000m024004t7O00W00G800W000014I02W020G83
+00060G80W18a1000008xlQT301000G000440008c00G084KfW00mX20I00I40200G20G4080
+04801C20010024e10W0000C8iY00A82I28008K83240Wk@Cm048mKp90O008x13wiNYBsJ00
+800108m@@DGW00qu@9Cdk18401100000H04100004400A004WW000W200014000000S00004
+2W0010000G040000408Cm1tDeW86W008aAi1B2QmwaC4SUEBJ@0000QP000zQQmrx6qDk402
+000W040040O0x4cmEXUWJ00Z0m@S6Cj@9Tor2028lXiV0008W00WWL@J0YI0GiW6CqT2DY9H
+dj9000YyVDX0400Klz30260owrWRzDG4H0m_@900a002G00100Y9iDulH3Ag7fhxn8D_ACEY
+0CpV200G0wqq0Y00882000a8mW000n020020W00W08420000W0qBk7pgVITWRK@A3P@R00WV
+W8GJ020000Y8204O08022G06C000010O0e0Yg0KKX00O00040011848K33cPFXJiJG000W00
+W8000HhPG2024W0xn00W00010WcrV0K1710W0G00G42000480Gq3l1G8600080002Z092011
+W8000X400W10H00000200H0GUyI4jl10820khZ40800zix1200gjtVGlG104100000KY0041
+00G1A0Y00010e40nK0I00G95KY8W0wOG200meOI000aAMuJIktZqiDuHQ600Kq000A00000e
+00C0K00l0R00W200K0000A0I1m000220340MWtWZxg00W00210000200080YkEa2@DuooA00
+0804K0O5T902r000100021004000A2000004HX4A802G00G0K404u0E8CK02004GeG241804
+4W8002010jx@00022002040000WW000408wwJ6Di2001G0W4WMA@1000ua5000100SAa1G02
+0000080IWG4001080mhoD00A400480a28200202bnWi@bW049000040G003KbGHlISJV240W
+IM6tWSuh0005I3pF00mh7i00880C4000Y00GW000m20012aX0B4GK0G620f0O00e70024a61
+I0W80K_y@30e400048qzg1000G40000YK0OCyS000G1m0FOvV90dE0qnv6o000W00ko101uE
+xG000x0000G4p0OMeO46E30G602p73040004082fd1000eO180G808WO2O2n4a4W8W90XGI0
+21Y1424Z048M1CHi2o285m4GG8BWI1m00080O2002j@X7oD0020000OiionOZTU00W20K10G
+42m5k0jCbXBkoJPb90YxI0mrd0GV510FFA0zLGSNk0Fp206_50Ny30hi2GTc13L@ZXC0000G
+W800G00600qol4p_R0000epdP85W4M0FXEna00000Of2WrvD0000OK_6G0408u@4o@sWOuUe
+bS3YnsW3_hOGb4I3t00001HlPmrS6Cpl1jnPGkx6WW008Ex7Ufd1000q1sRG5S64EE320008
+a0080020400W000020805@7I8n60000G140Gnw900208tH3Ay_180G05gR002011000JVaGI
+@I000slg_4wNsWZyC8vW4AXEX6sP0100GeLL0500efuDIB8XopD89PI6Ls00iC0TaZ10m1Xz
+WDecT3oj1c6zDW008uQx6080400G00X04WBRPewu4wyt00080NJO0001mWHD0f0W0000AB00
+24000Rnt0080840218800KUl100800W00200K00080OY0WbxV00W0Hx@90G848MqA_mt0W00
+4BvO00W040000080AYst0W040h3d00010021000G000G00800wrT600Ox1W00000mmI@6KIl
+1G0HW1A810WA000W20008meqD0020X10W00G102WWGErn00H0GjyR010820000G2W08000CZ
+C3pvR0010G0044G0G0000024W1400001G2WxrDe6_400W00300f_V301006ZT22WO000000g
+X1012000H0WInD0840000a0a02GWX00G40W06040W4000004203Y20a000000908G0OGHjv6
+ydk100W000902000404WGhzCapG2j@RmfF6ikl1xtdmTrC4nd1FvR0010ma_D00040000Cp1
+G0fEPG5u60005W0000804WOBI0G4Cm_uCqhV20028UcE102W0fxR002GWOdn8jxJgtdXM@D0
+0WZLS@I000GviP3000400248uG3_DtWshbemxM6EZa1oDePR64sx0SbU501500G00O0W0G02
+20020602W0tbdJUZ64d_99@RGs@C000Wnu00GvOLSzl1G800oYp0MW00VYxHewUG00Y8eV3U
+nE100G4PQ@GHv9ieV2W000040000uluIvAIot0Y00000G8G0G2y@_F0We0000Iycd1vvbGsz
+FKFU29upmb_60Al0u5V90W0W0W04K02001A90422oOKG00n02Cat9BxB1081000204190cON
+YiwPefV3G0000408vPP30G9000W000003J10Wy_b0g101H3020WO000WWee0W09e00WX2Gs3
+pyqD3m0002@cX8lPeKy4AnF1Y0020H0f010a110000mLpt@OO1008HS385082000u2D6cK5c
+VuEv3V60W0104G0uFD30Ev0ail7R24obUUqlN2202fMLnW@@P0K004000WwDD8hY40004040
+0002007000CWW8000umC00avV5XXRGZz600W48r890011aJlA060G804GSEl4e400APFXrHD
+Orz400400000200200W3b@@z00o000000X004fpBne_Oqyl1zGO00W40000900M00G8ybxz3
+8J2200MW00W0G80CGK06W408gTU3I4t02Gz1000Iu000048187_7000G00G08Hy400040aQ0
+10SXIRwF4G76pFun9mU0W10K@z1000ugY_VWB00md@L000pqE0CgH200Zy3C0icQ0ue0iLUB
+5XpGOu600WB0J00Wi00WjsO0m483W98fe0I8ZU30080x000m0S1GC@64pZ1RpN100k080O0m
+0W3W10003000u00G0TdClUKWu60_@N20K3W_030jZ8r8rKk3H6y3O0y871u7flpppt64NQEu
+100QuPZytOuY@400M0CpV51KBnnc9qJb1LRdm8RCW0008Ku4YwFXQTP00W2t@@6q1k1JiAH5
+dISrg4G800sIFXJPhucL9gPlYU_n04@3mIiCi5x30W00cKsWU@DunS3W00ayG73LYdmqiI4D
+S2BhR08G0WPTJ8CU30020K3W1Nz9nTt9000W5Z00Gxv9SISBdNjH2oF00G04002GkW9KIk4L
+8BH346S1X1008v00G0W400OyT32Bq000W000A0Mnt0008G0500UhNYXMz8rS3_@t00W40lvR
+00W0f_dJ8GV34G008eG00G00mDQ60000GG0W00W9000HG01W0000G4jl10J9840204Rl1XZR
+0808011HG209005K1000004410A040800GA00600000W2200010200100L040W940G000HeG
+0H00008WzfPW001Gis9W200X000800010H100020YVtWH_DW00G1110mzsDunm40Y00048WW
+000010H0W00100085A0YW0X0002G008W008004W0G081I04985X002O400000m25WW020400
+0A0350mx@900200C2W006H00200m0408200002WOwMCw1tWqvtOGx400W0CTk1XVOGu@600G
+pk5R9cytWUNI0m00G0tCCNe1Nwpmua6yUl700H0YgZXKYVOsw4g9k2WI501@R0000aEqD0W0
+00GG4308WH801000010G4000X10404H00W89Ppmu@IapLBJ_lHgOF000YJ400uy@IG4a0Wm0
+0mA@6SPU254aJX2Oaq_9fBLnDB9GI01vmSCMtM20W8YJSVopmOq116W5U0ERV3100m0082EF
+t00090VmRmbVRqtm68200k6lYGAOepQ3sosWoiJ0000X110WSxJ0000o10300001Y00e9mG1
+4W19006GG41006000G410O8Yea2I000COd_Ia2059KqoB4L000W5y0WnJsIGaX92820W0520
+0500008XW004Sg_3NyB100W84140RDH500LuI_gufV38500q@w3TQbma8Ia205TtN400sngn
+h8553w7tW4oP850AoYhblfKfp@400KUdXE35vp0500mu_b8oq4QCsWW@LPxdGkE9XAHa0ml2
+Glx90Y00PvV38100yA_3DXR00GG00000004110000W00SpV6wErWQ0C8HweuF0byOO2B_d0G
+g100m4AxBQ00800r0000010K3000240OwV3I1mWD@P8A03IYAX@@bOYO3I0aXppP00080100
+XY_h000CpjzC05000W0000Gv10gw10S7HCp76ueZcmp30eVW1GJ20W_300fgA@xF0gILgyF0
+0iAL1egj@R000uG7000ouX70uCp0mC180vDQu7ZehO8PV3UPd10p00fVR00080o0G0W000oy
+t006000@0C0G0O0W1W0000HV@68000n0G0W0W0WK0IeYy40003a2m31idpIX9yvl10008z90
+0Sxl4c2m3f2WEoXf000TqHIv8DIl11C6Ik_9SvV2000M36GbANjP8O3gdC10W000G0040006
+fl1I000W000aTc100048004KHk1@Xp08T7WnSbeCy4wutWaoD0010W000XbqCub9L60lYVwP
+e8S6UhtWqmV0000Do00W0YhOvU68010qUk10G01_lFXcyPuPuG4000GW028JR3cWt0W00000
+10EgCXzYD0100W100Wk1C8@@400uIlTE330pmqy9aN5CTn@mUy9q8F3Z2dW800YAWV003800
+4WWIxDW08G400000P1W00008W400080W008480G100002008080G011H000004W0WXyD8aK3
+o_pWC3U008080G0WXZPexO9040059z300001C000001AhU6G080080100000g2001G40pxRW
+Lf8eFyDG0W0W000000480A80GG150800W001000040GW08000000WW000204002020400GO0
+00W000WW000G11GrVC0002QA@Asip00210Dzp0002A0W8005G0wdF100O0000412004cg1m0
+W0000000mqM8G00000220W404020440038W0584W1B020202m000004G8W02004000W80008
+020W008010109040G00000200WvXR0800WA6C00K0m1w6agfD00080014002800e80040020
+0900000pf0I000OaM380024nV2W000WW40qQF3jXRmT@64L130010W020qVF3bRXHPOdCrl4
+U60W2zdXauDeY_4000WywX1L2BqASgaDz308MBl4N20400800004G092020004X000WarP86
+Lp2xdXahIOnV3W0YWDEj14G40MzFXCvD8f0v_kIYFsJ0H00mC_6800W000HGccv4nNEnmbGX
+_6008yl2T3Imt04020G20IMyt0W8Y00001020004X0isQOIpmcOsV000GIaz60Eh0uKS6G0H
+GG000WG0e4282000YG8100G2H20WY00G01qZ@di2E3dtd3002000YK4WG23xn04301z9@000
+WW@8D81kD656CG000204G000420e000mrKuRISeR29mXn@@X0WW0Q4MU0101000W00440040
+3i1000d60000000WWuc_7YVAXJCjfgaSAnnWhpD00002W000000yy000g@_X8DP8ZA3Yf4Z@
+@h0a00WG81WyuvfAP30WGav3020Gj000WBpOuO81E60020a076Vky60E1W@@D00d7000G4rK
+3WUU00jp30oH70qB5CZp3uBA4mCU0mfC5WvN5kDhOR3G6010SWC000C00002000ap00GCS9a
+076003000e0iXJQ2000kWd100uDHtW7002W7@DerR60600a_l40hS0gYqcFxV00G0GPZCKLk
+4D@RW4W000W04G00000W00100e_V30100aWT28010QXH26000jnR0020000020814IIdXDoD
+G0000404090G0jwl1000X21C8Q@GwAFXuoJ8my4AbqWw_V00G8VlzC0010epQCYytW6@n8pU
+3wV@XFuV081000G0mXCD00004040WK0CepO3_RU3WiB0vo@GTSFiWj10G0G0GG0aRi759PGf
+R6C3i4W020000Gqo@3xFRmveCS@l1Bnp00008e300xt@mTy6Kcf1fZZnQzL4OF3hwmGEyCSj
+l1000W0GG0yPG280806kt00101000W140010001o000W0W0100000yN10G1020000140a000
+040G00020250i_l100e0Qst00900009W048080W006m00002WOxPG000muu6ir@3l9d08800
+008WHrnmW@90600OjD6sWm00010002Y100Gayl109G0UsoWItC000200GG2X000JcP084002
+000000O4000W08W0W0G001G8m0000400kcFXa@DeuR6EmM20200zzdmm@60G08ix@40G0GiY
+230520gzF108000008P800G0W0000GmcX6y4qCPFKna9pidl4n@R00WHvpy995Ep8004CnU2
+0020G00Gidk16B00wVLYeZQPygn0E000008PcktoI5ZbzDu4S6010000eckcpAQRKY3hT202
+1m8@I8G08emI6G000102060000mx302100z9@0000hIzmR7n4MBm00400000uB8nWzL9P3Sa
+gZJYDvI8d830W02GA0Y00I94440H1G2000am954044B69FE600WmpyP0008A00W8802800Ce
+W0600X2WGG000GO3axlne0ybEoNYeIV0W2G00500200G00Wm00000401W10H000809eZG000
+OHC00000G2G01GstF00O0TAbk2l_XTXDG0001001200H0G040EXo0001000arMAFXuMDOv@4
+0WW0CgC9lwt2021WwuC00a0m9wC0000100C0a0X890000m10410W20G0G4q72061Pk0000uP
+g911XW4008DV600HtW0000208KvCj000GOMFUcVt000Gq_3W@z70pO6LUm3H@F0WML000ia5
+00g5Djoum004000089000m0100400WO0P0t1o0g3q1K0i7e00S000eM0000t10000y3Wl@P0
+0WC000P0o000a100UnHnBPDhyU3Ylt0010GxlnmnuO0000c440GNYXKbb1TM@mtz6KSz6Ls@
+000GW9_D000W0400ZxVP0014W00010a00W000wcy1010000ejY_d10W04G00011W00000SoA
+30002W080W8040210n_mCO4U3UKtWczP85x7c2lY2HPu@V6s9dXa_b0y72mc@C4lb11vNnEv
+FSzk1T3tY000WpqD00400900WmpJ01000400040W000G0EGD100000W1000W0000WL@00000
+00W00GZld0W0480000010GQxt08W04003000A00480eNaDkV8aLvn00w0Hf_C00WW0000400
+22001G7sR000uoV3COU@40W40I0000W40810000020K1G08000G40000040W0G4000800LWw
+wt00020BgzGkzRiEl11tP000080001000104K000020018HHd90G082000008eWw@D004012
+0000s50xkc000W00HG100000G010040GG50GW@68W010010W02000300080GI0WWSmJ0800W
+028WpwUOxECQ_t00080bCOW0004000000G050W1SvV22488000XO0WW0002IQm600uhfMV3s
+EtWV@J0448mHz60400000G2G0G008G0016G000a0Y0011G0000W0002X002O0004W0208mrG
+MdFXuuP0WG0000G0iG0Glyzmc6F0k@1umV3A6q006G140G1001400050550000O00IW08001
+UqtWK@DuMG3ovMewibeM@4AstWezD0000ji10040000808g6VZboD00W0004G00080fgd0K0
+0WGcQvVV30010qml1P8cmYW6S4V2HSQ00008W40W00000GOwk@l10a00002G0000Qap70004
+W000G082G5a9CXaDXCwH8@FS8S28200G0000iy101GGmq5XKxF3VndmRJgyml12002Ygd100
+400008UV@Xm_D0G0000I008000001G000mJH110G00442GWZuJusK68300000WG000000W21
+004000804000e000100mAa9alr9tBRmjc600W00G00100000041RCcGZv900020W0042288G
+G00223000eD8Y004WW0W2800000KrbOGZf9iUl18W41G00000I01GW0004H44009DpRGgzjG
+00WO1@7002W0W01uew7W002800000W60008G02H0GC2000000aO000XW0024GO0202C002y@
+10G200W004100O600002G020G80008F@dW001Wm@FX000e80000850G4041W02000Y000802
+0821000a20G00f0SuV200o0000IjYZ14000G2400000M62000Wa800W000G00902G000uC_7
+MAlY4nQ10002080C000001W20G1004G000W1000100060000G65o0W0005oc00010P00a004
+GO201A40000G36G000X4000040a10X00G0100anctUy@@C0m0W000W0L010W0C0E04044a08
+81m504W20i82440200G11G030O01W4000080GA00W00100G000G02Mst0WL60004040W0W0G
+040400040bRmVeBO300H0_@FF0808009021GW40G402W80080W0LWK0GX00088Y0100DG280
+400W0DG110W82001000a000002088000W200200WLy10W000002002W000W0W0LG00200OQm
+I00a0u@@S000a90004Gk0W800G0420YWe40080m1oI000YKA06O40W0HO0000G0W400009M0
+G000G0610HGa00040u0000ahGK200G4S00H000104041H210G0W30SmE3lnR0O0000W045pp
+mkw6SiE900W8fgAHBpC_NcPyW70uV04zCc9w@yGq@1WeFq1Fy70_700KLLW600_@t0H_700u
+F0KLLPeggYs3F4jC100mCr3Y_F04zV0uX@0m3FaXPc9Q@30qo400Q@F10GKzg7WGGO00GmW9
+0I1J0a2Y0852X081871sWq@F1e00Y100S78386G7GDWCW20P050W0QA09OFG1WCW2Ga@90y3
+00e3i7G7G7W6WE0DGG100mGAG34e348G7Gd01C0e001G10axkPG1G000Y2G004WnyQ08080G
+080W0G0YOlBG0004A429G84IG80000CA25441181GA400G84M_l400WT00008YP0ag00GFyN
+LVD3Hv@maz60eR0euVC400GyMV2fAIIsIRSsV2XVRW00000W02RYp04G0000G09vN1000Q@1
+00lIp0024Wbjb00W0ufiE5Hz6N_B10WIqMyye@VdWG0000080010000W02400Rd@0480WEHh
+0Gc1mezCKrD3400Go0o0000W0102_@FaCmPeaU3AgyagqD00G0GUXC000X04011000G000CK
+1286laXQrDW0200W00000W68I02Mtp04000021Ws8qZurVuOh4wWFXhrDO7W7QyE140003Qn
+008004G00010000qU1020010G008W008010W0GGKXK00000012IUz6022008W00000000280
+H00s0m010200W0GkDE4100WRrRmy6CChk1@xpGhz6KXX19Go08048G00404000uJ0A200G01
+0m4@6iVk1nfdW11G82004tuR080E21000W0200002iFU27goJjY9yYV20G01_@F100O0@LO0
+000Cx3009rR0008080G00Kn2W000G0140e0000200a002ZkQmXN9aag7rIN42Y8000040028
+gWpWRcbucwD0K004rJ2BqwKGx90001a10000010eW00tTP00000XYF0Pe@GGa6a_l1p1P0W1
+01000042200G00004400300084WpLmOVcb4G0000100000Zo100100000802wtWq@D8re404
+10m000OE@4_R@XFwKvKNLsqt08200b9a000ZWOyPeLU6QyF108W08010krq00a0003000840
+00W08ybe0001G042W08W10043040WG0Y040W4A2000ys2mkfFave19uR0WH800X000200W00
+008WW0Y4W8A2000G0W0Y200004C8VK00GW0148000GK00W8030G104W2000000Wo4008zS3c
+jtWi5PunA3G00HOW1W000WX021000G00470WO0080001Y04GkFF4plG00I0oB@1010000qa0
+50H000000GX80W00005K0m400G0G1W8820100fGW4cH004GA800a400101080oCw60G04W00
+00810WA_fAOT66_p00q8000C000K41W20uN83gTm0W100G00G8WW00G00180eWG00AW000CC
+400080GJ0o00W02G084G050F5C6000IyG872202X2G082a0nH4012q840GWO0Y2nWK451H0a
+XWWS0WGGCG4c873PmQc6000W010000G0eOFYwa_A00KC300e04a08000I0900W2W040G9000
+I014042W02G0G1408082240040LK00GfS680000A00Guu6aGALV@p08001G000WPVO10Y00m
+G000820012000B0048052i10H00KB040454GW1G4eE0002XEWe000W0uGWS9848011E0mmzZ
+1000Nn00Gw@La8d10OcPIap0HdPLY_F04TcPeg@0m3FSGuu64dC3VyN4020G048W00101020
+0040GW80W014000RV0A1WHGY0Z0c0619142O289c4GI4OWa8m48HmF0JWVWz0V0_1UYq1q00
+Ae168d170C0G7G7W6841onm6@51008a0G101909X0IGI0oWa0a2A81148000e1202ggA4ym3
+ylgguX7Em@08e@1GG7MbW_301Tu1LvF0gIL0Kbg0C1GA0H4KG2WaW40fW0HGXG802XmzwwQI
+zO10mHCsv63CHLa2OBQ00upzDYKehFwh04000010Yn@P00GYnq@Y2_F0eE@4AadXDvJeZV30
+0804qd4vZ4Ls@64fm3000@PE00Cdz9hmBH6E@S3x900chkxlY6@Pe6SXkKv@AP_VId@Z4w@7
+b_lHg@Nqw@4n_@Gj@Bax@1z_FGG@000vW00m18S200007S0000872m10WWpS8850AY2O30m1
+0H@d00WSWq@J0E00Gg0v4IG253OGqY@@Cv@DR_@oe@diw@7p_Vnk@F9DO0mNxJC_@3d@ltVX
+EsrWgtDW000muk6a205H18HMyF0020000_Tgsy7fG2f0GHK0XakF3WDE0MedgDgPucQ3I1WY
+e0geI090YB0CbFL8100w0mXK0e8AWAg4G2001uP_J5044WE0S850AY20ZA1a0O00G5z@Fn@@
+rw@@_u@dl_@tpB700CW408e3060O00c205H18HR09a205Dwl402a0WG48240002HYW000GW4
+82209mK0e8A090IW0y@l1004Yg4mWK0C0a60mp@E10892019Y400004aW000009H220HI850
+AY2G2Ya00@@R0008eA1C8503090vaQgG1a_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@qy@
+7j@@@@@@@@@@@@@@@@@@@@@@@@3u@@@@@@@@@rX@@Sw@77@@lv@@@@@@@@@@@@@@@@@@@@hk
+@Vm@60002Oq@P0000AM00eH0X000000208fWh00andK0Iz6ap@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@y30mVz@@bsXZa@@d1yl3mWU1_@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@tTsL00uG@@@@@@@@@@@@@@@Rw@lc@@@@@@@@@@@@@@@@@@@@@@VgW
+000y@VE00QN_@@@@@@@@@@@@@@@@@@@@@@@@@3y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@FT@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@34uN3m@@B100W8f0I
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vo10G0y@lD0EI0_@@@8
+5_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@B2020m@@s0wu0u@@h0010y0m1F5
+ymM190002u@VI00Cc7f0@0010oIGYK0CuFX4wVW40008C300_@ViL7I000Gqg@m00WTkeGsA
+em00100JKGo_@9OYB1ek@q000882000000080We@@b0000Oi7OWR00OXYeoUi202G0@@p080
+0W9Ggu@V9008CqHPQ0W0000Y40W800G02WA00e@@bW800m@@L0cg08gbn0G0W0W80en5R000
+mGM00u@@b0080KCJ500W84000GA0420900f4000400@jy0e00W@@n000BVmeEzCX4r5O0003
+000080030G40W04000M0Wr@@g0Uk1u@Va2AeY@@DW000Y000GW2W005001e0I000m04i3m@@
+9000GyGrD000mWH00ed0a0040CV16080WG0W0048000eG0H0W00W013@Wn@@I00OIv@@n00P
+1000HYB0410040Z0G48B00020ky@V22100000G20000900m@@L0Wz0uA3a00a0ac16gAo@0G
+qPAZgoK6FyWC_70H@F0WEJ0IaG5e100@@36000K00o000y38383OFGFWCW2Wv050W100@@R0
+W900000J@@l10WWZ@@5AQ03Ec1Z@@V0070000uL00ur@@h20O0WIkyRwgG000WdViSLzOmaA
+L0000io7900uHCZINFdL4OS3W@@VxW93cO2301007Dz0000UH100HAmMAJ6aSLB00_opc8gu
+mE1400n8Ha00000yc2GP6ojM76Z4L10006l300N0M7002W8sF10mmQs3lz@@@Dt@@o@@dp@@
+@@@@@@@@@VTdJf2000wOnKv@@@@@@@@@@@@@@@@@@@@VB4rDIak2F200@@Bt5pOy@V500w7_
+@@@@@1_CQC_@N204grbuz@8W0loi13@J20W0a_3@lnrY000mGC008IozMG7Zv@P00W8Krb_D
+E390H20_uSF4002zz420006E000fXM700e40000G001sCVZ@@D0008Uhf@@tV800a10000G6
+00OwVFm30044x@@u_VFm@lZy@DVEMgzO000secw@ls3c1nn8IX@3E@@Vt@lt_@tz@@@@@@@@
+@@@@@@@@VBHmQEkb@@n0A00minHTeVB@@7200VWU@nBtVL0@t0aJMK0200IYkb@@31d00m@@
+@76_@@@@@@@@N8@@3w@@@@@Vg@@@@@@@@TjMk1H_p3000Qa000@@@@Ow@76@@Vv@Vpz@76_g
+TXZ10002J000@@x7G00WAkz00GCz@@@@@@@@@@@e@@7n@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@76_@XX@@wz@d_@@Fu@@@@@@@@@@@@@@@@@@@@@@@@@@5H00010000080WK0aeUu7uB00y
+@@O0800_@F700eVz1mp@@6G0008fWk0s31y@@d000u5F004vWJZI8nx2Lilm3lKO0000a11a
+00mf_VuM_@F30PO0Q_umsmtWu00mTUljDY1dZmGnvCi5X400e5@@@@EAA2004eU00Wvwzx@@
+G00CCbiFU0800UrNYcAg08T3mDbA2004AIXAe700y@VTX4Kn@@L0080V45@g@X4GS00dPeOa
+ELaKmUHfQm4fF00W0ecK9004MSpZVVpy00WWWa2K400m4980WsPJ0080GA0I4aI2e100_@@@
+fPym00000a1WK0eu@V60042S3q@Pnbp@@C000Woz00GTGxjWC900Uhwm8@t7EPe9I000mAP0
+0u@@@33@@@@@FazP0p20y@@d00WOPF00yIAa@@@00Wvl0x@FIXg1080iIf4000g@@NH000K0
+0000H20y@@30vB0_@@@@@X1008oxdI00OkSp7HJAeH0W90084I2QM2x200@@@@@@m00W9000
+0GueF00I0u@@@7G3xiua04U1m@@@tRjD@m@00Wmpfb@lERaSD00iJNWF@x10WwoCk@@Yy@hO
+@@9w@FY@@lN_@wf@VUx@Z7@@trjd0W0GS@E1240uVWzop@@Gp76800GT@OCTsC00089E0046
+_@fxZnjTj0251uKze0200y5x3L__Zp00W@@bRy46w08XM0U8A03001000W9TUx67@l2We60@
+@NN74g000W0h00GduCSRm9py75008Wj@n00mqVkwxDJW1v8a0220Wj5a02000Gw2W85gR839
+_@73000qE1002fumSKV8@@400C@7Ih4R1Wn@@BjUh4N@l18K0WzzbuD3y__@@DCovt@J03v0
+qSRTjv@@Ix@dK@@@@F3030000O0D0OGA0Cq1G2f0GHF0Fa5m3@@l4G82000002a0HG081AG2
+01HW4800044921f0O0G24We0aGW0008E000000z0O0H8000000P1mm@@B10Gu4000WWu0000
+0a8IG08I2GY802Y890000882200f0OW400W@@D0011GA0C09000008Y40000WZW0000a3122
+0HIGE400S00W@@@VD@@@@lE00RWNEb002GWmzXaCe_00000mc600PGy@@toa2C00000810W6
+f_@RlY0dX0SydVzw52000E6100@@@@KSpCig1pnn0Gj3Wi9nRKLLy600it8RvfD30WVci7iy
+@V60WQ0y@@@@@@@@@@@@@@@@@@@@@lj@@@@@@ql@7Ty@R@x1800aW@F1Y00GPq@tnj7XSV28
+G0WS@lwMTC0000zzy3@ax10008Q100@@xKqq6qDT220000G000W020080W000YD@D02W0mrw
+C04000000qNyIKnk100KvV@tfc4C8BX4IWt020008W00G004SeG2ZPRmSs600GW8HR3_YNYO
+jD0au1mo@T5cN5BYQGGyd0000BEJv004WaFUB006coglE8W00LKcmt_X8K10OKVvW100yxX1
+1RU2l10Ws@Jx1I60800yfG2@@xHKXl5ml10WK0sut00K00@@J2Ga5WfUaJ004W0W02020009
+04gxF4000mM300szFjzTDWKIHW4000005104080G0IToR800y4@@dDX000000G0204100000
+0a1000A8020j0Om@@R06E0u@@q000e00000m1E4040240s00050GW022G00OFNF2LnW@@P30
+0G000W02W01400000040I44OP86_@l2001D@@p6610000WGe@60H4Wf0sIG400c20400008H
+@@x18i5W5u@Fh@700u7000EWV0bWz0AHW3KY0cW41_1C100sBx1F00qrafFRoC300G6@301@
+702cfP4KLg0OcoO600m3F4wl7WHF0wMkhy0u9df466XgQjPOEyD_CJYguC00800020WpnJ00
+Gh_Lvm4GG84000w@EXEfX9cB3A4mWEzJ0aY1GWrj4GG8dzRmTUayYY79Nn0a10WbubBXB6E_
+B400ms@4AtM1OKkH504000_20yeOTxYh2000Sf0005strU_Fipp30200_XJYM0P00W00008@
+azfAD3IozN5GT50@@ZNZZd0002as00m@@rLoq30W40s_73002R9xx4008YYzBg_V60qm0iam
+Ord62000aR5n0000bn10W@@TY800GiWp0W0088ICIpOlkIP00G1GYbR0Wh1u@V@InDXcAn00
+00XB10W@@nhwr4AVr00e00@@N10WOe@@z3H000000mPuy04@2m@@uf4000080010000G0000
+400m08bXS50008OD00y@VK00m050000W8Yu@@YY5cghUJegrG000WO00000o0020000a4000
+O0_@l20_70@@@@xoUCvi1FERmMp6aaR5006eJZQi3di9Pw40SR0aKmUjJH2000Cu200@@l70
+10WykDOFpA25t000O@D5sLbLUSfd1@@pW004W0gPuXQ305A0aDt6LGD30W0mloJ8MT3Esc1G
+0080008AwsWrpDeKS300050820OvS3G0GGyoD3000WmC00yNA3@@B4G01WCrDe6n4MAd1008
+00040EPtWXmPe0U30020qWk12010AHF100RKBozGMyCaEcA9@XHRPU00002100Gcy6G10000
+00400400004nk_0Oh1W@@z3004n@@9000GgF2CgSTF8008hyd00I000040ryB10YapiP3400
+10Y00WzwDuJ_A02@0iZoU0040s@t000G400H8QJmWCkbWb00mWBx12008a230W4082Y000J0
+mOfIaQ_RZhA10Y000410Y0W8W20001000G00mq8Cy@l1WvN0wMRl0xJW0IX0020008500000
+U0m090001DV800400420000G0o0001000800W400W5OV89LvI3K20000W410000800WY04W0
+0002ujQV0iM2mqr12008W000A0G000001A00041224IC3000OP000axfV88400002XwR001a
+e0wlX0XmcA0G800007a@V200M923@@Ci912YV000O0_100q1000A00Gy300u7u700Olx@PmO
+00Gzwr10600wk1G4s60Wf0000yl@0Ohm@h000uq8cTD5jADZl1GE7WLuaBeR600W00000CgP
+9ccc1Q200Tzcv@@600W7PkE@kMt00110b9Bnly90SH1OPVsQZtWu@D010GKDa90400G400mp
+v6C4l13pR00080000S440000014Yz3r0NqnsCW000O8E3wtF10004000G0414000004G0408
+0H000400080002Y00000014000Y4QD00GCH8S8DSZ4dWN1001WAzD00400200080G0NFOW0A
+6WluD000Xm0@601W0000304000mI2000W0W0084zWP5zp0W008G080G000000W0K00ulW4W0
+80q7F3000eL700KlXGT_B1800WHrb0014ns@600029hV66jdXwzb00m3VUBNDR_60G80sBqW
+9hO0100000041008@EBXW@3WGufAb_A2st04000@mRGm_IW000u7W4oUW1i200XKULxwX0H4
+102W0m1x600W00090004600C42ji@00Wuu@@T200W1000Xg@D0012mbdI008000810060G00
+8YG40848082H000W00010003016W0H048W0ap@30I10_@FA0010thNHQ660X8Y0W000W2820
+000O80048000002G4H02000080G9003X4G4Hy3A3000m8700qiVQZgP0fW20A10G00A04100
+10HWI20e4082AG1240080058Y00Y84820mBwC00Ojlrwt0W0W0WI800W050C1C0040000Y6A
+r0Z9A001A0K6000004O@p4_@t0GD10@@7b00GW4usWe8A0028188000G400X8A04K0044W4G
+400H400200G8208001G8sZ7YNFgXjDe3UF00Y00o00000402120000020800000800W40W00
+000G0800Zxp000ImieO3Y00000G0mM2W82mK0B082003100W89048000i00GW0O8Gg4410X8
+0GYu6i@V2WAA0EVZ@JXb000J000u0C1a2s3eD0EGQ2O2q4m4m4W9890H0J0Ipa0qc038D061
+00g1O200e30v@d000zt@@f20t1700000150@@@WyC000mnCuHmMqYWSY71FW72Q9Bcv0UaJE
+iO6U8OBjGGB76WPu10A_CC300v1m00_1W@@ZwLm7oXhbo@b0000DH00W@@1R9UIg3V300rb0
+0100010LyMHPupJUmR0oA101000404Wje_vzlDkGwaLntu8N92UOfbuDeeU36Zt084401Ib0
+04000804T@dW111814000000400G0000kP_400SRSSz3vpBH42p004WuBV3o2F100022W000
+40800020000HKS6WW0Y01003009Wk0C00405W020000GW2G0cjF1G0000970_@dA202m3GA1
+400G0G0Av@d003O028030420ABm0001G20L0080000C05m001O00Wk_D0000fb10Whmh8KYY
+oRmWqzD0300m@_6G000Ah_40400040000W02000G300GjO91002000TQbmjq2uLqdF3XwRm@
+tLW880ufT3_3dX@@D0q41mj@H5lU2H_R0020Wb@J0G000W08000044100wtN222G0b@B1008
+EZ300rh7LkxFiil1NxRmY@9KJQ2e000g@t0001000400W80q_@300GEwuLhe@Du9rAY_tWor
+J8AVF0OR0iZ9LDxRGyy6S@l19jc000W800WGY0006XW1020624WO40004IX4000GeF00qfUK
+0480sOnW@@DGH00mxx60008200Gouh9000mOu56000020H08x1900qb_@VNW2A0YWF1000K0
+0022xqWx@Ju8S3aWK00000G008rVk645W1x@d08B6WAhD30G0000We3VV04000G820000001
+02UEt0G0008200k_b1000Oa500_@dA00WOG000cDD1K000K004UTaXLUD000601000800080
+010044G0g00G800264WZXP000MUq3QDVS20010G000yWS20800W000a3l1G088o1t0180000
+0400b0ybi41D7L7v90WG000000O1003m6C010000IX0000000C0000400m0G24GK20009K0W
+00000E0020I90u0aW2zIWWGOJ800KK06afO2q200_@dD00mT0000W030aMU29@72000txyl2
+00J040W0C100I200oWo04J0000Wa0G10000oA8A3000Wx00040x1W3G000i7000S000u700Y
+9WV00Wa00Wz@J0yR0m@@KnFg0000e@V@a00LyRM13krvaFclgnVL02G0S_l400kTo7pfGmb8
+BkDs5F10100FZZ18000WM70PuLKinC42U2T54ITu9SX_600009C00y@F30060UAFdgmRv1U6
+6uR3008000Akt@BjsuJ8tU38200iSl1NtR00004200100OWgWH2mk9002000180y989hghIt
+t6yRy3W00WUXd10W000008008G020WumU3018000W004W28800003W080000408000Wt1000
+0W0040C00000d3d10001p0gIX@F4aV2tv@Gn09iil18000800002W000W0000240808JIR0e
+010000W08W0000020Y000Gv60020010ANp910G0e@zv9yD3_@dXTSCOxQ6wht000a01YR000
+0G00CCldbGZz900v0up_40301KuVEdIkHq_a0011400800W0010G0rsbG@@9aDBONQs20200
+W400j@@00YokiPbxMT9gft040G081W06vtWw7DO_V30FK0CYhVRmd0000O000WhIPGTX6SDV
+22300QxLkXyPOiU302000WW002800C480800008Y8M@F100_tn2B7024WRxV090G0I000I00
+4a130404Gyfu3WEM0sF_D080080002kd100G2WIA823p000480041w8_1z0005D@MzjLiRc1
+0X00G000G0G0O_V600yS5lERxLR00W0W2zJ000W2000084G0000O04n20W00CsP90Fd0ScXV
+x8d00GG8G041GW00008g4fm3nm5LQ@XG00A1040m_x9WWG000004wb003m688w72000800X0
+8CS600iV66EX40000m03SAF6WW30MD@D30000G60IZE100_100W2u700a5d10T000w0w46E3
+0008W600KlkYO00nf70zXC408RS600W3M2dSvSdGIta00000qu3mCSHbpzCXXp040000W00v
+yd0000EWB00r3iq1r900108nkG2PVZ@HP00Gkzaxmqd799hFJEx94n63@@R0G62XP21hzz40
+0002624uTK300W2aYk10800000520H000400030000242W0204044ql12Y0G000GB4000000
+o3_6y5u6LytY0002G000Ddomlv64Pl1001es4F10m03W0W01042aSl1G90e0008WAW0010W0
+2WWYyvD00ml7280WwnD0004028004G00G0000014SAl1lad008WWboVOFYAgCt00048ZQ@GY
+U9W00WW0W00010G20G00000em010010m480400G0001300200002I00200I000G200CW0010
+00yb000000800200000822lhdG0xIKi0Cr_dGW@900G0iIV308G04WV20040040010102000
+000K0014W688014020a00AxL3000Wh14284_4000100428KC3ooFXs2OuE_A2Ym60W000004
+10200J410002000WI020000800W844Vl1loRW000000cK002001G0yEGH7yt280000W00VxR
+0004WpSDW080000000084tyRW4I0020002ZJ010000204yux7g95C000002003_t00W02600
+0100OG80G140G08000e0GG00340C002G0W0000W010m@A9fnPm4G804KF38400042100G01G
+11000ZO2W00loRmo_FKuX4Dox42400W400400EG202W000GDYIY86000280c00001W02006Y
+eH0WPc94A100W4C0C300g00WeQ@7000oiqTN020W0104W800200100004J8002002010C900
+0HW040cKA200H04200000G0000d0120000gTxDOl@42WnWVSlQCS300KGykV20G4e008G2WG
+0W0001X080085000W48200Kkl100yg954008400820GS@9000a20QeW0004G100I000EXBg2
+DPelS6000WW00n04CH4G0000G4Klyd0un0408Y9000Z0200S_Q20040080G00Z00000mI1Br
+Ql10041kbD1840Y00700041A00000020KC80e00000G0G00X1010OdV3000G02W0W802G_rC
+0000WZ0AobKErBi70004008000200Ga281082401200W0K200iqk100Y940f0i_g1@@pm4_9
+408LW40000e00IG0GAa0Gs@906460IXGcR8008v204201owc1GTCrkXWGG23046730WU1GG8
+iFol11Bmm7@H1mKJ10g0WgcN5LzCWsDM0T800aVT20WAm10001A0y3000X410WMpJxCS3wRE
+10J00080c0O0G0W2m000a500WO00We600nTWE0AWz0G0O000m00008000gt100Wx004r0_1G
+OSC00pF000J0k00WSnPeZVg23t000E00000000Eq7k10GSz000a11600G41W_000mY409ad0
+_10W@@52010412000400vv_mDvOKMU8000W33FXIuMgCyA2KaXf@J000XGaoX00urQWz4IOt
+Z3rt8D13Q2FXHRDuiB9I9kY3rb8fO90cB04XU2NXxnpxO000GuPN3wMtWrrDG040mgOaSN49
+000GdLcdCrn00e00H000880020000W000020W00000G2WKsD0002mgD6GG0000G00W000001
+0Y0024G40P001040AY018edwD000W1004000wZ00080060020000001020m17U8b@AAZs304
+022e00W00000WW00GGmxD90180GG0Y000W000G008021020220000W4Y1304GG008024WW00
+c_l1e00040I000010W0W00000mW70WG02WW042804uzU300140048eAV3ArsWoaD0040Gaj6
+aVX4G021w5mW@@D0148Y00W00400W8400K00Cki14G0Y020K4hl100400G0GW080000008e1
+82014002082388840000210284X0W0e0000500110W0000bX0081Y07G0980G0WiYd12800_
+etWJyIe0O6wJsW3PV0G10m8z90W0000180X00WvtPW000mZR6GW000002020G00100824000
+W00W100005W0G00088041201002rPV20000900000WfhvU6Ayt000W0rW@W000We8PetV9kh
+c1000Y4000Fmb10aC0GW1Z02002W000200GKL6y@H2D2d0G001000Q800000W40000020401
+00002000uR1QoDXpCR95JF2rtWV@V0a000G20W96a00082104WgtD0000KQL60I00W002040
+0000GK_720ECxaaXCerOL__tWv_D0G02GPUCarl10400000822We000A2450000000030wtt
+000m0poR0040000QoTtRGxepK9V5ZZPGzzI0081OZH3W080iCV200040W80KKY1G0505002a
+kO2R@R0W0110000WMK000005ql1BWN4041Wo5IW800Gvz6GG041D300g0001Ga2W00040010
+10000e000O20pW01m0W0Wm85G680W049008X900000110G80a8W00G48G00004cH080W01B@
+3600W0blAHL36ayl1BPb0800000GG04W00A91040W00040020YjOJ0W000e0K000g804W00W
+818080GWG0W10W0G0G820000e8000G000W09G000W4408000wtoZsuO82qDQVpWLrIe_x4Qr
+FXUNJWK040006WprDe@O3080I10500208IIy6G00000G2000000Y8K0G9001XW4PF9W0000Y
+00000028G1G_b90000000Gqoo600e0OKT6o1lYprD000020G814000@cR0001mYuDOqN3001
+1y5l10080000WnS00040000a2WuxC8mVF000204e0uq43G00GaLk43_d0120Wc@VOaU3a000
+0022H200Gk_6004H00100608100310000844H00400X00480WG4202HxR00014YYW0V2J20C
+8W@@D00W2GpA64dl4Dzcmt960009Qgu40000kjc1G000shtW3aD00200W00000A0X6RGGE60
+20000W0mNA600000CSX00W0000mA@@7oZX6iZl10004IAe2W00000I40G8aa3_30040002GK
+wQ2A102W02KlxF30W84W40400g511XmQiuCW04O0000b3X500a2RjLQG2SUe40000C0mp360
+0G0003WKsbCaLk1800600000008JV0000GM@@@D000K50oeF0sO38200Kry045V2C0000004
+05000010BO182H0OW000000WgA304dUtBA0G5W@@D00G59000000GnE30000G4z@VHXlP0c0
+00G0m0vWd002000604000C0m00000q1000A000C60000mCG900080L081E300v700030N040
+C0O0G000q1008A0008m1000J008k0C100o200_@t300W8baR020000a400WO0oANYoSm000E
+f90000KSzG1000mtG3mNA6028000000h20000@CZ_0QW100L000000Gg_7000WPeF00000go
+y0g20006w100jchOu@L00O@PuRR_@V3100WPcxHyvCqJ_3XdRG5sF04u08aSXoscaqpRPMT6
+000GaSb1NWBHBuvq2@6PmBnTuIy_k400oz_@NB040GDid00W0000W08G01400WG00K00W019
+000m01W0G000008G88815n01200G4e000000eWe000500008C00404000WUH0_@FXDfJeLQ3
+wdlYFtPusT6ogd11400002W0G0000G20W1C0000000IG20004400000G8_T340000WQG02C2
+1eGL5GW0G00884040WW0X0048X002000200020G10200180000ZA0000GW00440Us7ZfyPOW
+V6su7ZinD080201080WI0GbsR08a0G000W0G020m008400m00008040Q00X61088p40G10XW
+42E8800148030002083o0G0O0G040I2020m00002H00008000fTQ3IvdX6@Dux_7Und1W020
+f@7200G0400000010W000014uU@40WG0G0001L001W4100040410W048WG0006G0W0K00W@@
+D0001ne@60SU0us@4QyFX2nPOvIO0W0000K0OvoA010009000200OgO900X000293800WL0C
+080000C0W3_D0004004100O00000ey800yZYJW040MAmW9vP0008040GWUuD0000200H00G4
+5i8H00404120I000WeCHW000004840_@t02YG2800B02010010001XnHz9anzIxU_GT@9002
+000W1W00001800hnR0200010W4ZDP0000300400mC041080G8W04000CX0000000CO0Q2ViJ
+oJ0408000WWv_JG4000100WNyC0WK003000000Y0G0Y0000084Y00000a002000KWc00ccua
+azLXG00Gp_C0002i103000q0W0K20X000eW00C31K0HGmC2Z06M2440800GGAY805aG00W0g
+8A00G054eW0W840JKGGK0000q94Qk14200AFk800W8W000W802qvw3TrP00G00G01040G040
+A000W0bG20000G10IW8O4Hc120000K20K0A004X8C3G022OAe21403A4G8000Wu20G001bw7
+rEQX0204000I0H000I51H000085KW000G20I1eG01YK4JW0W000040000S_600QhlE002088
+K0000W0C000041Ieu9K@e1zYd0800000x6hRJ5500X2TVetQ3Y@t000G20020800e0060G0J
+0Y00004GG0W2040W8006000a0W840XG0G0Xl@d0eW6WrHSAe_4krqZZtD00H0408O40W8G44
+600020l@Y1ptP0G00WkuC00005x00WzoT28W000G2a8lbutw4Yfp00GM00082cl91m610000
+KGD80yWj10010000414100300000rhIgEv@@M0Ot8aIM2Nz@00q0000GLu7GD1A00AF0042i
+sD4K5H8Cph0K610ex430n43W700YZAAzZA04G00yBZ0A30004B0000000U000000iRi0a@FI
+0k00ISxX2@D0080W000W8mD00WA000p0g0K163W2G500OC000G000mC0006WP0L0CoYB1000
+CW500AYsWMAeAeV60O_10000GLyZIa26ubT1Kt80W808MG7bYK41c1C0G3Q0G010O6m0C30G
+WW4uaNfIDr3m_H5W72GAC9qf@L7HxnPt6K6_6D@R00T4WjiP8WBCguUZvRV000G0024WdVJO
+YS6MXFXNlb00002800Wf@D8@y7000WJ40000004820WXlbe8S3gEF10G00FyRm5@90W00000
+4mGo6qIV2dJOGJz6SRE37_dGulUShE3PjR000WdjmDG000mLZ6qwT5FbRGCB6i__3PdBnAvI
+i4B904G0gV73WYC0lmpGMwCKjk1nRlH6x902G0e3U60840STb10804QeE110006000eGW000
+W00028HYyC010051H12G1G4408G00G0000Y2W010022800008400000OV5r01200G4W044W1
+KpU200246TF1100em100Ml_1G0000500_@t00022vac0200102G0W0X0GG083u00G010W020
+8000A208W4G8W8W00ueI30400I00Y0G00102064W0K0G8001020G00Y24X0c0W000W0WW0G0
+000081000m8500100WO00G00G0G0KLV2000WYxtWtwDutM3gksW9SP00W0I@O94TV20G0O00
+0WGG0G0124Qxf6W00000J0005K0Gm84800W0100001040W400020002040c08im1A0W11030
+4W0S2HG0X05W80G0033000084e0000mg20W0000G100X03G4W0840000101ZDO0410WCKD00
+8G8OG2Wc_JevV36lt0G01004000000L@l17uRGB16G8000K0KmCy60G000020040GWVID8xX
+48WW0qtl1008G1002Lkl10801IbtWarD00002VG000009VyRGmsR0G00000GHnYOKmF3JGdG
+p@6020a00004W280000G00032Bq00G40004WW0CW0040WW000W000000WG04Y00I1G08WuUU
+3G400z@l100ipVDMYsz@1Y000000008G200000W00H410WW0010000W008000G8W00yvU2G0
+00YBn0410H00000G044zU20080sgt082002300w2daUuo9gV300001003uR@40108000G08G
+2008Y80G4YFyR09082000802000Y08bvl100900X00000WY300GJgE1080epV30400G04WCl
+b7000400C1QGU30G00880G40000Y0800010RvR00Y0WXYJW00W0010000di7xqoUya06000G
+000008800m40GGO012421014080A02000W84G000K800G201G4H84j0G424514HXI1m0004g
+0OJ538802GA00G0aW1CW000005Y0000WC0aBN2rZ_pKO9a@l1GW0000G18402WW808402H0W
+G4W820820GO000Xm04440W4011009Y800g00004104100806iIYGuH0G0A81000b0000W0O5
+H1220000GT3004IXJa200g2@X4vDeIU6000100f0WP00mlu6G40Ww2S38WG0qzl144W0aW0I
+100I0400000_fl_D00G1GGy5TyV2L@d0000cvXIeuS66vtWDKD8eV3Mwt0A0000G16002G14
+G8fYS305G0KYV2P5Yq@8600022Z00G2S600008Zz4wvt0G2000G00m00W212220K0W262000
+W2ByRW02001W80W000u02000004000jL00001A00080ksLbF_310W4vdkCSHk4DdR0800K00
+W0K440gRtW4zD000W0W00I2000004WAkt000Dd0000IltW9hy8X@J000Kx62219800X0000G
+00000KgUZXTxD86E3GFC00084100200G00000iW0W1W484160000200090280004YwR8110G
+1000im32000WwlD8CSFYwU60u0000WOjBWEctF341000G@e2mT41Wd700T71tjZ8YTtrymk0
+Y000mQL1ep30G7cn300W_x2F100081BbG0v9i6_F000mT00WO0@040o0W0G0W8mDu0W100W0
+010086E68K100e2i3G5450AWA00GM000W1002A000K0w8c3m003O70nYR00W2I0000000YB0
+tWUuM208Y00080015WUmT0T_308wy0qv1m000OV8B2000WOjBWxWO0C3O0adm8M4c9lm0W1c
+1000000U00gu70000AOaH000gm8Z0WV00WV0008Z3WnmrwsR3Q4oWfUCeAsAIfmW9mJ8yV3k
+3p0000W000OX100yuj1RU@mKK900000G0Wm9M6qTK8zxRmrp6KUj100X8_gt000822004USc
+XNnD0000uPp6iCW1G0WGw5FX8@D00mTKDq9010Gu@V6G0H0azV20040G4080000004WG0uX0
+100eiB3Ixt02400VfR008010Ga03gB1000e0QD010000WG00W000100MjC101000lG0E4t00
+0W0NCp0000183G0H@d0210nWgD8ISC00H0iLK2ThN100080G08tdNHqq6qp_30000Nrs0000
+8A200cOtWjq9vG5I810024000W820000GG4GW00K800W0000W0000800820400nYP01X0G00
+W4400801GG00e0WW000050002000441K8D0q8l100G040W000e@100010H2GG41W0C204800
+01W04000WW00qyrIeZU30001C1d100800G08W01020004G250W20GDqRmaT9040010W4042G
+9300000WW4G0002000G00YW0000W00008I401084000W0010W00G11400WG4GD0W4GP00031
+0020015440W1028W00O8G405400000790001A0I4800020W8000W0GW0G0000G020GKOc1jE
+Rm7_608002000X000000AG8040009000C000W008000G04G00280000W08W00G1084W04Ku0
+0000005qW200200088WWWxzD0WOH040GG001mW040000G0K012WKG3G10207e0024008WG04
+H600S018W00WW090a380000oC000XX4CXW8GG8Gm0020020m021000831O0100000210QgjF
+1800W00G000Ga00000Y260O000832C82600C0W0200240Y0G01000G0zkP00340000W0CW0B
+pF10080000E0020yal18000000oG0G00800000O02Y008008080000W44YG1Gk_6Sjl100Ga
+00000G00a000001W01000dYdmM_9aol1000G0000@@l1080G_utWA@n8CpDEasW8IDW0G0my
+_600G000X00800W2JI000W201aWXwD0uV1mu@X000Y000GGVSd004WvAn4wWpWMsh000G300
+00010a000400O000KG00W04H00WitP0W040400000GOa300Mrk50200xqYHgy6G00080V3En
+x14100VzB10200e0402004Alb1000WVwR0m001000000aXFF5cuJz0000600W01008R@RmOz
+6qsV2RRP0020atpbu1z70008AW00O_V306Q0S1w91o7o0@6000420bYIFz6W800uAS300W00
+041000WnZz6W0C0W0GCW0000000W0G001W00G400WG12qX@9080000e24WGG42G00000Wi10
+0yLA9H@p0C00WiPm00000L14WpQCu@G6gndX9@D00W28040P04200D004C000Ha200OWunz6
+00080800024GG0302010000Wn_ZeA24004801UEh7000eQmdX7xJ00G2mPS900G0Obp40WK0
+000W00G9008A010W0FnRm5s6G010001010000Gk00x@BqY_6001W00200G00WmwJeqr7gWM2
+20000100G4G0yAj1NHpm6r60B000000lt00WnwG28000G08000000m0Wsr810800WW024200
+qfl10K0WwNJYQnD0W0009W1WspPOm2300KkDOiAjYZHkB9y@V2400X2EcX9FC0400HHnF002
+0e6I304088000010Wou@6yNk10020_Fr00x60@@7280GewT9faS300aW0WO8PWv40G90W08G
+08b000G25080000W3oXF1X000Ge50_tt00I64I004C2HIW000W000qxv6WeO0220u0000GB1
+00fVToZwRW00000004Ya0Wy@D000wE40000KSznY7YFm00gUGtY0WNL0W0CzV200WNW200Oa
+wB0W@m0075000Gf9x7500c000O0O200W103iUf15wR0mF0002mUdnp000mG10WW2OF00WE00
+Wa00042004P00000aHW00001G600WE0001100Gx60Dpxn3z9q1W3@@pGj06Kmf1@@R00WxE0
+000GbV0_@@16V50000zJ4d110G60u764H4H0mkZ0Wx0GjsFu100KW000W_7W@@t00L10008Y
+AQDmC000W00WA2O8hwJYXBXfyVODVCkvtWYDC0060G6t6aqQB@@d00G0mCuIuMy7USt01WG0
+G024c6lY0oJOo23kQn000042000108G8W00euN3MAt000cUhapGUvCKST5tcRmy0LqUU2200
+000I0K2d1vWxnw@6Kmj4G004000GCfU20IR02M7ZIXsG000000W00H4050w1040YjaVeIy4k
+GsWhzU0W100W00G2600D4RGv@6000Wc600GE4RqLU5DDNHVy9yxe1pcR0060mzuP00G00000
+G04eINYQW014WS@D0000501130000GGG000001210W0e6e10GajrD00m2HIUC00W002W0040
+00050G00L0sfdXWnD0GW00050WarVeI@4001000WG400400G400K0000WW020408W0W20000
+200W02G20000I1000000G00J_m60040QJR3404C5kl14900pct0W0000W0W08000o51u@V30
+4800810010042000W000WW40wst00041BwpGezCShV2BxR040eWjzDuHR3K000002B008000
+0e000104000014I000WWY008c00O004W01300001G000044W0G1G0ne00080A0108W4O4100
+00A00YDOD0000NN990000m80W40Y800W00008W4C00Val10400AftWpqDufV60200aLl1404
+000000W2808004I00G0009V_R00W0mjtCOhV6co8XevC8FU30880ivl1f_R01261A0WG00W8
+010000e2Dv_4A@t0002000200020idV2dMn0002Wi7Uuv@7M_t0W140RxRmv@L0020u323UB
+pW54IeOV6ced10g41000a0110ishJG0001012yyF3XjPGxPCqls3HUBHy@60002oF10Kv@9y
+ZQH010A00000b00O_23MOFXOMC8lu40100DMD3G0806sqWbnPewI300mIsxl1H_RW000e5s5
+AX@4QId1800000048000000GCnv4owFXutD8sO6Adc1Wq70801W00080400856FYak5G0420
+WW0gitWP2C000010W0XvrJ0602000GWYwD0008000100G04H_R020G000W0bud0000ELW05G
+C2071q0WW00RkSIuzRG40mW006020400080820GUud110800400480080002021u44600G00
+GW00204WbfPerV3crt000L98040G210W8C00086HlWIilFC3WRmP@F8100OE@40W8GrAD34H
+000000L6N2P@N1GM7W9gDeRzVUEKbF@V080000800W000RBAnxT9mI00304Wm4tBjfV2dp@G
+p_60000DTV3EEd100400000JfFXXzDe7z400WPlDk1HA45G10Wj_bu_l4wPtWF@DuaV30000
+jeg14000G00000WYegz40O00KhVHBzd00W4WjUVe5_7000W00160IX0WG0000m007dR00094
+0i0IO1006@j2e3G00G02W000SInI00m0500000m1G000000160680NXYXCL1000G7wO20000
+FR00dyvV3w_@1000F000000W7I50000Bkuo_510W8000404000G0G0vUdGVR60G00004W000
+89000np@RW00000830A0000mU000X1OKg4IaF10200Wb8000W0000302000L00WO0IuR@S0m
+h0wD40000mux@Cu10CsL0000OeOd1000GX7oy@400m300m3000WxN_1qr208L0eA0000e200
+000F000pORVa6n@XlzP0400GOSFKuZ11KB100n@Ven0002GjLCamz3nvqIzt6CSZ1lkdGqr6
+0e00Odw40441CNE39dd0850Wz@D0014mgrI0040wmV3A5tWH@D0001GemFSqe1D3BnSt9yZP
+2VtmmSsC0002OQx7k9E10008a700_ABX2UV0011Gdz90O20O0S6kD_XonJuisG_nFXUiDegS
+3MxAXBxUORT300W@acB6FBdmXDLaRE3No_mZx6000040004800Y_wJ8ny44000qgc1002001
+e0ifk1800W000G0080408e0220WlwDu@R30E5Ws1D3ReR0W00080G000W0BetWkqD0002880
+0WbcDuIK3000G0W20u8N3008GiGl1W0m00K00080202000020H000400400G10jkV2RgR00Y
+0G04100000H0W420284008C20X253000418010200212024A884Aa000000OaA04002WG000
+00800G0X0G008Y2t0K0100000010HKpV2800010G00003402900W02G000WW2A00X0028W04
+1000002G00000024W0GW400402G0800021000a400100G001000020800000WjdO0Y0A0400
+400OW140080L000C00O2QG020m04aG4m01048000K0Y0m120000008g002080G8000004008
+05010140008005421800041040G209xR0000H0200040402108m010K01200041XY0210G04
+80H0002W21200000W88RM@GQtOi8T22H0000000K40eKF30004000G000W0G0060W0006000
+Pw0Vpl1pq@mg@6a@l15sc0O00Wx6CuF@4k@tWdkFvR@400c822808IY400W000400000C008
+0010G0002EwmWkvJWv00mnVOij@CLzJ2010I000040100WX000010001001200G90XfdG@@6
+G20aezV30G0aiPz3LgZn@TICu06zo5202000G000280siL204008000QWp008400010G0000
+_j1eQS9cjXaONCeFXGsQdX09OOeU3QsmWAFD8qv7008e000G0210OeY6CF@9BzaJZtF000Y1
+L41e8W8WyzD00400X010W0400G8000482W0000H00PG008X0108G1006000WyVK2O2Nm3014
+0PSpGks9KtV2DdR002003080A1400C000402410G0E008H0002000G8400000000G88Y2104
+11000002K08G00efy4000QiWW7n@dW210WxmJukP66otWwtJO0U6I@t04950dtp010K10048
+WIA2008300e00XG0WG0GA00WG0200050WKgY1i10200W14Dl11xoGn_L0W40W00440WI4I90
+20GA0YQRZ9_D8a@7IuCXeNJ0020280004800fBc001W841200038EQF100AorvR00010000X
+004GAst300088a4000KW04000804LcaUaol1Pwp080000040raPGbi90K0000W8Wa80002W1
+204042080G008QU3Ant00B61@0Q0002Y@lnuzV30064yrl10500000A01008ykD410WK@l1Z
+HnGT@984G44202mwv60080000100W2aLnDW00GY80200C00F3R008G1000mK10GwtB10004I
+1002t7ZO@D00G0000m8GW800050oil20K2000040W0400088eR3Qy@140ID0O0aW20f43d18
+840W000XU90m0i93OB120mSB0G08W007000W40G00210000TF0e40000CaAW10G8YS304K3U
+5v3OGK09WiR0m18vI_zmGLL0000Kbg0yV00uV000000Wle00000pKbh0000uW30mO06@F100
+mV0000uWx0uF000WZ3mo_2100Chg@70o000a1af5x7wyp07W1008B000u000000026004@0w
+0G1y300a5000O000X000pFW942mU080c000O00004000bd10WnlD0838306Gc4oJu@@A0W83
+00H6WC0S0P000x1004700szEXVxte@@40Gqv100003F0mWuC000Sl@@4K0WvB00000rdgK00
+0NLJD0aV00Wn000eEBZIgsH9XCTP8Ms4g27300G0F_N1u12WwuPeJQFocEa6jD0010GQu600
+00021Wm_@680000200W000XQ0IO5V9oxnWr@V0000E00WW7yUOy@7Q@q300W0zxdGmw9aKG2
+hOdW008000W0ZlRGEmR4vV5008w00I0aZ_3pSlHzmU0008OBp7G218Kai4hhRGPy64AE3000
+10202a_l4WmL0oPoZ8Zs83@4kusWmtDuE290004ctV5000W000a00000C00oXc9G20GW0020
+9000202Y00G1800W000W700W0800W6@C8aR30010GeG00G0W2G01G00000X4G528084G0jdQ
+6U2r000W2G000102401108GI3000W80002W0XCW108000G40000081000000W0qf464WS221
+2I0H85022W0001GGO60400g2G300100e00m000m@@600eH3004e104202GG2O004420W0010
+400000f0H0K0000G4800W000H100G2U60G08400GGD260002uKB3ckF114G0000100W00GY1
+jwI300400G20W40008W0XHzDueH300022a011fC0a1010G01004004W0G00800102e000000
+8480W0YNC1mL500Gu0000010H8m0W82102101W000400X840010000A200G9W0O9h5QW000G
+04000C0010G0G000014000800G0004401E8p04G00lmdG_Qa4vl1NrRm6@6W00001G402000
+482W0001G00W000WIF04004040900voRm6n6G0K002WWCG01200000108W20001G0i_U6wzt
+WRXC02800400Wn@DOHF3os4fpvD000xQO_L0400Y10100G1W9jIWW00GGpd4QV29S9HBPjK@
+V2YGQ00400qhx39rd00W0WzNC8t3FMiLYn02vDDL0000R2008xT9o4tWTiDO9z7kFMbkQy8u
+7CMOM200FxjaZ1GG0md_D000W0W08WtuF100We000G4006zbRGsdOyEZ1vlwHX_90yz10800
+GboF004G20GW5CW02W0200G400G0W4XjA0000hX8a__DejwAIJK2000iE300srN20A8YGG00
+W004W04000204W1W0Y0401xh2e00aSlh0000100W820010000aW000050G8Y0G4uI00e000G
+2Gbu6000000miCe00WcdV00080G000GG01LZR0100WTe8PsV60I04bxT5LqOm9XIKGz3JKRG
+bu600000Gk0m_@I00G020000W04aM0RffS640015bE60002s@EXwXb0A0100G0W0uCOT@447
+80q393@@R05W0O0e0000000810y8CCW8a8U@tWdqn0000oWm60G10eZE6QhFX3aJO@U30001
+k@l4VLQ00000W002R6LH4@Ue40004800100W3uPOQz400020WI0010W0YaWWHYJOAU6WK800
+0000030GK06W1000qc39008ajMDu@@400PtW01O2A084000440010e602PkbAyT2000HYBLy
+@l100WT41008bVO0WrN00J5WQuRn00000q1WU@butF3YTp0YC00rnz00000000n80000GI04
+5W10G4000CBdli10W90M6u100_1q1W0e30302000400G2@j00ix20000Hd7Wv_B2W73m@@I0
+Wg0Kc70eKJP600m9E100wXBdINb8DYP000GxJ008X7LQ2da9pVG0G00400WA@D8PyJQ1FXJq
+D00GgL@kLauV2F4R0000esnD8Hk4ELtWwUhORz4gInWKq89CzAURF1Wc40nBHoKA6000P8fW
+G_TNY4uJ0040GcjaSw_3000OmB00qvb74000ASkYww3fzq4chFXm5V8O_4002000D08HU3Qa
+pWCvD004080000005DZgQ028G10000K000gmt0e0Y0WGGGQct00140DVdG4X6qN@3hcQGy@C
+040G8u932Q@X23D8pU3gWkYHtP8BW40DW04JZ1lzR0G08WCvDOGS3YbF1G8000W01sV@X4Yh
+eW83EOs000H0r_@090GWI@J8R03_zdXQfDW000GJD6KVh1000WO302800000Y8W10004C0W0
+G0000042WG9X0G8XG0000001006G00001500G010W020WiCOe1S9IpF14000PF8nOtRazW1h
+nzmB_600W5gbPFwrca61DepROW048G0001080G0uX0YH100W0mTh6040HX00280G008W8040
+0G8Y8200W002G8eG002GW009IhItKda@l1RD62008Gk2000000xgt04000FNamsw900400a8
+0mTTdiPAL00Cw10O04yd1nppW801000000KI0seFXyTbuZRRgYdXhuDO1zG0HQ0qw23W0010
+400SMV208000G6000100GGWnxHjyPF90401_msWh0z000026009W00G400104G400G800024
+00000440004182G01200me244OG08mK20psV20A6W4lCuTVF0W0WG4G00G09GhfX00mn51G0
+0W2484100408IG08W8428004G2882400408W25001005220W0omwQd000a2308a0Qi12000N
+5uneu90004AsVF0y904ul1lgM1000000YKdh130W120088tiH20920W000r_AHOFFOB0mevL
+3000000Y0fxV6kpt06120HXr2003002YOW880002IqhHH00IJMzt0000G35bmOQ6a2W10000
+1010KC19@@d0GWqWWqCu2aG0010Kq13z_Z1023Wv@JuOK3wJEXmzD0W80GRcj00gl11XG350
+Ge_Ez000GaO0000u000400Iiq30008O3WQsgp000420002IWpWK0IW00000804r020O250sc
+s90K0WoK50Tj0r300wG700mR_R00W1m60k3H0KV2iXgEuv1CnH71mwB0WJo00FC30gf6_v33
+C@01Oc70qKJ1ey10W2pF10YV000P0_100dl9HrzF8004G0o0GK0X0Ou00004000P0G0G0W0W
+1IWBXK0C0W1010E0300069aRmnUuP6008OFFeoH71mQg1W72m4yd4bT2W0000042qaD3G000
+IusWzvJuaR324lYzjJ00GorypOa3U8vaBHyzFCuk148004004KIj4bOdGVR9aHD3Xkd02000
+G760L0OGrRCazT2LadGKv64oi1XadGA06K4k4l6d00W0aepDej@4MCdXIQnePX70000h8000
+0800W00W_@J8mT3QStWYwIeHT3cgtWytJGG0WmVw64gE3P6xnESFKgk1L3Om2xFirI200wzF
+Q@XuyUeJz7s2_40004vcpmWiFy2l1FsdGzr6SaE6O0000OQ0irS2VdbmrpdqTE6010G02000
+800uAS3wH@X9wPeZ@4wfEXusP8vR3000mG604e9@70G01ijE300012Vd12000@Fl10400000
+400280010UkD31@R0100WDgV8hz4gItWctV0W400140000JrF4B1140W7wP001WG62UC9l4h
+4mmtsOq6U2PxwX0000Gw00d@p000WWbZFvva7QrS6I0009S5IcY68600egxA000e01000020
+000048W20RStoOtdaV_908o9@6lY2XI000G000G00G01Tg@J6xy0Cc0eyxD0W00snl104G0c
+kbgkdFvmjA22t0010GJzg500IYMDsOfV6004KbGV5048W008010W00H080H00m3dbePOX800
+0W800uuRI0Nn0aiS54W00G010002000W00WKI44mW0v7J500Gayw21000M_8C4rP50G00a80
+0qpyLjWdGY@R00mkf1VC0004zTl10108EaUF0000Wp50M_l20112TpomprN5Wv3XKW1@00Wo
+yzOhF3Q1UcCqz000420G00C000Zf720WCpW@hGD0Wqiv600008CSj00WZQ40000RiHW@U0WD
+0OuV900H0IrC0KZDSdNGf6r4mKc2mgy0WvdQELHtQGWSU000WnQ00mn@La5d4DfkN_0yj@b4
+tPB1000GE000NP3JbzK1W40OSAC00KVjPU8I0W0wXBXtemuWVXEAR3GDC03@x104GXIkD8Kz
+4E@kYxcufN03Q0mWGraubN3YMBacuaOGZ@34v@@K_lFc@tpv@yW_@Ef@hZw@vi_FEi@VJx@s
+tCk7kMAzd100C_nvnv__60w60umHOUxtWAD7xzS3000GhP008uoJ6J@XrKLfExJ_EDXE@V00
+GZNU_NTjPB1_x1en0W97@VUwY0Wlsdzm@VW_V7g@l1x@Pu_@5m@NXy@JG@V4s@@0_@De@@2y
+@dW@X087200EWWJ0000um10000vG07004EJ1WYe0a08S2mu@L0210u@V3000uKCW1f2eI_09
+00000700Gtv@lz_@Pt@@r@@@@@@@@xdOj7W@@5b100Gykdalx9@@750Mrs@@55Sr0GnlgaK0
+Uc100g8kb@@@@@VT100m9800u@@G0200y@@U00CL_dGKmv803S72002WnC_@@@G00SLz@F9d
+K4u@@@dH_@Ni@V5z@Fn@@vqlD00CWE0S8YV@0120y@l408G202H010000841000001I00008
+0_@7Ivu4000CEx0mX@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Rr@@@@@@@@@@@@@
+@@@@@@@ZH@@Nu@l5@X100WfJ00ut@Gw7rF00Nt@@J2800W@@@lT_@Nt@@jy@@@@@@@@@@@@@
+@@@@@@@@@@VT_@Jt@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@nM000m@@
+F00e08G0U_@FA0002@@B1e06Wa2Qy@@R10OJy@lA0400_@@@i7_@@@@@@@@ix@@w@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@FB_@lo@@Zx@lu@@Nl@@q@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@e00W0y@@I000WC000y@@y000G_@t9GD40@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@S2040uxPC
+q200y@@@@@h200WW@@n0Cj2m@@@@@@@@@@Vpx@lS@@9@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@L_@@@@@3@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Z400G0W000@@l4O00W@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@70004@@l4000AV300@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@tk@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@j0000v@Vd00GJbilz0400_@V9000Kc000_@@@@@@@@@@@@@@@@HY
+000m@@6W000u@@J000I@@@@HAin@@g0000MT00m@@r1100u@@J00qdSDKTXKq2md2W@@5Q03
+IUUuXLVK1000lv00W6XWy@@@@@@gGAGAWB90G00y@FI00ep@@@4000v2F8I1KGKe8jGH0U94
+H82C6GW78Wqfb51008C8qHqK0W0004O008uS0EGPc@@z0Kh1m@@d0y200G580Wy7001Vb020
+0G000W000010042008000Gy@0W8204000800I424H0WWqS2f00mJC2P00000000008ADQ20@
+@@@@@W1003e205QtBJ12000X8HG0008G20u@@M0X00y@lJ087200E00000e103a31S00GuKE
+400S49100002IG0000GY8008fWMIDeb@@@@@@@@@VC00xOJUzp@@@300a1gF000000G200@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Vn_@Lq@@4@@@@@@@@@@@@@FX@@@@@V7x@l
+H@@Py@@@@@7r_@Fr@VJ@@7u_@@r@VV@g3000s300m@@60040u@V6w0WX@@N20800020WU1Q1
+0mPq@@CW000u@Vm80008000eA@M0Q20q703Xx@VK_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@FvHW@0GE1WY1
+ww@VX0000P500e4yeA4Ge@@P00Wnm@@@@@@@jm@@A_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@n28000000GMP64rs60008K200y@@@DoTIcSU0Y50u@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@JIk8000CO100_@@@@@@FW2@_@@100S0@@p0080WIvCu@Vs0010W000u@@D0m30K0
+7R@@NX008W@@t0000Dp00W@@@@@@@3Z@@ly@@@@@@@@@@@@@@@@@@@@@@@@@@Y00idKw5I@@
+@@@@@@@FIWT00o5tWRsCeZ2pQih5000m6100I2lk@@L10WnGV0yy@@@@@@VP@@Fj@@@@@@@@
+@@@@@@@@@@@@@@@@@@p0GJ1WAu_@@@@BjVlMxb0000NKXFqHUHzvN1008W8kh8R@G00uIFNN
+2@@R00100G0000400Y6tZboz8fWMY4F10800jYN10h3WY3O0000200020008j7mGNS@@@@@X
+o@@d_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VGSh_@F300aJw0tl@@91Gh0G7u_5AU8XX@m@@
+@@@@@Nn@VL_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VsRY_@VB0s40_@dA0100@@J2004W8nP
+0W00m@@L0P00O_ROo7uaB1E9xyAU@d100Te@@lHV0X4kWJzBW10U0W@@pyC23000mIJ00eOV
+5Fk@XpxD00WoIyx7MNV5vsR00F0WTwLSs@7_1n0000WY100Aq7I00G04100004GK@l100MWJ
+_FJ0G000CG000008MS1u@@91G0000020100000800004a200_@FJ8006000000e4@@@a002c
+YKm00G40G0000gY0iDhb0008WH0H82000000Bu10W@@j402050210000000K6@@FJW00G@@R
+08G6WNFi40WW0G0004500000Gv000y@Fa000A000008AI0G000200000hQ@@d90WC0200WG0
+000XR0y@lb0G50000T300@70000N00W@@X400G800002G400G5000e0000Cz@@910A00000W
+_C30p000c100W0402uni6mD8MD6c8x4000aM000Q0tC0020bbN1G00W6pD00G0qtuL00ORVW
+ARgBtfanz08@3GLvdq47F0W000002Ezk1fddmCU6igN2hMPm9wI000WGM00GlwH1004eVT3I
+_p0002020000004yr735h9HsUI0200001000WJeSxPOG33M6yax0tOWG3Q8qW91J0100GhW6
+0002eNG3W00080208l03001010800000W20000G008W02_@F1GiA0V5OGZMEjfe1j3OW000a
+OwIuu@440200006OxU3oKq000805CoGL@6000G0000Be10W85YAxX4gPyXR6J8fn4Q99Xs7D
+000W00K0000020010W000ikc100wy7aqWz_5g@VF4101yyc1@@d008W2000G0008C1408800
+1008m4S9y_@30XB0IHqC002G8W80YpWXSDV008cGxcF0G00W0000008Mi200@@F6041W5Ez8
+C33000W000410000Y00WVGDG000000kk@@D304012WGW_Pm0500000020W00BWmm@@90iN1u
+@@n820H00C000g0004GW@@J028200G00G0eo04W90e20A0We0W002W00140WG00H000W00G0
+0G0100000U1100@@F608I0WKC90000W8004u33W000000100G20K00e9G8000W0n_O0042WU
+NJ00G_phQ_z@l12G0e008000040W00Gzi6ixA3W4N0AxDG000M01WN40K000GW8vt700G000
+0WEbIp0440ivh4nOQGPl604G00OW48002000400W00001GyHg1008000m@k6hPt7Rm4gCqdN
+2t8R0GW81a0PA0520GA40Cvx30I10_@dD0810@@@00W08200000oG8124Y1YW00000100mza
+J00800000ayw6300m30m33006O8400_kf20W20000emF0ifR0Eq30000W2W@@P000Nn@@c1m
+00001WHI1CC8j10012100080c000w00044008G000008300iZT204000Il0y@@O00W8K500q
+ox3TORW8G5000G0ucR0ww_10m50000GfA0S100Ws500m@@V20OtUrd7s1sfApn8Wx7AFt3mo
+80bQAHku6aCE6jkA7b00WGone4l46tTcLqzuA_7sJt300HtpI9nwQ2j3l10100IYF1W000HM
+pG9W6Cl56Pn@0Go700801@@xKmR6yLd1BpZnnz9yFV20002UY@XPxD0000F918WWi8fOVO00
+0200G08Gn44080y@@30120Yw8afhD000DA04GWnrYQtn4QYaXqob0W00GaaCy7b1DdB10H2W
+6yLfSVLoioWHhIugVU000m1500u@V30W10qab1Jf84Y00Ww_N20n5oXyFS0OHnzx4807W@@P
+OeR3w_xdU_Yox00mezCG800uYl4A3Ddi7S20G2r@@9O800000GJMVUCk36T@nm959S1W19_B
+4u05W@@J000m4020004C0lxt8000Si1005f6rR@E10uPAWNU2wtCWi80t@AHWFXCf0R000GC
+000ynE300O0ocba85O30GuG0_s0W208jvD0ml@1000u8M6gyd70y20F9@mpC51W00eVCa000
+0bnD30800000Oa4M800W1c9sW8lD30mXzegI00p08aoqc@FX_qa80y40cV0Sta4000WMQkbk
+oDe2A6A6N28000R1RGkv6aqS2Lap0000mhpVOfR3eF080002000W00002000W00182CF1108
+0m02400W0SPx38040o47ZSrDuOT3ItcXImDe@Q3o1t00400JiR0080WBoD08000001WFsPW0
+000400000@y0G000G04SVj100408040q8G2010101104703JlRGgTFa9k1@9h5O80WcvseOF
+OkPt00020000HcnF1040002000G4GzsK2000WwhdXAxJ8rU6Y4o00200000eLE00qTV2jt@W
+010Wi0CeYU92mKYqyJ0044Gay9y9l10e20EcNYGybG80GHRSL00eoOno4m0000100004W000
+0000110400gFtWSoVOsUCQuF1180800W004G0a@V2O14000002600uMz4w5K200050840gyF
+1G030F9a0OK2Wa@D0G00Gs@C0W00u1T3g5mWtsP0000qpYFCRl4jvpmx79iy530W102x@400
+0ei100_@t00e00DeR02000000GhpRmJy6ag89j3mmVW@4E63202000CLiIC6DsdppypCB93t
+NRmX@6G000W000WWs3W1IpixV3000G73t3NR9NUpL000GeOq400C24vQWRwdGQpF0200G004
+00000Wu20lsNHx_v4Bt9Fnx1018080G000080001200WEOEC68_XFss30GbUG1L00G004W00
+e00WBNX10002008appT2aN1GgkO8000OIaPUI9dqWn0000ad00W@@b010404H0WSVIuuUpQG
+5300qS@@NX0W0W6jKfJ@7khTf8bD00n2GryI00OH00XG_0_6q6pCjKBQQV6SIDC00040GW0U
+0m008G0102020400080W0100000000_2@@l20GC100O2m400Sz0CbzRZ30000KLL4ymg8uV0
+Gm3FGL00a@10W0nJ0CP3m@@Ej3Z13IMqq_6000W7V00m@@X0C00OdxSATpWlyD8WZMYOF100
+gUHPNnYsLywc1DWA7Gv2Wv_EP2XJ00044L@39rbGbFj0004emT30000RP00uwMLc47cMzV0W
+G0GGyR4KM2W00040510Y0004101020000qR2W00EGo0400G@@@00A808G002GW0000001420
+20W400801040Tf6202GWSrU08W0Gcx94wU50008ULt08G00828000000028004G40000mNB0
+8200GG04W018H14G02000400000W2G112W020000402G80001W0e00sYFXfP911100040Wv_
+JuOT3004GCbG2NjNnyo6800n0W8814W0114120CY0000WoL404084042808WW0G8W0_@t00C
+0A2000400A0X04W0880K0100402G010WC000140PiCLYprZz@b0000nvzCySl1000Q0W20aB
+l1e80GAod18400Z_P0200WEFD8KyJMkgbI_D0014mx_60080G0G200Y4eDzIG0000O550a00
+0tzp0000800H40C00UytWPnCeqV300802440eitG0800awx95oR000000022@@R0200erxD0
+42mGkV60002Nx00040200G000A0K0024KtV2pMQmfGWzwE3020200G0all1ZCQ008000W100
+0AbRW730020G040ssq040000200osqWQKNww_4AuFXFXCuzV302000GG000000OA0Wo@h0X0
+0mjg9SNg1e000oitWkk3PNUL00010W0W00001420W4_DW2042K000m000G801000K020c000
+0aM000800W0G040400arl10WG001202004204050800241G08G000Y4000028W04200Wchz0
+00W0000mk2F10G0mN_60G42040400042021440350HW012414C1000000004R00500I01000
+00DO00400W65D0WY400000eW00ZMd010100G005tRGprR4Ad1FCOmOt81Qw000G0W0080G52
+0040000K0000m00040082W0uPeC_JEfmc@@J000GI0zI000W6r002W0020W00XARmySC00G0
+0000PhVvCcz3dtNHny6qF_3tu@00W250u10G020W40Y0H20410040m0m@gDG440OxWvSWV5d
+tBHEw6Kcl10021cB230R620W0G80X010000020400W028000000410400408T43G280CxlA0
+0I0omoc7YP89R3cos0000OE440000C940000X0W1000G2000WK00GD0000O0020G2x601000
+100G8yT100_7010002EJP4yV0u@@0m3F00004m000000000M@00000GmgI50_30Fy0LUu11N
+LL2ML1Ias00y7000WCCpC1xX72McF4iCp0800q3De6IkA6W8008fO9UG@1048W0000102080
+40GWO0e014G12O024m0W1W1030106068408G0200WrN048W000YB024NWi0C0S180P1a0H70
+306WE080C0OWG0G00uWQ340400080y@@D00y00mC0000y@@@aGm3F00@1W7U0800eWcP6100
+0000W4agg0800wV00400KW7yW00000WrI028LL1W@@D00g0000u1F8egUGm@0WWPAZ700GLL
+LMd2jv6FW00000aRCwlM0024MYtW60C82wAI9kYylJ8OU30aI0qAj1LbmmWg6aFU2HqNHAIa
+0G10OvS6ogkYe_DeP_7sxd1000mC700odqccXrgzV60300000kv2W4M0b110G01fdmv_L4Pk
+1XYgoS@OqwD33V@0W092G01404000kz0i@j1v_RmeqCKqa10082040AKqj1024G000W0900O
+qR3IktWPjVO8IXwL@1000Ky600MedXYxD040002W0050X4W0220200020W00H00820WvwD8V
+y4UjdXgCdvsz7M5cXe@D00021004000OhzxR000e00002pqdGa260W9018803101030a0G00
+0000m04000811Glx6000GPhQ9w@FXi7E9z_D00GO4yk1WfG0k8N20040001G0m00Lqk10080
+000210W4OiT30001rNuFXUt2000CO100ZA728000G200hTZ702Gwp@be@V3cREXu4I8exqUz
+@1mD00x@p0W0WWDWaOVqS_Il8000iU3000080Cvu99YpMu@9000uPdD32gpWx@DumV3YkF10
+00D000I100W0002SI_w0mr0iYF300W0W100SAx3pzQMovROS00OKU9wQt0005041200W0IX0
+400200Gk760A008vZt004OLm@60848140004G0W0Q2mbCyK1vF0_V02@N200W2001080e008
+W10W02060034a5q200K_kIhrAt00005V10W@@h0G40HtQ90K12yg@48000CBzITOJ200Pol@
+b01002000085200WWG0m00G8gl000GA701WK0COCrDk5lw4n3101080008@2GWHYBnPu6W00
+Ct191W1y300010tuO008c00000I200MjtC0KLL4000000j301pK62gAL_700zF00eggQaniO
+yR1GA2GkuF0000ga@4As@XExDeqUFAldXX_J0010W040WK0a8Dk7o3e20004A200g784000W
+ZqpGPyCaml4jypGmu9anU2f08HI1OaJU200OLo_tWfwR9tS6YW@awjP0040mGoj0410eDTI0
+400KWS2raJ2W00WIwD08000W01WxSt83x4G040iOl1W0800140iZj10000100W9S0W040008
+W00m005dZd0G00020000G0K82000000100W00K0400G8280000800010g5V3IEtWHDTgWE3w
+ec10061NMRmfz9W000000G0YAW04G008802K001WeWG20000W402H100WI2f8003Kmk10021
+_f@XWxJOeT6sQVZr_P0020u@@60101ApV3000G8G0004000GL701I80G0G01WC0GG01OjV30
+G0HO0020208WH0P000G00a20400300044A1W0000408W001086KtWWtVOwV6YatW7_IuAPIQ
+TDXspP0000h710WewhW20000G00A00040GX000000032GW0000698Z001yR0000e1wD86Egc
+@d100jKfdk149000200000C00002020008G2GG002000I10000W0SVLQ28S00000bbU25TR0
+0000O0W10000Zyt028W00400400eG0001002020101440G800sdjhOAPmf00mSaI0004u3U3
+0W10KXh100088WW01W006X00o9s6CkNQ00sYZaF102007@Rm6y6KJF30G00ows000G0ZrRW0
+0080WG4p0Q60e5WuWDOmS3cxt00200O000K2000W08OQS30088WbIG10g4iG71005em4G810
+2H2O0X0elmnY5sWqyDW0000000f408O6U3m000Ou00GG040020O1W8000208On0W01WW84G0
+40000400rWRGoYZ1Wu0ukTF0002yXg10WG000Y046l100Ae00Y0KmcP000886004VV5@@R0I
+1000028000iQxF10a10@@RW20GWeAD30mhq_u6yF_3zpR00822000W0X18802ub2V2G1K000
+HHWG211140mccc1_n1OAv4a090qw_30W800010G0040Y001I00WDxJ0G050I08WcAD3000Da
+10Wb2b00G0moJ900X8oD0G00S00000GG000000XG00000m2206Wz7vJeIyk00W656k1K1W3T
+m3F0W@1GmpCZW@3ez7UOi7300O110W0000100L10064002OLdJrC0U50000040W100030606
+0C0CmSnD0W1000003YE000O0T0G0w0W05DSS34064h0C0K1S180o2G0GC0zkE6000Am0005z
+RWCpeALfo3UGm@1WWg2G0Fq100mjG9G000aW@18100eR@DeBLaUk@400a3vYpGcn2j@V5G00
+0QXtWFjR1004mby60S71OoPI00000200wP@AQysZ6OC8u@4ArbXs_39AV30000IT00elnC7O
+t000YQNaZnxoF00010400uaTUyLJ500010280Kwy6PlRGppF44F30c906AtWdxD0000I3J9i
+zV28W200014220W004000W000240BoR0400WKwJOClMU@K50080000mSC004zV5JHP000084
+W0100W20000e002O_Q300K004240G00000W000040WG0AoEXsmFfSF9woMYvdC0G00GKX6CA
+K51vd014GG04W044240804000040G02000204007@RW002WP_DOwP3cvcXTsD0008Ggt6iAR
+8dzZ1u27WDwDexV3000WimV2@@R00300100040000W00G001ScV30001rQl1LtPGqrW9V00u
+@@7cPE1000YG400kyt00002G013006020o41004H85601410Hc1GbuW1WGnSv@462FXt@D00
+80n90F0C00WG0a0041094Ga00Y40002G400000WeC51Wopx2S60m4sI0031uIp4G000000Y0
+080GJJ60001003080301008WXXdcJ00WGrb0020060000800G0W0000000Y820009800200G
+2TwR000W0WG00XnR60W_gJxJ0Y00W8000000Y4004UNr08W820G0000200004G4020W141uW
+8XWXHaWpKJW816WG022410H820000H0wjlBm6D0vnA108210400GW908Y8101H0000mCY0G4
+bGY2OXK28IGC082500W00W06H4mX8000H00YCdN7O8302_pFXFwg002850000W3000000410
+9y@l1W20W0004rNd1000fktlB00RW949HLJ64sT20140000C200A0000p@@C0WA08meJsv@7
+WkA1H0@mhd6y@V200m100WW0GK0uGU30010UWl1Y00000010Y80W200OWyT1000DyB6ENj28
+000010L020Iamj10WW00000jH330011IklB00ty0e00000Oi@l11DRmmjCG00000K7mgzCae
+e10140001W0s00000WI1@W10n1OvVC06O08pk001NTd@1yVl2u@0C800KG043d1020054G0a
+Sl10yzt0000uxl1eU@h000W3N0000000G60Wy@D0004000W8000W0000502c10C0006000K0
+018AWnmP0C0CW0vCG4020Y0004100C302kOlB003i@@pWh0000y@h0yV0U@t00kxV00G0St@
+02H45000A000IWWzC0WN1001uVl2u@c7m@b7Wlxj@18I1dw6sWBEI0iN3GzzO4WF3TulnItF
+qcF9Bzt2000Io300Bj9Hkv60100fAT6UwnWjUCuPJ94020avzCFejnDr900GoEeDLcX3FGmB
+0dJBHFu6081085W70000ibf1@mpGcu6a@k10G00Akc140010W01QMrW5vmOdz4UEFXkpD8jz
+4000GwF00e2z408040014O1_4005GG00000K0Gou60G00CDT30WY0yXU2Rk6IkTIKXc1ZuJo
+L_6000BBnxA0G80qtk10Y00008X00090800WG0000C00010000G10WH80800W000020W0280
+0wtlYxID0010Ga29iQl1vqp0200Wc5IusV3U0u00800BoR000m3k100Tod001W00800W1000
+00K00000022800010800a0GO4000021WuYl4800I00G0OXU34W02yfl1xpMHxvOyblA003Qc
+O@Xy_Ju7C3YZtWYqD0W00006000200O0G0002802108uAR6zlY1cDuw_4Urd1G_807K_000m
+WCGm8@oDEL4ZG8COQt7_Ui50000Y400Api20002fb@meU94F03LZdGnvIaR@3Fodm6wj0W00
+00G7n3AX000W8PT90800000804W0mO_Fiul1l8J20G0W_0F1eU3GByLyD93BmBn7_6iuh1b@
+d0001XvN2vYq40020qbj1faombx6SE@3000OTB00KOV20G00020000520G80GlD60010m000
+444000W0GW00000214@l1f0O00W1100002G10000200100G880088Wt9UOME3AsaXHJCeCr7
+QPzXLwD00400020000j6B_pW00020G0000003EF1000000Ge00040001gY0300040W100400
+Gy16KVV2V2TI1z6Sop3xkw1Ok2WoJV01G0o9i900084W00GA09qgl10WG20000002WyjR601
+W000000GG2IwQKv500upV60W001801O266svq003004000srtWA_D8mR3000030Y00000880
+000004000Y2uFg6UPOWR3wKtWGPIuVx46atWK0U00400200W1s13860GswFGO00O0L30G400
+W00SAZ40100MBa1f0m0W846000004W80000CgH2jp8KOuFe100eChGoh1Ze089YVX00qqlvF
+3WC1G00000020P533a000a205H1uny_H10e9u@V6G08HH800WGA65X0002f81Z5OGA0K45m6
+px750Wq_MmJ02000W4O2X0H484v942Y8WGa1Y08Y08Y0eK0e8AWDIfcpmzrAx16000208100
+000G3060810A50AY2m3004W20000O00y@l100m00000A003850AY2W40600008vJ58180000
+00050400m00K00WGA060200850AY2O31I0882a0100WW8H80004824X0W4OWf0GHK0d0000C
+LWe09H088HI0000WW440000a8IG08I2Gc205H1S20008o39Hf2O00oJG0000Wa8FJ5m0v9I2
+0000add0a205H1inqh6CdXzt7iVx3@lUH_1op3uUKWa2_4000c720WIJ_V1fLNm6Z0891000
+@QwWjOhG004Ph8umn_t0q_2md@ZjjB3f0GHDmU0uJ1Oge@pDJtkdX1qZ2mFqZ5Bw31aQGseI
+iWS5000G0600aOzRN_NHH@R00W7iPRpcxdX0IzeiV90_L0CGs@1iz@@S@tlt@x3_V_Y@dbVB
+XacGAf9a2055mwd09044140000GG400000241000W08mK0aufsz000vm9000OS2G70CWpSSA
+509Y1z@NK@l5s@Nnz@KW@@4v@BX_@Hi@F4y@@G@@Eu@V3@@@@@@@@@@@@@ZZ@@ty@@@@@xL@
+@Tv7_oS3E2NYAkF10000Om7W9u7RzV900000080OjzJW700y@@@Zf@VOy@@b@@@@@@@@@@@@
+@@@@@@@@Fo_@Xq@@7@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@07TT5@@V2000OM000@@@Vs3@@@@@@@@Vpz@ly@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@nz@Ny@@ht@Vw
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@JB8W0000000280_@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@V51Qp0000000G0m@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@hx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@k
+2010v@VI00C0y@lV4000EA84Y100@@l7008WU@310W8_@@@@@FF000W_@t0000eO400_@@@8
+5_@@@@@@@@@@@@@@@@@V60G00H1W200004120@@R0eZ3W@@J3000OK0eyD4300uu@@@@@@r5
+0W0m@@6000W8AWG008000u@y@@eGUu1XKW2255f5K2mB292H0p02u11aQwi8000X12FY6504
+00W03001d75m9E7000H00y@lJ00y200G5G0Wy7001lA1200G0070C008000H0002000a@708
+H001000200ye0E9f0gQAR30C00@@RWeQqaH0O8A0Ca00Co40000Gzt@@@@@@@@@@@@@@@@@@
+@@RC00oj4BRP6gV_@NQ00WCGz1000000I00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@lu@lB@@@@@@a@@@c@@@@@@@@@@@@@@@@@Ix@Vq@@ZF@@tt@lz_@N@@@@@@@@@@@@@@@@
+@Fvz@Fk@@Y@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@NE0W00X0
+0HA090000HB00m@@H1W00eQ09_@l50G00@@d00WVfa2q2020G204y@F9D1y0048Ww0I0000D
+p00W@@@V62L_@V3WuE0@@Jrd4CK3W6@@V28L0WS4OhDXGIA8ji7Az@V30100y@VH06Q0_@@J
+00Gii700_@FV0200@@Z4eE0Wa2kwf0a000Gw200u@Vu100Gz@VKW080_@VU5G14XoOp@@O00
+0eu@@v10a0y@VK0600_@VU00419Xbp@@L0eB0eOce8e00aJaJX7iq@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Voy@Vi@@jX_@Qg@d6x@dv_VPm@
+Fcy@XH@@Ns@t5_@@@72020W@@910WGUI1ac3@@@@l1001W@@t0001mz@90000pp00Gp_@@@l
+DHAy0OH4W622y@VF000GxG00u@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@VCkM
+_Xp000PnJ4_sEXRyM86WHU0_@NB0800f0Gn@@OW000OUl7Mup0mUB0@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@m0200u@V600W0000Y00002W1000W00@@V50G0WU12PJQ96c_100
+90@@t5100Wvjsu@@J0W10y@lV00G0AuMYK0C00W0000W8000eC400gEGeb7gu@@DoBpW@@JW
+020G2QO04000008xAzTbKl1f0GnUzF0W02OqU6ojd100I_PmrrDS6a2W40G00IZx12G00@Lm
+mfS6ypk1Sk20E_Vli7aeyV9000upR00eP@C1Y00000000Gup@@@dQ8CRVmGUBC0O008B0F_@
+7li8D0100000mf85KC@V6000300W0000G04000W780fHUI6@Z57q3W0810000000WtB00mIC
+my@@L000I_@@1000X010000eod509@@R6044W@@V0X0040000WA30P14o@@@@@@@9I5rSkI0
+08J0800000W0000e6700M02cxSlwug4_@t040IK42008aY000GtS4bz0000000G4200000G2
+X0H48490I181000G4OYG0H442P000i68XGG4X@@@@@@S80G2y8j1fhvNM6601000000e0W0W
+wCI85W70Hy0Kin3DRKn@@N1a0100WG4H80004814X0Go3A1WG400000I1m00004s100Y1GY@
+@vHE400S0XJ0000mX30000vW0E008ScJA0KW00mX8900008F220000IYu90Y8100u@V30GU0
+a203OE72I5m00447000009H220HI8Q0L29GY@@@@@@@@@@@ru@FD@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@hS_@9h@Vr_SkrFXBvDu0TF0h70000000H0Gvna01000080G3060002040
+0GEyX000GyBu40000s_V2h@RmamF0Y00e@U623m0000WS7000200SRG292amt1F008000400
+00WY2@D04000010mk0U8jWAY9W1001095a0GW0Wo0UOor7ID8100sK@@VIW0FKI063KBny26
+i@T2pM@Gav6qPk10002Qsk2GDA000G00WG00004es09oHW100G040004Y01G00000Y0000W0
+W204f@pG8390000SbX408W0G0010000nc2C00004000320K00G000K00U@t008WW020000W0
+ULX1000e2Um0000yy6e0_@F103W00G086VuXBTD0WG0mq56W000uL2300W04Hk14002Ycm00
+00W00G20200000285Z400WmCbl1004G_em000A40500000W0200100210040W00804G01100
+0K00500028050022W000W00e0000100mqFG014G02f084008e000001002mh0602G00400A0
+150802005K808880G0006e200W0G0400056OW1WGGK4W8233WG4000GZ080WGv460G200m00
+000400084026800000GW10W0240020000WW28G18000K21000I0W0G0010W002W02G0120W2
+0W280146W20G048000C00O000G0000L12021G0C60G1001Ae000GG0e00003C0000W010010
+G12C080800A03CGWG0W00W41O0008010204AW2CC00eA8080081020GG0P000G944040OolN
+YEyn00m8GW_90020004Gm_nCKhV2000ea4G14AW7l9RGe9600088x1300000010f4432yd14
+0000W00W0100000W008808001Y00rqRG@o98008Or@7800401000GO0Gl@6yhl10800@@t00
+0Agf@d010G80G00r6aGA96y4l400W08004002G0040Gxp6abH28000kxW1002GzvR0000XYe
+J0G00GMq6SRT200084000q_H23ay00000Xd40HOd040AW@NC00W80000eBOI8h53_oE1400G
+W00WMDv14020J_RmK19m0o8uIR380000G01OmO3MKn008000002000C000G006G620200GI0
+400000W0ay@3fhO0980WNMCW1a100000a0204020Q49XXNI050G000W002000m0o2TnWe0C0
+00GGUB9aC33NeO001WWRPO8w@40000s1W3tuO0000G0008fSRGK5600400000bZ90WlmJ00W
+0W040WKRO8Md4_NnWiPC000G2020WbPa8yR34W00W020000mWY1OG090604198400WG20Y00
+04000010C040020000104800C0GK06GLa4G040WPG10004102000g000W006020uYv600WmL
+000020000003Ac0DW418GW04580020808200KHJR0004810W20010YKm0CGC1K290WW00G2H
+08AW40000crY10001000100160000010QG084H088400e0010360GGm@@606020020GkA6G1
+000020090GO0400000WH020AK0085c4000a00000eG8W008WK1C00098045G040000G1W0W0
+kTX1W001040000O0W000040G03000G008811o0042200080G0mBNC02W0000X010010W4100
+00300A1000000e4aKW3KaHb0GO18400u@@440008008PU43Y2m0bG20SGH2f40X9W008A060
+0000000dpa0Gg0CW40KaY0g400BW@@PG4000G0WaK1OG9a40DqWGA10O1uaGmy98800e2Y40
+0W110W8G84000G00C000@@pGK0IWW28000024G0W@@V00WcSg0F002WG14008GGaHZU8VW74
+4002m0800G4GK0Cy@@33wOm@@Oixo6@@@0Wo5WxAC8b832IIYQeseVWD00C0000a09W00000
+00C00@@N1G21WO@91000Cl108W000tKP000GYtHOOZfJ_@t36000Oy5GP00GbzW1NvZHK0LS
+ok1000SIyw16W00f2mmoNCaz53v3ym@@9000gbrQ30hpMBTcjMQjLhqQhL300e300m500eLM
+dDOiERkqPsSfrMrIhjMTK0deQ00041KRg0Hzen3rNLnFP6iG@3DWzmdz6000Wnu00mpN9yTl
+13eX100GWFmI0e00mEOF00408ID36Dx1008000X0_@d120200400MbO300103cP00040W000
+00Ys38JY41I8tB3ESp00010010W0G00qNX10000@7pW5oIu7f4Awd10800p5y0000XMpCWC0
+0Gw_ICwL2xeP001020000WzO0M@@1G0W40020AKZXdvCOJZ7AMBX80Ge9E3oYhYicCeA4OAc
+B10O60bibmAQFqPt3000010405ZW10840000002W0uoA6_ep0080004A00800008200G0010
+0004G0W0G20000Ufd1jrP0800GW002W01008080G0002G00000G0W10080XQtp0840m00080
+8G0000Wcj00GxS6e0000Wm40G10WX4I0014X0200040000W000A0I0410K042W0500X44c80
+P000O080000001W0040000G160040500004Ga000002002W000200m010G19zF3080W0G004
+8I02221400200b0I080000I4K1028I8144GG08b1G088000000W830G140W00X0400108000
+m@60WG0G14G032000G000820K2400201G00K0A0001G008W00G1140e02W0WK02Y04280G0G
+410800W480I0G84I2G0X0W8o0K0420100I2G004W8W0m00O00C002GW02008G500W08200G0
+808W02012001WGW80m080W00G11KW80020YHXWG06HO480G00i814u0200W0002I10G0X040
+49W01C002000Gu30800GW02eW0000240028062020000200A80008020W080G1801090470C
+3gW0401C0O12a12e22Yg20H80Q8Y0G8W1mGe4KWG0135G242008WW0202CC18000W0080001
+y3e120000040a9e10404c0nWK1D0082020010000080WoamW90C00010000EH2002040Bhn0
+00S0G100W001040000048028G1W00F5PG3X60H420G0000G0014003JamMZ9040OOXF3YAB1
+0500Zz9HJ56409300m00G0000100001Gc76SPe1nCQ00WOC0040PHQ000080200PCPmtU64B
+e100G2IQa100G0WG80QupWUoI8Jj4G00001008UH30080108H01W00002400W00041INaXe0
+I8NB3ETCX_pC00W0mRb98KN0u@@4W00aKAc1d3oGM09aXe1zeP0W0000IG00000840046P2V
+DQGKP6aFc10110G0000400000O0m00WDvCOej70W1a10900041mGPC4503000u5A10002W8q
+i4G80204000G0000W4WX4J89D3YFZX8BJ8AJ3cOqWqCD8gJ90800qD935VcGoc6CYc100020
+0Y0ik93LWc00Wqxl8JuYE3W000iSZ47XAX080WV7J0080mQc9000GW20G0W0800mGn0G1480
+241W1000004606WtFD87p44010W010G00m0Y50A0A0C08W1W00G00202W00rzd64nP20LI40
+004040G00CGC264G0W400G1G00C0X0W0100I0008WO8I0200W20108003860P42081W04X00
+01O80e8tCexJ3ALm004004G00000206P0We0W0O010WI800G000C000W004040C0G0WupC08
+28000010CmG0O0200C410W4120Im9Q60G08080Wm@@68F10AL0300200aX4WWYW0O8000G02
+04G0EQn00G088800oFr008W000W0GG0IW09G1012000Y080e6P6O0W0W0W8G00G00G2W000a
+18qi48W6A0GbWK280o@@F00I4000WygQ6000A194u0d0K90K629WA10WkmaqUW0Qe91L20e1
+00@@@00810000nPgb0I0G3Q4eI0ei0UnnWGps0W4E020001801bWQGePF0W018_C300W0O42
+0001e02000Y100@@p0OSEeElC8eM30OGG0G020100WGK0Waqs000A4O02G1G000045Ed4ZWO
+DeYC3_@lY4SJ0000IqjR0000IB0200Y0W@@3vGG3_@@aZqC00940000008G0F5K100010I00
+000W10088W00OjtD00KbZ000S4N30300000GgnjDw@rZ_Uh8qi42LOZe0O8VC900000yh089
+jJgBEX2ZDW300GeP6@@@@@@@@@@@@@@@@@@@@@@t0p@BuyV2G@VWq@5Gz@0M@70s@@dzV@Rs
+4ZkAtqp0Wh3W62q2000n2k9SPD61n72000CI000p98tBZg0000000CJQVEr@_33sRGuyLKO_
+3Nzl1m21WP1TgtV30280y@F3A000_@tWaMD000000X0W@@J0002uk@I0000AxU3000m9900e
+@Gd820W04800G1000W1000g80150020W0aW0G80C200102008450G0A0G00G00800Y00CqEe
+J8Zz401W045V2W00000ual_k11Vomu@64Ek40001E0O000W080000002i0F3Dnd00003008W
+024Ocuq0G484e0080mW02G0040820010P00OK002W10W02G0040G80W860000C04O0R4tWAt
+D0G0000004WW005oR0O86We@DWG00mXfOaKF308000G08qdl1BdoGs3FqOl1BrdGf16qlE3N
+cg2000Mf000fRmJ56RSRl1001W6T@10064f@Rmf@6000G00W00080100000XG06KD1040Op@
+R000GrCET20008X0WWwzJW000O7@60006g5Y7YytWayP8tV3ImN2n@C0ZX6r3_6m0m008000
+030WAzPeJQ6Y28XR@D00G8Gf@6yel10200o7t00008_400QDrfdlJ0010mT@6KEE3D_R0000
+mrrDOtV3_JeYl@J00G1IygH1808000050O0O8028000200C0000O010H000100002040005G
+1apl18080000C0O4W0C001WG0A0104eW0W0800H00000G001WYWk@D0i_2mEiE10Oe0080mI
+z602050KWW0021003120CG00e000000PiU3040W000G0000Ji36W0G8000C0001080800020
+2ssWoxD0000Kyw94DpIT4pW0Km14e400700YAM20001tfd028G3E4WI00C0shM200KgNF458
+00WzyJ0001081214004KG46MYvXe0U0401448000eW8VIB1eI1W9W@F2vIZkE100571EXHUr
+L4rF3WmS0MVsfehbu@V3YkEae0m8263gfcg1hyeIXA2S7300cjPgVIBP6KJc17Qn0020200A
+0brd00000G0200020Jjn00484040000K00000440010000008KnnRmZxF00010W000000202
+10W0080800c8W1W0000V8048G2@fO0010mafI85U3000020030000200200218T2O0800001
+1000400400i6V2000WUht0011GJhP0801mAxD00G0qrM602W0ey_AECm0002W020W008G008
+02400nFN6WM0000008W00et1C0000HI1FydW1WW00MI81G0043wRGt0L4LM20004g3pWwoU0
+W00000WYGpK10W9I4Fg0G0W0G000010WZ@D00G00000G081G00401W00a2G2hbPW000201G0
+DbP0G000001m2020g@t0W00WVzRG9_90W08uLh4oOmWUpI08000mw7WV@D8vVCwRpW3tCeoj
+G00W00G10X8002G0480008410H040004G40LW00400400280X0W02900K809T03G00W2K000
+8W20011Wu2IOCE3kpF1000Cw200Yuf5084280020000W018OsC380W40H9040000K00G0084
+20Y808WG04010W0680242000W044C08042G014W02e004GH04eW12O0000008G00G15000GW
+208440GoiB10040vTb0001000T4jnPmQWOiCe100200020CEe10K040020000G0080GcU604
+44H001000W000440280Uep0800002W0o8q01020000W6IJYrqIOFD3oU8XAxU0an0mS@janM
+2B5OmER6iZd1T6amYY6q1e1HA_my79000W40080A0020000G0280000210000W0OEW9ypa1f
+yPWh00WB2d11W0mQV600068z33W104K0e100C06ZZ18000010G0400G000wgoD0300K0f1z5
+Qm6a645f10Os8Esg504000W000GW0040100200006WhwC0100GJ56SLO28m0m00G0000110W
+0000a08080@@R0G0WWm5J0120GeP9000WfOn4MkZ100000oR0IIK54G000003G6000008000
+0oD16CeO21wnmNBC0G000008GlYFaXJ8pQc0000Ev300@@R300WG0080I0IG2VZ10030HbP0
+G4W1070C020G0G00q1W1820WI0p02080h6a00010C0S0W04104W004000G0I0W0100B00080
+e00002c000G00000hciCK9d13m0n2G0W0G0050HG0013K0u0900200WX1460008W2G0o00GW
+0W0800GyFg180GH10K0KGf1xqP08000W00200000A0080b0042000008020WLcP00G0mEDJW
+001m@@60M_1eWLLW042O000G0040D8GK40G442010810X01q010000GO04e2008000015000
+Iu@@4G00Am10KQmr740004QM2TRc0DS0WGpU001000G00000aC300_@d4000940000C00e40
+00000WI8H1Au0W4Y43W000d2G28f20I9qWXEP04G2448G4G422Hbz0002YZ5P0G008W8W000
+G8I010_@@100Pp@@V2022W2cI0000eW0WG0T0322014I90a2G20000C000y@@@@@V53G00C_
+2eC000ohpW0km8qi7AhrWuQD00Wrsrjm4Oi1v3O0GT0Wy1C00A0G6k64Q6LjOXHcQa0H00W8
+00GIQIy@@@@@@@@@@@@@@@@@@@@@drw@Nr_VLl@FLy@HD@@Jr@tqz@Bb@VIxrLJQ2@@B1101
+Wtu@lD@@@@@@LG@F5r@FXz@IS@V4u@3H_@Fe@l3x@t0lE00csJylHGvR31000080G306yuT2
+h7aGTx6SWGBc100_St900089sRmY_600010a00GA0600G08s_4kzd400800400008nFElD12
+OGg@64D0993m38G0WMyj10G0GP5OGG0W00200120008G09@R000GG001G3zRmc26afkA000O
+MTtW__pPAU3Qa7ZlwD8j_7A38100I0@@Bn34I00G1w@@VAmmWxyP00020014GO810040000W
+00O00a00Y010GG22W2jX8n54C00018XV3M5v1mE90lvRm103q0W1@8yGK2Ly@l70100W4841
+40000040000G0400lyR00A0WCDCeF3300009000OO33UPWXTDO8853S2004fWJrUO0008WAF
+C00W00G2040400G150_ytZjFm00WH7000000G000W00008KQI2VTamc4Fiy@91mm00mW0000
+0C0C000000012ei130060y@lA0SS0_@tfB7Ce1030010042000010I00WqKC0000na3Fy@V8
+00WfrB00aKGKO0000006WC04081G00004000X4000000u800800G0W084W@@R9ybJo9X7100
+1@@dmvB6C@I8@@@0WD1W@@Z204040000CGC04072W1G0G440060409040OH00AWWX001O000
+6uyaM4400y@lJK000W002G100G02W010G4CWG4840H40181014000004WO00820G0W0_@N50
+0jo@@x400W400000600K2000000G9aO1DS0G2H202H1WJ181a0009_q2eW3W@@T2011mTB64
+na1220W0K2C8G0085060000O4008I1L_@FgcZaeDXM_@N200P11fGLQALaKWY@@d00GG0000
+00m0000Zl0K30W2C0G3Kv48LE@@ZXQjMR0OTsqgpifLhjogMRLr0004100Y000Qrwi1crPZh
+Ep6NjsAjQjLL300@@V20WCe@@@@@@@@@@@@@@@@@@@@@@@@@@s@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@lQIvA008xz@lYhPZ1Os7W@@@@@@@FWy@2C@V0q@3Gz@@N@l@s@t@z@yZ@@_v@hl_
+@0vP8Q@J000mx100u@V60000b00195C6000YV@F1yF3m@@F0200eFWJwG@@lyyxq@P0DO0CO
+lM1_N4000OW000Dx@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@510OJUli@@@l8000eI2
+0G_@@@@@@@@@@@@@@cx@Vv@@@@@@@@@@@@@@@@@@@@lDwh0100chy60081AcEXUfJG000000
+02D100LKN10G00H000@@l100WWugVeftA4009y@l10884010100G00004G_N60W00eX03A9W
+110GG@@R040011008080000qF45G220W0o5WXi0CeJW48001KAG200X00010K6W1200WQ78X
+Vib000400O0WK3U8SO6o7U300G0F8O0us2WO5I8hO60G000120u@@4W0080040ezW480204X
+03T8mm@@L0000100W1WW04800W020000G0cZS5G000002003G008000080040000404000GP
+2008IP9000W002W0G00myuOali1XHO08000W000ZJO0100080G0f8KHk460010uqS3000A00
+10OS234000iuW10O00000108W0G0000080000i0000000CW30W0u0T600010W0010002008K
+0440000bs7t084000800Uj8X79C0809000G010G020G0YjE14W01G0000034W0000200Gs36
+0G00004G028804m018002000C000G010m000W1i4008W04m0210W20088400G000K0600068
+005800001000GRX880K1080440H1100OG116002C08W020W044G0801400004W028814G0FK
+OW02f0100020X04101cqk120001G011000G40010G02H0G060120000e042eZR340000000I
+004042011G02O01400200050004400O800mW64014Wm0AO020W20m81400C008OW50003C0W
+24010W0000Q7509WW108W04031100CC02400AG038X00W214O01O0100064G02IX08GX0000
+CG018W4A8000G02CWG0W000080G0040181W1K0000010W40G084SJn3@VmGYu6i2l100W125
+7ZQwJ00030000000aI0400_kF1000GLUOGKt600G0u3W4MoN201000440_@t0G080htRmzz6
+0a00SqS340008080G000000241020o000o2910000020302084XY1zWO00W02C0080020@@d
+1W84000000W00sTY18000O0O8010W00G0SK@I08008AW40020Y000018000a0000002020YA
+nWV_D01000100000400002MxtWEBOuaT6MGGYOJCem530W0000024j00GZxL000I8O03_@l2
+000G4040m000040002080G0WeSKCu853AL8XZuJ00M000010000WC1C0MNnWCrJOn560G26K
+oY10m4m0000atX1004zQenWjvDepz400H000G00440Gg0604020C00msx600W0ezT36J9100
+11xrO0001010205ty000G000080001Ib9XHJa0G0004I0oEAI0220mC5C0KK1Ouc7000GKDY
+10400Ypn008007oOGMBI00004000X020WoMUG001Gu9600W60C5W00W28020Y0A0e0o8m000
+000m0W0040000W0W1GIXm050GW6061W005088KIW040a0P4m0000O8G0mWGKdX1000240G00
+100000m004010AI1080X01e1081W20Z0p8E6ygZ14O0W_@t0C0W880864008H880mWW40f0X
+80100008G0GW020000W08000GW7DI084004g00XG40ag0001000AW09E764040a_H200e210
+0414f8CA0300W000W000mR4000002Y00002kvm0090800000OW001Y10MC028000G142W00W
+0500qfg1A0G0Ewn0G02100W8000010fG00H0Hg0604W0O@@7041G20fAGKO14I85000000WK
+00m0000W8fS49W80I9GeY2OaGQK060006200W4I000G_B0P9b000W0000W00GG0WK18hi28L
+06000aSmn3W200110I9GX420DIQK0Fy@F300280804142HG008ujF9WG000022GK0F02e00H
+WGeGG0We0O00G88000Gz000DFn000WGGW0001M00002cAm6H1O0001GCG21l9bGg06y@F959
+LHzJLG00000mww@@Ry1b10000pU5Z4fOePfDE92ZMgs0002OIL90au1u@@D_yr00040Xsmmv
+IFy@V2200W@@N2003000i2GVC000m0eL26Y2G2000SH1yGQN60000b400m81CaAG5t3BHg0R
+OrQhbMrMBTsCNwivQCOprKB00G700WB00WLhjMgLRjqQpSfrch1WjMJhhMRK0aOjM100G4Gg
+0HLx09hO9HqOIaHM20VH2YqgYenOuSi4kJp0G004Z69HePCySb19QPGpNC0020O1D38004KE
+a1BezGWD9KnZ1NjP0000kBYCOYj4010000040010O6Q9S3130024M8pZAtCOuD3MPZXgWOO1
+63A6JY4dOelD600y144G5f7Om0KICnb1bElHtS60040Or96_xoW8uIuJi76cY12000VYPGvN
+6quc10aL0ATYXPkJ0G10mDUCCad1hJPGGG6y@V5PYPm6F6iPL209010000W00600K00500WJ
+vCW0000028210G0bXKn9S60020012000002EAG00O00W002Kxb14400f32A0G04024W02001
+02X00G040W600000W28000800081GpONHSV600028r53gbZ1000G002Y00040W00004WmRTC
+8004G02G0020e4ZC00GW000W8010100820000000e0040000yjy_C004W042003000200000
+4G000210X024010000809000GGuO0001280012800KG0G0X0W0010W02e0F34G048002G000
+018241WG00WW008000018000WnAN6000100C2002GG2m002W10ULm00003080240320140W0
+G8GbV604200082uKU6000000eG04000GYA020040W208064000C008G00m20O2A08W62G394
+0600810G2C00ZaWc1007CW006W02G8Y8X028010G008W00W000000801GW00G050000W042O
+000Oom76ao000W2@@@mX16al862W000010G0000000Xa500080000010004G0000W008820W
+d6PuE_72JyX_4P0080u@@6020IOkC68020W020G00000G200000n3nW4000004000G400460
+C0GeS230O00C_e1ThR00WceZ8Je2H30020ctc1BzaGZZ64be100G0a0400000000X0010000
+0G00400014yee17cPG7aCqve14002G08084W08qiA2aa104084440wABXp0DG833mgS9SNf1
+40400000W00G0800okSFSTb1000004200010vJI3008004G0OGk7wfo00000002306000080
+0004200WWGpOuFJ9Mrq0a000XcPGyP60000ai00GhB9y@V2001W000ZaaM2W040syuXjED00
+0a06000X000PgP0000cyK89Hl4gtqW1BOeu56_@F1003c57nGud6m000OCJ3W040yvt6VzPm
+ddI000W0080GC39W0W0W00CWW18000W0a2eHo7p00W4W00000W0C00060W000W4000I0G080
+00mm08211o0C3WHW1000G1010408000iq18JI3_@tWy0DG1000a00040qW22eH2DpWRJD042
+C0W0W002000e000300GW000HI18L000AYCG6560080045W10000G0800101000W00W80G8I0
+002101053eWH8qC34440qCf1Z3c0400010X080090040880060W08000A0144h4QWV100000
+0G040K04000W0000m0WB000GWWW0dW10G4200100034A04W5DDuv66m0m0W0020200WX1284
+000000W0a0GaGw38200021G1Y4aI0m1508XqGps000G00010I5WSo20IYFx100Fa@@p0H000
+G10G010f4IKGbAW1000G00035Qc4000010e0Gg0WIM8IIIQC4Qs3000G040000G8N0A0GePI
+y_A30188100WWQ008_i400047Vc10jE0_@@14H0000481I80aac10e8000H0aac4WW08100W
+0o400226mTj6iUh1Xcvn5k9qgw60W0000002200ukM3000WJP00OV7CYopWJFaOf79soqWFa
+suhn7m0W4Ka660G090200qrh10800H00000ugQYsAgjr0C040090880404wA6G880W400006
+08L53EsKYynQ9_i7Y5910810P0pGePm860W8JD3_@FXGpkz@@@@@@@@@@@@@@@@@@@@@F3c@
+lmv@AW_V2f@ZWw@7i_l1i@NGx@4uc1K00GRuRiOS2rYp0ON3X52tOrV3_@hYEvJ8AW4obt00
+0G0JR@GVqCifU2D1OGW09Syl1npQ0W0CWhyJ08004000OC1001pNnZi6iYl1T0OmqtI00002
+080Gz@945G295yGRy9iql1dpRGB0CC7l700aJUV7ZOwhOZS9_acXxyDeRoDwatWZzPO31304
+0001G0eCM3024W00G00002qw@602000a51mSzO02G04800000100110ppZ180100018tARmt
+z6SUl1KG0000000K00e3030WGGSSl100WW80200100000081W0000GW00500000201e04040
+0GDWo@JW08COY360000YK14W0200000020W01G0084488@V30G80ael100005G00yOf10GX0
+0040040000044W0002800JhQGVl900000201a000800G026Y00H40004246G44000440G500
+XG00G480W0K100W0202H40HG11O4KG4W42m0540X0m0880012Y0O05KKX820G40G5Gu21400
+000k_WG089G0O21G0X000904020G04K0008W020044G0668W00G00400080144004XWWG000
+0020G140000004G000WW4000H0000G00810000001W0220010001a2G40410004G2H0G2G01
+W10WH0W0420SGG0G0G1000280HKWW8847GC0928G18m0g0K2m0W50X04K0035828G1G03O8K
+0nA3804000Orm060W00eG00282W111G060034m000W02GG8GW000090W004W08C006000GW0
+A406m052Y0G000208M8100G004G000Y4204030000800AaWzJG42000000030G00000003Dl
+E3480044000000ylT302C0000W0G010000aPIC00004O000002494d0j000JW20W000Q5tWm
+rJehS9skWXAeV8wV96nF10W0W2G002otWL9Cen@4kzt00010000100060i0400000008em@V
+00Gsn5@F08404XC00GY000G00LrB100401010d@R0090100GG00G2_vd1W00002003dFXKgD
+0200000Wes@DuMP3006600W0e0S3UgtWG_D00100820W3@VGy3100000080GJQdGqr600G6O
+oV6Yjt000X0@@dW04044000TxRGSpI4w@30080cnt00P0O00004080y@V500W4szs040Y9XO
+R0000C000eG500s_EXV@P000W20G880O3OP1aGinFq_l1fkRWW0maajD0000Jj@C4YU22010
+YxLY9kb000A0080WeGC00W006000400000YbIWvXtiPOFd4QrFX@yD8hy400G00G080042Gn
+_6Cnh10008G02Wyxl1K002G0G100001200Y00W000m0W1000W8W0WGA00A00070XIrD0G000
+0G0G1e00aOO08I00e0018wQ3wyt00G403TR0020G000G8008G0G111000K0C011WG41oCW10
+0sHm000000081Y2m000004W0WWm0088WWW84200920Z230W001030W4uk14000m04000G000
+04080G10881WG0204250G000GA0Got604000040ohz6O004Y0W0200800080e24eC2GG000W
+2G001081A000ms300gCmWCtD0004080G000Y2W0WW0IG1200000034000001500000102WSf
+X160004044000W0700WW0H91240000W04004Ra13pa00000W100000f04SG14G88@86020G0
+00GiAV60080Gg0WAlQ6C00002I000G9yDpI000aI0H15W8XqyiJ8mQ9wkt0I5GMW29IY2030
+00H0008G4K000GWW0YW0104Wu@J0088mN@F04YW20002e39aKgJ0000S8s60Sg08Kv7G0K00
+0G052XWGWrU04Y842002G18aehRPTOO0400yyY1zPxHCIXizI558Z44000002wp3iKi@I4TT
+2000uM3NYVyP84xD0TH0adz6f_lHosCK2F3HNBH0s94TTE2RrQpps90010dzRmZv9a0F3000
+800204Q66NvamIxC000j9pT9W020C5K5XidGnvCq_569mRGHz6ikk17bR0200WxSOutS6cyt
+00WG0Po@0mW100G000024sCZXzuDOEj700200008OUU3sdFXGqP8B79AX@XN5gOTZG000Ww1
+00eN_700X4SVF60090YpHYoog8JU60002C_l1W0000G001010ufj4UYdXa_DOBW4UYp00080
+00Oh1008qJ@30080tCwXhsO0200mdy9KtW1@vdmqz6yfl108000000_ll1vJn0041000000W
+0GdYB100W000220000221W00018080810HW02W00020qHd1057LMwt00G0001000W0e0000W
+20000400204G0A0000G088G00H00qRU600020G04000G0Y800x_R004G1280G2G0W8020000
+a00G4W00018WO00000WL0008000GW0W0201000G2001oJp0080H02XYK0000040000KqxC68
+02201040Y00208008000000I00201H0L4000AM3G040001810I002002GKt@60W08WW28000
+000Im0pDP0060110GW0HG00001WW00Oc234G00GW0800000120Xz@CG0040G4W028W08GW00
+002H200W010880W0200000810024W0202000W0GG00Fm0B@R00u00400X6002W11A0212000
+HW0G0XrBCG0G480O0000Ht2G0400G4800OuGD304000060W01Ca0O0400IGGW0G0G001060W
+2380042G10000W44G000G1H4W0080140024W04GW18232001600280040mj@I0002GRY9W00
+0u9H36vp00281TEnmOU900OW000040W020102090000G02G000yp9KQX604000H000058Wk2
+VG0G00W00001080004000W000G8IG6E7qW32J0008n7X9Cee100040O080W0W0008GgY6008
+0W000GiZ6G0000020GpZ68082000G000QmaKI0000KlK6u200u8I600080G00e4H300G40G8
+0OGo7QLC18080G00G0008I001OqH3wHaXx5D0400G_O60000G480GGBCKNu30002wQq00001
+08G0kUZ1045_vUzW05040800200GMIa102W0@@dW100WCrC0800m60600G29GI3Qlq0020G5
+AA14020W004020JYApWq9D0G0CG6QF05W000X00002ae0O0eU3GRZ6Ct834000800W050GW0
+0OI_P9a8P50G80008080008V06cnq010205PQGAa900208mo4UnqWcFJ0100HHC9iZv3000u
+8B0Giqs3XXOmm3LW030u3I3o78XB9COvI6008000X2000C0800WD6C02500a400W810mG8WC
+2C00G08uS13AGp0008000410080004O000X480H2080G0Q4O004000G10GG0000OK0000040
+66tq0W80080000K10W8a0WIK000060Z03004010A0810048A03m0W0KeY100000038120A40
+3HSK06iGf10000tb811000O0O000040B09GG09a0102000G028010C010000WY4moN645G2O
+GG0mGG09u110400G_O608000Ok30G0004008BbQ0400GC0C00004H1W009WgI101OKPC4zY1
+3cQ00O0O0C000c2082G01m0024000W0YWGpaOjL38LCH9BW8vzK3GA00OG000Ga0GehF0000
+10I5Yio2eaoU8qC3_8a1002404008L9P9Aa89qi4C1004Qs3000001W000fIXMO16IC5WGps
+0841800040Y00GGmYo7R3002a820002e00044Cfi700CGEdA3820002G400001e00I_PICiA
+3000W01000401W008umh94Q69NMOmWKRKp83G00100000GT08_iG_9KY@@h0G01u@@RC_Q2z
+jaGK0U00a0uIN3000GygI50042srr0000C0G00W200y@V5zoPGxi9KtK2n1pGKPR4Vs6r3p0
+00Gnt9V8qiDIIR30110XcXH_O@eL00041iQg0Hz@@@@@@@@@@@@@@@@@@@@@d@o@ttyVzF@F
+Vq@nFz@xL@t_r@hdzVwRr10u4FpzDs0zXst@PNq7shtWOtbelT90EV0CYNQ3e_mgu6iUD6HN
+pW800Wxw5AM@ec@d100pZn2s5408WO2if_V60IG0iVu@VJGIa2X00mRj9ZqsgC70pE0JN_Vq
+d@@Sw@Dl_@oj@dyx@77@Vnp@t4c7rZPmnx9K2c4NjlXI00W_i7hTT30W000002eQB3sSNY@q
+C8qC900OMsTc49tRmvQdC7F3x8G2220Wr@D8MSL0o70iGEFfDS208010001P_d0800WczbuX
+k4wBu1000KO300wExX85Qvz_7weZXYyDOy@40021yzF6pvv100Q_@@neF0C_cB410G008W84
+G028W008@V3c08XE6Q98k40t90KKm60028Qzt00204nq7o_@60004W020014H024W24G00U_
+pWe@CeIlDYXJ20W04V_d0v10Wf9s8xE3AkuX03jfzmAkF840000002JhbOZH_CObF3AmRZ83
+ge6n4O0O0qce1020Wk9qW@@X1qJ1GPYK10W0W000GgZ6Kxe108200W00_@V2a000_@@400GO
+@@hLl8C0H10uSG3O2O000020800GGAg00exx@VgG010ikf1rRc0G04001H0400GgXmZ@@h00
+22mxDH1005G1000500000m00044080000IG24Y41GKiA0C3CBpO0K00W@@F10009b10W@@f2
+8000010001000G0G0W2000A000a00W0080000W2e001000A00u@@J00aGMKmL@@d0814008L
+8aABG12f0000000a2006000009@@h2Wy6W@@134800G04100WHlmQW000042009JqYE00WAD
+dy@V600qhy@@O000WRsbXE0Ou@VI0zw0KZIN006000O5W_O000W1G1Ej4x13O000_@t9hMRj
+qgsQfpcvIdDNZ1RkcQ100w000S100iQjrIjQhbMRcBjsSD0irQndg20WCa@@@@@@@@@@@@@@
+@@@@@@@@@@@VPz@Jc@@Zz@lT_@Nt@@@@@@@@@@@@@@@@@@@@@@@@@@@Vxo@p_y@hJ@lwr@dk
+z@eV@@vu@RU_@bh@Fvx@FE@@Yt@Vu_@3_@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@
+@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@@Fn0000m3KqV_p0020G
+0000WY00000Ca1W10c000004a001H0WG80G4408120K0106WGZnCaGI3C43W10W0010WGH06
+0G0020000q47Cm00200000C0G1H0O0000GoNLdWd1040W0000WDtXV0m@@3
+ ;
+' // Loading device with a `jstart` instruction. 
+IRSCAN 10, $03cc
+ ;
+D = 12;
+WAIT D CYCLES;
+' //Loading device with 'bypass' instruction.
+IRSCAN 10, $03ff
+ ;
+' //Loading device with 'bypass' instruction.
+IRSCAN 10, $03ff
+ ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+POSTIR 0 ;
+PREIR 0 ;
+PREDR 0 ;
+POSTDR 0 ;
+' // Loading device with a `jstart` instruction. 
+IRSCAN 10, $03cc
+ ;
+D = 12;
+WAIT D CYCLES;
+' //Checking done pin status.
+' //Loading device with 'Bypass' instruction.
+IRSCAN 10, $03ff
+, COMPARE $0021
+, $0020
+, X;
+IF (!X) THEN GOTO F;
+POSTIR 0 ;
+PREIR 0 ;
+POSTDR 0 ;
+PREDR 0 ;
+IRSCAN 10, $03ff
+ ;
+DRSCAN 1, $00
+ ;
+
+SUCCESS:
+PRINT "Successful File Execution.";
+EXIT 0;
+
+F:
+PRINT "File Execution Failure.";
+EXIT 16;
+
+ENDPROC;
+
+DATA TMPDATA;
+INTEGER TMP_DELAY;
+ENDDATA;
+
+PROCEDURE ADJUST_BIG_DELAY USES TMPDATA, MAINDATA;
+TMP_DELAY = D / 100;
+TMP_DELAY = TMP_DELAY * 25;
+D = D + TMP_DELAY;
+ENDPROC;
+
+PROCEDURE ADJUST_SMALL_DELAY USES TMPDATA, MAINDATA;
+TMP_DELAY = D * 25;
+TMP_DELAY = TMP_DELAY / 100;
+D = D + TMP_DELAY;
+ENDPROC;
+
+PROCEDURE ADJUST_DELAY USES MAINDATA, ADJUST_BIG_DELAY, ADJUST_SMALL_DELAY;
+IF D > 2500 THEN CALL ADJUST_BIG_DELAY;
+IF D <= 2500 THEN CALL ADJUST_SMALL_DELAY;
+ENDPROC;
+
+CRC CB18;
diff --git a/trb_v2b_fpga.ucf b/trb_v2b_fpga.ucf
new file mode 100644 (file)
index 0000000..83979a3
--- /dev/null
@@ -0,0 +1,640 @@
+  # NET  +<1>    LOC = AE28;
+  # NET  +<2>    LOC ="L2| IOSTANDARD = "LVTTL";
+  # NET  +<3>    LOC ="AJ18| IOSTANDARD = "LVTTL";
+  # NET  ADDON_TO_TRB_CLKINN     LOC ="G16| IOSTANDARD = "LVTTL";
+  # NET  ADDON_TO_TRB_CLKINP     LOC ="G17| IOSTANDARD = "LVTTL";
+   NET  ADO_LV<0>        LOC ="AC9";
+   NET  ADO_LV<1>        LOC ="AC8";
+   NET  ADO_LV<2>        LOC ="AG3";
+   NET  ADO_LV<3>        LOC ="AF3";
+   NET  ADO_LV<4>        LOC ="AF6";
+   NET  ADO_LV<5>        LOC ="AE6";
+   NET  ADO_LV<6>        LOC ="AF5";
+   NET  ADO_LV<7>        LOC ="AF4";
+   NET  ADO_LV<8>        LOC ="AL1";
+   NET  ADO_LV<9>        LOC ="AK1";
+   NET  ADO_LV<10>       LOC ="AJ2";
+   NET  ADO_LV<11>       LOC ="AJ1";
+   NET  ADO_LV<12>       LOC ="AB6";
+   NET  ADO_LV<13>       LOC ="AB5";
+   NET  ADO_LV<14>       LOC ="AC3";
+   NET  ADO_LV<15>       LOC ="AC2";
+   NET  ADO_LV<16>       LOC ="Y11";
+   NET  ADO_LV<17>       LOC ="AA11";
+   NET  ADO_LV<18>       LOC ="AD2";
+   NET  ADO_LV<19>       LOC ="AD1";
+   NET  ADO_LV<20>       LOC ="Y14";
+   NET  ADO_LV<21>       LOC ="AA13";
+   NET  ADO_LV<22>       LOC ="AC5";
+   NET  ADO_LV<23>       LOC ="AC4";
+   NET  ADO_LV<24>       LOC ="AF1";
+   NET  ADO_LV<25>       LOC ="AE1";
+   NET  ADO_LV<26>       LOC ="AE3";
+   NET  ADO_LV<27>       LOC ="AE2";
+   NET  ADO_LV<28>       LOC ="AD6";
+   NET  ADO_LV<29>       LOC ="AD5";
+   NET  ADO_LV<30>       LOC ="AC7";
+   NET  ADO_LV<31>       LOC ="AB8";
+   NET  ADO_LV<32>       LOC ="Y16";
+   NET  ADO_LV<33>       LOC ="AA15";
+   NET  ADO_LV<34>       LOC ="AE4";
+   NET  ADO_LV<35>       LOC ="AD4";
+   NET  ADO_LV<36>       LOC ="AH3";
+   NET  ADO_LV<37>       LOC ="AH2";
+   NET  ADO_LV<38>       LOC ="AG2";
+   NET  ADO_LV<39>       LOC ="AG1";
+   NET  ADO_LV<40>       LOC ="AK3";
+   NET  ADO_LV<41>       LOC ="AK2";
+   NET  ADO_LV<42>       LOC ="AF8";
+   NET  ADO_LV<43>       LOC ="AE8";
+   NET  ADO_LV<44>       LOC ="AH5";
+   NET  ADO_LV<45>       LOC ="AH4";
+   NET  ADO_LV<46>       LOC ="AB13";
+   NET  ADO_LV<47>       LOC ="AB12";
+   NET  ADO_LV<48>       LOC ="AM2";
+   NET  ADO_LV<49>       LOC ="AM1";
+   NET  ADO_LV<50>       LOC ="AG8";
+   NET  ADO_LV<51>       LOC ="AG7";
+#   NET  ADO_LV<52>      LOC ="AM3";
+#   NET  ADO_LV<53>      LOC ="AL3";
+#   NET  ADO_LV<54>      LOC ="AK22";
+#   NET  ADO_LV<55>      LOC ="AK23";
+#   NET  ADO_LV<56>      LOC ="AL28";
+#   NET  ADO_LV<57>      LOC ="AL29";
+ #   NET  ADO_LV<58>     LOC ="AP25";
+ #  NET  ADO_LV<59>      LOC ="AP26";
+ #  NET  ADO_LV<60>      LOC ="AJ27";
+ #  NET  ADO_LV<61>      LOC ="AH27";
+   NET  ADO_TTL<0>       LOC ="AL11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<1>       LOC ="AL10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<2>       LOC ="AE11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<3>       LOC ="AF11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<4>       LOC ="AM12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<5>       LOC ="AM11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<6>       LOC ="AL9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<7>       LOC ="AK9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<8>       LOC ="AP11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<9>       LOC ="AP10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<10>      LOC ="AH10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<11>      LOC ="AG10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<12>      LOC ="AN12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<13>      LOC ="AP12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<14>      LOC ="AP9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<15>      LOC ="AN9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<16>      LOC ="AH12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<17>      LOC ="AG11"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<18>      LOC ="AN7"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<19>      LOC ="AM7"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<20>      LOC ="AN10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<21>      LOC ="AM10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<22>      LOC ="AF10"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<23>      LOC ="AE9"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<24>      LOC ="AJ12"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<25>      LOC ="L33"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<26>      LOC ="L34"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<27>      LOC ="M32"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<28>      LOC ="M33"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<29>      LOC ="D5"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<30>      LOC ="G7"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<31>      LOC ="G6"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<32>      LOC ="E14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<33>      LOC ="D14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<34>      LOC ="AL20"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<35>      LOC ="AJ15"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<36>      LOC ="AJ14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<37>      LOC ="AG20"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<38>      LOC ="AH20"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<39>      LOC ="AG15"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<40>      LOC ="AH14"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<41>      LOC ="AL16"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<42>      LOC ="AK16"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<43>      LOC ="C28"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<44>      LOC ="L26"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<45>      LOC ="B32"| IOSTANDARD = "LVTTL";
+   NET  ADO_TTL<46>      LOC ="B33"| IOSTANDARD = "LVTTL";
+   NET  A_CSB    LOC ="P9";
+   NET  A_CS     LOC ="P10";
+   NET  A_DATA_READY     LOC ="B12"| IOSTANDARD = "LVTTL";
+   NET  A_RESERVED       LOC ="J11"| IOSTANDARD = "LVTTL";
+   NET  A_SCK    LOC ="H3";
+   NET  A_SCKB   LOC ="H2";
+   NET  A_SDI    LOC ="F1";
+   NET  A_SDIB   LOC ="G1";
+   NET  A_SDO    LOC ="J4";
+   NET  A_SDOB   LOC ="K4";
+   NET  A_TDC_BU_RESET   LOC ="AK27";
+   NET  A_TDC_BU_RESETB          LOC ="AK28";
+   NET  A_TDC_ERROR      LOC ="F11"| IOSTANDARD = "LVTTL";
+   NET  A_TDC_EV_RESET   LOC ="AK24";
+   NET  A_TDC_EV_RESETB          LOC ="AJ24";
+   NET  A_TDC_POWERUP    LOC ="H8"| IOSTANDARD = "LVTTL";
+   NET  A_TEMP   LOC ="B7"| IOSTANDARD = "LVTTL";
+   NET  A_TEST1B         LOC ="N7";
+   NET  A_TEST2B         LOC ="L4";
+   NET  A_TEST1          LOC ="M7";
+   NET  A_TEST2                  LOC ="L5";
+   NET  A_TRIGGER        LOC ="J6";
+   NET  A_TRIGGERB       LOC ="J5";
+   NET  B_CSB    LOC ="G5";
+   NET  B_CS     LOC ="F5";
+   NET  B_DATA_READY     LOC ="A8"| IOSTANDARD = "LVTTL";
+   NET  B_RESERVED       LOC ="C7"| IOSTANDARD = "LVTTL";
+   NET  B_SCK    LOC ="C4";
+   NET  B_SCKB   LOC ="C3";
+   NET  B_SDI    LOC ="R11";
+   NET  B_SDIB   LOC ="T11";
+   NET  B_SDO    LOC ="G3";
+   NET  B_SDOB   LOC ="G2";
+   NET  B_TDC_BU_RESET   LOC ="AG25";
+   NET  B_TDC_BU_RESETB          LOC ="AG26";
+   NET  B_TDC_ERROR      LOC ="A6"| IOSTANDARD = "LVTTL";
+   NET  B_TDC_EV_RESET   LOC ="AG23";
+   NET  B_TDC_EV_RESETB          LOC ="AF24";
+   NET  B_TDC_POWERUP    LOC ="H7"| IOSTANDARD = "LVTTL";
+   NET  B_TEMP   LOC ="A10"| IOSTANDARD = "LVTTL";
+   NET  B_TEST2B         LOC ="L9";
+   NET  B_TEST1B         LOC ="E4";
+   NET  B_TEST1          LOC ="D4";
+   NET  B_TEST2          LOC ="M10";
+   NET  B_TRIGGER        LOC ="H5";
+   NET  B_TRIGGERB       LOC ="H4";
+   NET  C_CSB    LOC ="R9";
+   NET  C_CS     LOC ="T10";
+   NET  C_DATA_READY     LOC ="B8"| IOSTANDARD = "LVTTL";
+   NET  C_RESERVED       LOC ="F8"| IOSTANDARD = "LVTTL";
+   NET  C_SCK    LOC ="P7";
+   NET  C_SCKB   LOC ="P6";
+   NET  C_SDI    LOC ="D1";
+   NET  C_SDIB   LOC ="E1";
+   NET  C_SDO    LOC ="F4";
+   NET  C_SDOB   LOC ="F3";
+   NET  C_TDC_BU_RESET   LOC ="AP31";
+   NET  C_TDC_BU_RESETB          LOC ="AP32";
+   NET  C_TDC_ERROR      LOC ="B6"| IOSTANDARD = "LVTTL";
+   NET  C_TDC_EV_RESET   LOC ="AP27";
+   NET  C_TDC_EV_RESETB          LOC ="AN27";
+   NET  C_TDC_POWERUP    LOC ="K8"| IOSTANDARD = "LVTTL";
+   NET  C_TEMP   LOC ="A9"| IOSTANDARD = "LVTTL";
+   NET  C_TEST2B         LOC ="N12";
+   NET  C_TEST1B         LOC ="D2";
+   NET  C_TEST1          LOC ="C2";
+   NET  C_TEST2                  LOC ="N13";
+   NET  C_TOKEN_OUT_TTL          LOC ="F6"| IOSTANDARD = "LVTTL";
+   NET  C_TRIGGER        LOC ="N10";
+   NET  C_TRIGGERB       LOC ="N9";
+   NET  DBAD     LOC ="M28"| IOSTANDARD = "LVTTL";
+   NET  DGOOD    LOC ="H34"| IOSTANDARD = "LVTTL";
+   NET  DINT     LOC ="L31"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<0>       LOC ="AA23"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<1>       LOC ="AA24"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<2>       LOC ="AJ34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<3>       LOC ="AH34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<4>       LOC ="AD27"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<5>       LOC ="AC27"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<6>       LOC ="AB25"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<7>       LOC ="AB26"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<8>       LOC ="AG30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<9>       LOC ="AG31"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<10>      LOC ="AH32"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<11>      LOC ="AH33"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<12>      LOC ="AF29"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<13>      LOC ="AF30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<14>      LOC ="AA28"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<15>      LOC ="AA29"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<16>      LOC ="W24"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<17>      LOC ="Y24"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<18>      LOC ="AB30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<19>      LOC ="AA30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<20>      LOC ="W25"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<21>      LOC ="Y26"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<22>      LOC ="AE33"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<23>      LOC ="AE34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<24>      LOC ="AC32"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<25>      LOC ="AC33"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<26>      LOC ="AC29"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<27>      LOC ="AC30"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<28>      LOC ="AD34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<29>      LOC ="AC34"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<30>      LOC ="AH18"| IOSTANDARD = "LVTTL";
+   NET  DSPADDR<31>      LOC ="AK18"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<0>        LOC ="AA25"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<1>        LOC ="AA26"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<2>        LOC ="AE32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<3>        LOC ="AD32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<4>        LOC ="AC28"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<5>        LOC ="AB28"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<6>        LOC ="AD30"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<7>        LOC ="AD31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<8>        LOC ="AG32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<9>        LOC ="AG33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<10>       LOC ="AF33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<11>       LOC ="AF34"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<12>       LOC ="AE29"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<13>       LOC ="AD29"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<14>       LOC ="AF31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<15>       LOC ="AE31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<16>       LOC ="AK31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<17>       LOC ="AK32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<18>       LOC ="AK33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<19>       LOC ="AK34"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<20>       LOC ="AM32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<21>       LOC ="AM33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<22>       LOC ="AJ31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<23>       LOC ="AJ32"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<24>       LOC ="AB22"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<25>       LOC ="AB23"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<26>       LOC ="AL33"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<27>       LOC ="AL34"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<28>       LOC ="AM31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<29>       LOC ="AL31"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<30>       LOC ="AJ30"| IOSTANDARD = "LVTTL";
+   NET  DSPDAT<31>       LOC ="AH30"| IOSTANDARD = "LVTTL";
+   NET  DSP_ACK          LOC ="AB15"| IOSTANDARD = "LVTTL";
+   NET  DSP_BM   LOC ="AH19"| IOSTANDARD = "LVTTL";
+   NET  DSP_BMS          LOC ="AF15"| IOSTANDARD = "LVTTL";
+   NET  DSP_BOFF         LOC ="AK14"| IOSTANDARD = "LVTTL";
+   NET  DSP_BRST         LOC ="AN20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_BUSLOCK     LOC ="AC19"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<0>     LOC ="AD17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<1>     LOC ="AC17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<2>     LOC ="AM20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_DMAR<3>     LOC ="AL19"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<0>     LOC ="AE21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<1>     LOC ="AF21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<2>     LOC ="AP15"| IOSTANDARD = "LVTTL";
+  # NET  DSP_FLAG<3>     LOC ="AN15"| IOSTANDARD = "LVTTL";
+   NET  DSP_HBG          LOC ="AD16"| IOSTANDARD = "LVTTL";
+   NET  DSP_HBR          LOC ="AB18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_IOEN        LOC ="AL18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_IORD        LOC ="AB16"| IOSTANDARD = "LVTTL";
+  # NET  DSP_IOWR        LOC ="AB17"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<0>       LOC ="AG21"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<1>       LOC ="AF20"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<2>       LOC ="AF14"| IOSTANDARD = "LVTTL";
+   NET  DSP_IRQ<3>       LOC ="AG13"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<0>          LOC ="AK29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0ACKI      LOC ="AM18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1ACKO      LOC ="AM15"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0BCMPI     LOC ="AM16"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0BCMPO     LOC ="AD21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<0>          LOC ="AJ29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1BCMPO     LOC ="AJ20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<0>          LOC ="AK21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<0>          LOC ="AM23"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0ACKO      LOC ="AM17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKINN    LOC ="AL30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKOUTP   LOC ="K18"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<0>          LOC ="AM25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKINP    LOC ="AP29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKOUTN   LOC ="K17"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1BCMPI     LOC ="AL15"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKOUTN   LOC ="AL25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1CLKINP    LOC ="AM30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<0>          LOC ="AL23"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1ACKI      LOC ="AD20"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKINN    LOC ="AN29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<0>          LOC ="AN25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<0>          LOC ="AL21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0CLKOUTP   LOC ="AL24"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<1>          LOC ="AN24"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<1>          LOC ="AF28"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<1>          LOC ="AE27"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<1>          LOC ="AN23"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<1>          LOC ="AN22"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<1>          LOC ="AH28"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<1>          LOC ="AP24"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<1>          LOC ="AH29"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<2>          LOC ="AH25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<2>          LOC ="AJ25"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<2>          LOC ="AF26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<2>          LOC ="AP30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<2>          LOC ="AM26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<2>          LOC ="AE26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<2>          LOC ="AN30"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<2>          LOC ="AM27"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIP<3>          LOC ="AN32"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIP<3>          LOC ="AP21"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATOP<3>          LOC ="AL26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATIN<3>          LOC ="AP22"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATON<3>          LOC ="AG28"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATOP<3>          LOC ="AG27"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L1DATIN<3>          LOC ="AN33"| IOSTANDARD = "LVTTL";
+  # NET  DSP_L0DATON<3>          LOC ="AK26"| IOSTANDARD = "LVTTL";
+  # NET  DSP_MSH         LOC ="AL14"| IOSTANDARD = "LVTTL";
+   NET  DSP_RD   LOC ="AJ22"| IOSTANDARD = "LVTTL";
+   NET  DSP_RESET        LOC ="AG22"| IOSTANDARD = "LVTTL";
+   NET  DSP_RESET_OUT    LOC ="AH22"| IOSTANDARD = "LVTTL";
+  # NET  DSP_TMROE       LOC ="AP20"| IOSTANDARD = "LVTTL";
+   NET  DSP_WRH          LOC ="AJ21"| IOSTANDARD = "LVTTL";
+   NET  DSP_WRL          LOC ="AC15"| IOSTANDARD = "LVTTL";
+   NET  DWAIT    LOC ="H33"| IOSTANDARD = "LVTTL";
+   NET  D_CSB    LOC ="M2";
+   NET  D_CS     LOC ="M3";
+   NET  D_DATA_READY     LOC ="E11"| IOSTANDARD = "LVTTL";
+   NET  D_RESERVED       LOC ="G8"| IOSTANDARD = "LVTTL";
+   NET  D_SCK    LOC ="M6";
+   NET  D_SCKB   LOC ="M5";
+   NET  D_SDI    LOC ="K3";
+   NET  D_SDIB   LOC ="L3";
+   NET  D_SDO    LOC ="K2";
+   NET  D_SDOB   LOC ="K1";
+   NET  D_TDC_BU_RESET   LOC ="K6";
+   NET  D_TDC_BU_RESETB          LOC ="L6";
+   NET  D_TDC_ERROR      LOC ="H12"| IOSTANDARD = "LVTTL";
+   NET  D_TDC_EV_RESET   LOC ="L8";
+   NET  D_TDC_EV_RESETB          LOC ="M8";
+   NET  D_TDC_POWERUP    LOC ="J7"| IOSTANDARD = "LVTTL";
+   NET  D_TEMP   LOC ="C14"| IOSTANDARD = "LVTTL";
+   NET  D_TEST1B         LOC ="M1";
+   NET  D_TEST2B         LOC ="P5";
+   NET  D_TEST1          LOC ="L1";
+   NET  D_TEST2                  LOC ="N5";
+   NET  D_TRIGGER        LOC ="P12";
+   NET  D_TRIGGERB       LOC ="P11";
+   NET  ETRAX_IRQ        LOC ="AK12"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<0>         LOC ="AL5"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<1>         LOC ="AL4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<2>         LOC ="AK4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<3>         LOC ="AJ4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<4>         LOC ="AP4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<5>         LOC ="AN4"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<6>         LOC ="AD10"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<7>         LOC ="AD9"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<8>         LOC ="AN14"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<9>         LOC ="AP14"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<10>        LOC ="AJ6"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<11>        LOC ="AJ5"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<12>        LOC ="AK7"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<13>        LOC ="AJ7"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<14>        LOC ="AN3"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<15>        LOC ="AN2"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<16>        LOC ="AK13"| IOSTANDARD = "LVTTL";
+   NET  FS_PB<17>        LOC ="AL13"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<0>         LOC ="AL6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<1>         LOC ="AK6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<2>         LOC ="AL8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<3>         LOC ="AK8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<4>         LOC ="AH8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<5>         LOC ="AH7"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<6>         LOC ="AM13"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<7>         LOC ="AN13"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<8>         LOC ="AM6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<9>         LOC ="AM5"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<10>        LOC ="AJ10"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<11>        LOC ="AJ9"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<12>        LOC ="AP5"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<13>        LOC ="AN5"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<14>        LOC ="AP6"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<15>        LOC ="AP7"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<16>        LOC ="AM8"| IOSTANDARD = "LVTTL";
+   NET  FS_PC<17>        LOC ="AN8"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<0>        LOC ="V18"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<1>        LOC ="V13"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<2>        LOC ="V14"| IOSTANDARD = "LVTTL";
+  # NET  FS_PE<3>        LOC ="W17"| IOSTANDARD = "LVTTL";
+   NET  GET_DATA         LOC ="B13"| IOSTANDARD = "LVTTL";
+  # NET  GND     LOC ="V22"| IOSTANDARD = "LVTTL";
+  # NET  N<18064815>     LOC ="T16"| IOSTANDARD = "LVTTL";
+  # NET  N<18064816>     LOC ="U17"| IOSTANDARD = "LVTTL";
+  # NET  N<18064817>     LOC ="U21"| IOSTANDARD = "LVTTL";
+  # NET  N<18064818>     LOC ="Y18"| IOSTANDARD = "LVTTL";
+  # NET  N<18064820>     LOC ="T18"| IOSTANDARD = "LVTTL";
+  # NET  N<23483794>     LOC ="W20"| IOSTANDARD = "LVTTL";
+  # NET  N<23492722>     LOC ="V20"| IOSTANDARD = "LVTTL";
+  # NET  N<23538587>     LOC ="U22"| IOSTANDARD = "LVTTL";
+  # NET  N<23548060>     LOC ="R17"| IOSTANDARD = "LVTTL";
+  # NET  N<23548615>     LOC ="U15"| IOSTANDARD = "LVTTL";
+  # NET  N<24265542>     LOC ="W21"| IOSTANDARD = "LVTTL";
+  # NET  N<24267225>     LOC ="U13"| IOSTANDARD = "LVTTL";
+  # NET  N<24269436>     LOC ="F15"| IOSTANDARD = "LVTTL";
+  # NET  N<24269444>     LOC ="D15"| IOSTANDARD = "LVTTL";
+  # NET  N<24326445>     LOC ="AJ11"| IOSTANDARD = "LVTTL";
+  # NET  N<24328654>     LOC ="AK11"| IOSTANDARD = "LVTTL";
+  # NET  N<33988559>     LOC ="J31"| IOSTANDARD = "LVTTL";
+  # NET  N<33988561>     LOC ="K31"| IOSTANDARD = "LVTTL";
+  # NET  N<34495448>     LOC ="E22"| IOSTANDARD = "LVTTL";
+  # NET  N<34503651>     LOC ="D22"| IOSTANDARD = "LVTTL";
+  # NET  N<36044982>     LOC ="AK17"| IOSTANDARD = "LVTTL";
+  # NET  N<36648023>     LOC ="AG18"| IOSTANDARD = "LVTTL";
+  # NET  N<36648025>     LOC ="AG17"| IOSTANDARD = "LVTTL";
+  # NET  N<36648033>     LOC ="AE17"| IOSTANDARD = "LVTTL";
+  # NET  N<36648035>     LOC ="AE16"| IOSTANDARD = "LVTTL";
+  # NET  N<36650772>     LOC ="AJ17"| IOSTANDARD = "LVTTL";
+  # NET  N<36650774>     LOC ="AH17"| IOSTANDARD = "LVTTL";
+  # NET  N<36704661>     LOC ="AN28"| IOSTANDARD = "LVTTL";
+  # NET  N<36704663>     LOC ="AM28"| IOSTANDARD = "LVTTL";
+  # NET  N<38694350>     LOC ="AG5"| IOSTANDARD = "LVTTL";
+  # NET  N<38697708>     LOC ="AG6"| IOSTANDARD = "LVTTL";
+  # NET  N<38810822>     LOC ="K19"| IOSTANDARD = "LVTTL";
+  # NET  N<38810824>     LOC ="J19"| IOSTANDARD = "LVTTL";
+  # NET  N<38810850>     LOC ="E16"| IOSTANDARD = "LVTTL";
+  # NET  N<38810852>     LOC ="F16"| IOSTANDARD = "LVTTL";
+  # NET  N<38813734>     LOC ="G13"| IOSTANDARD = "LVTTL";
+  # NET  N<38813742>     LOC ="M17"| IOSTANDARD = "LVTTL";
+  # NET  N<38813744>     LOC ="N17"| IOSTANDARD = "LVTTL";
+  # NET  N<38813770>     LOC ="M18"| IOSTANDARD = "LVTTL";
+  # NET  N<38813772>     LOC ="L18"| IOSTANDARD = "LVTTL";
+  # NET  N<38813774>     LOC ="F13"| IOSTANDARD = "LVTTL";
+  # NET  N<38821674>     LOC ="D19"| IOSTANDARD = "LVTTL";
+  # NET  N<38821676>     LOC ="C19"| IOSTANDARD = "LVTTL";
+  # NET  N<38821684>     LOC ="C18"| IOSTANDARD = "LVTTL";
+  # NET  N<38821698>     LOC ="C17"| IOSTANDARD = "LVTTL";
+  # NET  N<38821700>     LOC ="D17"| IOSTANDARD = "LVTTL";
+  # NET  N<38821702>     LOC ="E19"| IOSTANDARD = "LVTTL";
+  # NET  N<38824618>     LOC ="C15"| IOSTANDARD = "LVTTL";
+  # NET  N<38824620>     LOC ="M16"| IOSTANDARD = "LVTTL";
+  # NET  N<38824628>     LOC ="N15"| IOSTANDARD = "LVTTL";
+  # NET  N<38824642>     LOC ="D20"| IOSTANDARD = "LVTTL";
+  # NET  N<38824644>     LOC ="C20"| IOSTANDARD = "LVTTL";
+  # NET  N<38824646>     LOC ="D16"| IOSTANDARD = "LVTTL";
+  # NET  N<38827574>     LOC ="A20"| IOSTANDARD = "LVTTL";
+  # NET  N<38827602>     LOC ="B20"| IOSTANDARD = "LVTTL";
+  # NET  N<38857742>     LOC ="AH23"| IOSTANDARD = "LVTTL";
+  # NET  N<38857748>     LOC ="AH24"| IOSTANDARD = "LVTTL";
+  # NET  N<41716249>     LOC ="W19"| IOSTANDARD = "LVTTL";
+  # NET  N<44929647>     LOC ="J2"| IOSTANDARD = "LVTTL";
+  # NET  N<44929649>     LOC ="J1"| IOSTANDARD = "LVTTL";
+  # NET  N<44985997>     LOC ="J17"| IOSTANDARD = "LVTTL";
+  # NET  N<44986035>     LOC ="H17"| IOSTANDARD = "LVTTL";
+  # NET  N<45004261>     LOC ="AK19"| IOSTANDARD = "LVTTL";
+  # NET  N<45004289>     LOC ="AJ19"| IOSTANDARD = "LVTTL";
+  # NET  N<45476888>     LOC ="K16"| IOSTANDARD = "LVTTL";
+  # NET  N<45476902>     LOC ="L16"| IOSTANDARD = "LVTTL";
+  # NET  N<46190669>     LOC ="E18"| IOSTANDARD = "LVTTL";
+  # NET  N<46190671>     LOC ="E17"| IOSTANDARD = "LVTTL";
+  # NET  N<46461605>     LOC ="E8"| IOSTANDARD = "LVTTL";
+  # NET  N<46464939>     LOC ="E7"| IOSTANDARD = "LVTTL";
+  # NET  N<46481442>     LOC ="AD19"| IOSTANDARD = "LVTTL";
+  # NET  N<46481444>     LOC ="AE19"| IOSTANDARD = "LVTTL";
+  # NET  N<46736961>     LOC ="AC25"| IOSTANDARD = "LVTTL";
+  # NET  N<46741878>     LOC ="AD26"| IOSTANDARD = "LVTTL";
+   NET  REF_TDC_CLK      LOC ="F18";
+   NET  REF_TDC_CLKB     LOC ="G18";
+   NET  RESET_VIRT       LOC ="AF16"| IOSTANDARD = "LVTTL";
+   NET  SFP_LOS          LOC ="M27"| IOSTANDARD = "LVTTL";
+ # NET  SFP_MOD<0>       LOC ="R23"| IOSTANDARD = "LVTTL";
+ # NET  SFP_MOD<1>       LOC ="K32"| IOSTANDARD = "LVTTL";
+ # NET  SFP_MOD<2>       LOC ="K33"| IOSTANDARD = "LVTTL";
+ # NET  SFP_RATE_SEL     LOC ="P27"| IOSTANDARD = "LVTTL";
+   NET  SFP_TX_DIS       LOC ="N27"| IOSTANDARD = "LVTTL";
+  # NET  SFP_TX_FAULT    LOC ="J32"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<0>       LOC ="D12"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<1>       LOC ="C12"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<2>       LOC ="B10"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<3>       LOC ="C10"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<4>       LOC ="A11"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<5>       LOC ="B11"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<6>       LOC ="C9"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<7>       LOC ="C8"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<8>       LOC ="G12"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<9>       LOC ="G11"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<10>      LOC ="F10"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<11>      LOC ="G10"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<12>      LOC ="D11"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<13>      LOC ="D10"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<14>      LOC ="H10"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<15>      LOC ="H9"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<16>      LOC ="A14"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<17>      LOC ="A13"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<18>      LOC ="D7"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<19>      LOC ="D6"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<20>      LOC ="D9"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<21>      LOC ="E9"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<22>      LOC ="A4"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<23>      LOC ="A3"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<24>      LOC ="E13"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<25>      LOC ="E12"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<26>      LOC ="A5"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<27>      LOC ="B5"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<28>      LOC ="J9"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<29>      LOC ="K9"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<30>      LOC ="B3"| IOSTANDARD = "LVTTL";
+   NET  TDC_OUT<31>      LOC ="B2"| IOSTANDARD = "LVTTL";
+   NET  TDC_RESET        LOC ="C5"| IOSTANDARD = "LVTTL";
+   NET  TLK_CLK          LOC ="AG16"| IOSTANDARD = "LVTTL";
+   NET  TLK_ENABLE       LOC ="R24"| IOSTANDARD = "LVTTL";
+   NET  TLK_LCKREFN      LOC ="L28"| IOSTANDARD = "LVTTL";
+   NET  TLK_LOOPEN       LOC ="R19"| IOSTANDARD = "LVTTL";
+   NET  TLK_PRBSEN       LOC ="H32"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<0>       LOC ="G30"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<1>       LOC ="G31"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<2>       LOC ="J29"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<3>       LOC ="J30"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<4>       LOC ="E32"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<5>       LOC ="E33"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<6>       LOC ="N25"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<7>       LOC ="P26"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<8>       LOC ="P22"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<9>       LOC ="R21"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<10>      LOC ="F33"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<11>      LOC ="F34"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<12>      LOC ="K28"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<13>      LOC ="K29"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<14>      LOC ="G32"| IOSTANDARD = "LVTTL";
+   NET  TLK_RXD<15>      LOC ="G33"| IOSTANDARD = "LVTTL";
+   NET  TLK_RX_CLK       LOC ="AF18"| IOSTANDARD = "LVTTL";
+   NET  TLK_RX_DV        LOC ="M30"| IOSTANDARD = "LVTTL";
+   NET  TLK_RX_ER        LOC ="P20"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<0>       LOC ="H27"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<1>       LOC ="H28"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<2>       LOC ="C32"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<3>       LOC ="D32"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<4>       LOC ="J27"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<5>       LOC ="K27"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<6>       LOC ="M25"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<7>       LOC ="M26"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<8>       LOC ="N22"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<9>       LOC ="N23"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<10>      LOC ="H29"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<11>      LOC ="H30"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<12>      LOC ="C33"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<13>      LOC ="C34"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<14>      LOC ="D34"| IOSTANDARD = "LVTTL";
+   NET  TLK_TXD<15>      LOC ="E34"| IOSTANDARD = "LVTTL";
+   NET  TLK_TX_EN        LOC ="L29"| IOSTANDARD = "LVTTL";
+   NET  TLK_TX_ER        LOC ="P24"| IOSTANDARD = "LVTTL";
+   NET  TOKEN_IN         LOC ="E6"| IOSTANDARD = "LVTTL";
+   NET  TOKEN_OUT        LOC ="C13"| IOSTANDARD = "LVTTL";
+   NET  VIRT_CLK         LOC ="H19";
+   NET  VIRT_CLKB        LOC ="H18";
+   NET  VIRT_TCK         LOC ="K34"| IOSTANDARD = "LVTTL";
+   NET  VIRT_TDI         LOC ="M31"| IOSTANDARD = "LVTTL";
+   NET  VIRT_TDO         LOC ="N30"| IOSTANDARD = "LVTTL";
+   NET  VIRT_TMS         LOC ="J34"| IOSTANDARD = "LVTTL";
+   NET  VIRT_TRST        LOC ="N29"| IOSTANDARD = "LVTTL";
+   NET  VIR_TRIG         LOC ="E3";
+   NET  VIR_TRIGB        LOC ="E2";
+   NET  VSD_A<0>         LOC ="F23"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<1>         LOC ="E23"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<2>         LOC ="D26"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<3>         LOC ="E26"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<4>         LOC ="F24"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<5>         LOC ="E24"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<6>         LOC ="D27"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<7>         LOC ="E27"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<8>         LOC ="G23"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<9>         LOC ="H24"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<10>        LOC ="A28"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<11>        LOC ="A29"| IOSTANDARD = "LVTTL";
+   NET  VSD_A<12>        LOC ="B25"| IOSTANDARD = "LVTTL";
+   NET  VSD_BA<0>        LOC ="C25"| IOSTANDARD = "LVTTL";
+   NET  VSD_BA<1>        LOC ="J25"| IOSTANDARD = "LVTTL";
+   NET  VSD_CAS          LOC ="G28"| IOSTANDARD = "LVTTL";
+   NET  VSD_CKE          LOC ="D30"| IOSTANDARD = "LVTTL";
+   NET  VSD_CLOCK        LOC ="B28"| IOSTANDARD = "LVTTL";
+   NET  VSD_CSEH         LOC ="G27"| IOSTANDARD = "LVTTL";
+   NET  VSD_CSEL         LOC ="D31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<0>         LOC ="B23"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<1>         LOC ="A23"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<2>         LOC ="A26"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<3>         LOC ="B26"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<4>         LOC ="A24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<5>         LOC ="A25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<6>         LOC ="G25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<7>         LOC ="H25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<8>         LOC ="C23"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<9>         LOC ="C24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<10>        LOC ="F25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<11>        LOC ="F26"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<12>        LOC ="D24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<13>        LOC ="D25"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<14>        LOC ="B27"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<15>        LOC ="C27"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<16>        LOC ="C22"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<17>        LOC ="B22"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<18>        LOC ="A30"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<19>        LOC ="B30"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<20>        LOC ="K24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<21>        LOC ="J24"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<22>        LOC ="C29"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<23>        LOC ="C30"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<24>        LOC ="B21"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<25>        LOC ="A21"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<26>        LOC ="E28"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<27>        LOC ="F28"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<28>        LOC ="A31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<29>        LOC ="B31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<30>        LOC ="E31"| IOSTANDARD = "LVTTL";
+   NET  VSD_D<31>        LOC ="F31"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<0>      LOC ="F30"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<1>      LOC ="D29"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<2>      LOC ="E29"| IOSTANDARD = "LVTTL";
+   NET  VSD_DQML<3>      LOC ="L25"| IOSTANDARD = "LVTTL";
+   NET  VSD_RAS          LOC ="F29"| IOSTANDARD = "LVTTL";
+   NET  VSD_WE   LOC ="K26"| IOSTANDARD = "LVTTL";
+# OFFSET=OUT 2 ns BEFORE "VIRT_CLK" HIGH;
+# OFFSET=IN 6 ns BEFORE "VIRT_CLK" HIGH;
+
+# OFFSET=IN 6 ns BEFORE "REF_TDC_CLK" HIGH;
+# OFFSET=OUT 2 ns BEFORE "REF_TDC_CLK" HIGH;
+
+ NET "VIRT_CLK" TNM_NET =VIRT_CLK;
+ TIMESPEC TS_VIRT_CLK =PERIOD "VIRT_CLK" 9 ns;
+ NET "REF_TDC_CLK" TNM_NET = REF_TDC_CLK;
+ TIMESPEC TS_REF_TDC_CLK = PERIOD "REF_TDC_CLK" 10 ns;
+ NET "TOKEN_OUT" OFFSET = OUT 12 ns AFTER "REF_TDC_CLK" HIGH;
+ NET "A_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
+ NET "B_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
+ NET "C_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
+ NET "D_DATA_READY" OFFSET = IN 0.5 ns BEFORE "REF_TDC_CLK" HIGH;
\ No newline at end of file
diff --git a/trb_v2b_fpga.vhd b/trb_v2b_fpga.vhd
new file mode 100644 (file)
index 0000000..a581d1a
--- /dev/null
@@ -0,0 +1,2297 @@
+ library IEEE;
+-- use IEEE.STD_LOGIC_1164.ALL;
+-- use IEEE.STD_LOGIC_ARITH.ALL;
+ use IEEE.STD_LOGIC_UNSIGNED.ALL;
+-- use IEEE.NUMERIC_STD.all;
+
+-- -- use work.support.all;
+   library UNISIM;
+   use UNISIM.VCOMPONENTS.all;
+-- use ieee.numeric_std.all; 
+-- use ieee.std_logic_arith.all; 
+library ieee;
+library work;
+
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+--library unisim;
+--use unisim.all;
+use work.all;
+-- --  Uncomment the following lines to use the declarations that are
+-- --  provided for instantiating Xilinx primitive components.
+-- library UNISIM;
+-- use UNISIM.VComponents.all;
+
+entity trb_v2b_fpga is
+  port (
+    -------------------------------------------------------------------------
+    -- GENERAL 
+    -------------------------------------------------------------------------
+    VIRT_CLK        : in  std_logic;
+    VIRT_CLKB       : in  std_logic;
+    RESET_VIRT      : in  std_logic;
+    DBAD            : out std_logic;    --diode
+    DGOOD           : out std_logic;    --diode
+    DINT            : out std_logic;    --diode
+    DWAIT           : out std_logic;    --diode
+    A_RESERVED      : in  std_logic;    --TDC connector 75,76(line 3 from end)
+    A_TEMP          : in  std_logic;    -- |
+    B_RESERVED      : in  std_logic;    -- |
+    B_TEMP          : in  std_logic;    -- V
+    C_RESERVED      : in  std_logic;
+    C_TEMP          : in  std_logic;
+    D_RESERVED      : in  std_logic;
+    D_TEMP          : in  std_logic;
+    VIR_TRIG        : in  std_logic;    --fast trigger 
+    VIR_TRIGB       : in  std_logic;
+    -------------------------------------------------------------------------
+    -- TDC connections
+    -------------------------------------------------------------------------
+     A_TDC_ERROR     : in  std_logic;
+     B_TDC_ERROR     : in  std_logic;
+     C_TDC_ERROR     : in  std_logic;
+     D_TDC_ERROR     : in  std_logic;
+     A_TDC_POWERUP   : out std_logic;    --turn on TDC -should be one ?!
+     B_TDC_POWERUP   : out std_logic;
+     C_TDC_POWERUP   : out std_logic;
+     D_TDC_POWERUP   : out std_logic;
+     TOKEN_IN        : in  std_logic;
+     TOKEN_OUT       : out std_logic;
+     C_TOKEN_OUT_TTL : in  std_logic;
+     GET_DATA        : out std_logic;
+     A_DATA_READY    : in  std_logic;
+     B_DATA_READY    : in  std_logic;
+     C_DATA_READY    : in  std_logic;
+     D_DATA_READY    : in  std_logic;
+     REF_TDC_CLK     : in  std_logic;
+     REF_TDC_CLKB    : in  std_logic;
+  --   TDC_BU_RESET    : out std_logic;
+  --   TDC_BU_RESETB   : out std_logic;
+  --   TDC_EV_RESET    : out std_logic;
+  --   TDC_EV_RESETB   : out std_logic;
+     A_TDC_BU_RESET  : out std_logic;
+     A_TDC_BU_RESETB : out std_logic;
+     A_TDC_EV_RESET  : out std_logic;
+     A_TDC_EV_RESETB : out std_logic;
+     B_TDC_BU_RESET  : out std_logic;
+     B_TDC_BU_RESETB : out std_logic;
+     B_TDC_EV_RESET  : out std_logic;
+     B_TDC_EV_RESETB : out std_logic;
+     C_TDC_BU_RESET  : out std_logic;
+     C_TDC_BU_RESETB : out std_logic;
+     C_TDC_EV_RESET  : out std_logic;
+     C_TDC_EV_RESETB : out std_logic;
+     D_TDC_BU_RESET  : out std_logic;
+     D_TDC_BU_RESETB : out std_logic;
+     D_TDC_EV_RESET  : out std_logic;
+     D_TDC_EV_RESETB : out std_logic;
+     
+     TDC_OUT         : in  std_logic_vector (31 downto 0);
+     TDC_RESET       : out std_logic;
+     A_TRIGGER       : out std_logic;
+     A_TRIGGERB      : out std_logic; 
+     B_TRIGGER       : out std_logic;
+     B_TRIGGERB      : out std_logic;
+     C_TRIGGER       : out std_logic;
+     C_TRIGGERB      : out std_logic;
+     D_TRIGGER       : out std_logic;
+     D_TRIGGERB      : out std_logic;
+    -------------------------------------------------------------------------
+    -- ETRAX connections
+    -------------------------------------------------------------------------
+    FS_PB      : out std_logic_vector (17 downto 0);
+    FS_PC      : inout std_logic_vector (17 downto 0);
+    ETRAX_IRQ  : out   std_logic;     
+    -------------------------------------------------------------------------
+    -- SPI 
+    -------------------------------------------------------------------------
+      A_SCK  : out std_logic;
+      A_SCKB : out std_logic;
+      A_SDI  : in  std_logic;
+      A_SDIB : in  std_logic;
+      A_SDO  : out std_logic;
+      A_SDOB : out std_logic;
+      A_CSB  : out std_logic;
+      A_CS   : out std_logic;
+
+      B_SCK  : out std_logic; 
+      B_SCKB : out std_logic; 
+      B_SDI  : in  std_logic; 
+      B_SDIB : in  std_logic; 
+      B_SDO  : out std_logic; 
+      B_SDOB : out std_logic; 
+      B_CSB  : out std_logic; 
+      B_CS   : out std_logic; 
+
+      C_SCK  : out std_logic; 
+      C_SCKB : out std_logic; 
+      C_SDI  : in  std_logic; 
+      C_SDIB : in  std_logic; 
+      C_SDO  : out std_logic; 
+      C_SDOB : out std_logic; 
+      C_CSB  : out std_logic; 
+      C_CS   : out std_logic; 
+
+      D_SCK  : out std_logic; 
+      D_SCKB : out std_logic; 
+      D_SDI  : in  std_logic; 
+      D_SDIB : in  std_logic; 
+      D_SDO  : out std_logic; 
+      D_SDOB : out std_logic; 
+      D_CSB  : out std_logic; 
+      D_CS   : out std_logic; 
+    -------------------------------------------------------------------------
+    -- TEST SIGNALS - for RPC
+    -------------------------------------------------------------------------
+      A_TEST1  : out std_logic;
+      A_TEST1B : out std_logic;
+      A_TEST2  : out std_logic;
+      A_TEST2B : out std_logic;
+      B_TEST1  : out std_logic;
+      B_TEST1B : out std_logic;
+      B_TEST2  : out std_logic;
+      B_TEST2B : out std_logic;
+      C_TEST1  : out std_logic;
+      C_TEST1B : out std_logic;
+      C_TEST2  : out std_logic;
+      C_TEST2B : out std_logic;
+      D_TEST1  : out std_logic;
+      D_TEST1B : out std_logic;
+      D_TEST2  : out std_logic;
+      D_TEST2B : out std_logic;
+    -------------------------------------------------------------------------
+    -- SHARC
+    -------------------------------------------------------------------------
+     DSPADDR  : out   std_logic_vector (31 downto 0);
+     DSPDAT   : inout std_logic_vector (31 downto 0);
+     DSP_ACK  : in    std_logic;
+     DSP_BM   : inout std_logic;
+     DSP_BMS  : out   std_logic;        --LOC = AF15;
+     DSP_BOFF : out   std_logic;        --       LOC = AK14;
+     DSP_BRST : inout std_logic;
+     DSP_HBG  : in    std_logic;
+     DSP_HBR  : out   std_logic;
+     DSP_IRQ  : out   std_logic_vector (3 downto 0);
+
+
+
+--      --link0
+--      DSP_L0DATIP       : out std_logic_vector (3 downto 0);
+--      DSP_L0DATIN      : out std_logic_vector (3 downto 0);
+--      DSP_L0DATOP       : in std_logic_vector (3 downto 0);
+--      DSP_L0DATON     : in std_logic_vector (3 downto 0);
+--      DSP_L0CLKINP     : out std_logic;
+--      DSP_L0CLKINN     : out std_logic;
+--      DSP_L0CLKOUTP    : in std_logic; 
+--      DSP_L0CLKOUTN    : in std_logic; 
+--      DSP_L0BCMPO     : in std_logic;
+--      DSP_L0ACKO      : in std_logic;
+--      DSP_L0BCMPI     : out std_logic;
+--      DSP_L0ACKI      : out std_logic;
+--      --link1
+--      DSP_L1DATIP     : out std_logic_vector (3 downto 0);
+--      DSP_L1DATIN      :  out std_logic_vector (3 downto 0);
+--      DSP_L1DATOP        : in std_logic_vector (3 downto 0);
+--      DSP_L1DATON       : in std_logic_vector (3 downto 0);     
+--      DSP_L1CLKINP     : out std_logic;
+--      DSP_L1CLKINN     : out std_logic;
+--      DSP_L1CLKOUTP    : in std_logic;
+--      DSP_L1CLKOUTN    : in std_logic; 
+--      DSP_L1ACKO      : in std_logic;
+--      DSP_L1BCMPO     : in std_logic; 
+--      DSP_L1BCMPI      : out std_logic;
+--      DSP_L1ACKI       : out std_logic;
+   
+     
+     
+     
+     
+--      DSP_TMROE        : LOC = AP20;   --link data widt now is 4 bit  --pullup    
+
+
+     DSP_RD    : out std_logic;
+    DSP_RESET : out std_logic;
+    DSP_RESET_OUT : in std_logic;
+    DSP_WRH   : out std_logic;
+    DSP_WRL   : out std_logic;
+    --  DSP_MSH   LOC = AL14;
+    --  DSP_IOEN          LOC = AL18;
+    --  DSP_IORD          LOC = AB16;
+    --  DSP_IOWR          LOC = AB17;
+    --  DSP_BUSLOCK       LOC = AC19;
+    --  DSP_DMAR         : std_logic_vector (3 downto 0); 
+    --  DSP_FLAG         : std_logic_vector (3 downto 0); 
+   
+    -------------------------------------------------------------------------
+    -- SDRAM
+    -------------------------------------------------------------------------
+      VSD_A     : out std_logic_vector (12 downto 0);
+      VSD_BA    : out   std_logic_vector (1 downto 0);
+      VSD_CAS   : out std_logic;
+      VSD_CKE   : out std_logic;
+      VSD_CLOCK : out std_logic;
+      VSD_CSEH  : out std_logic;
+      VSD_CSEL  : out std_logic;
+      VSD_D     : inout std_logic_vector (31 downto 0);
+      VSD_DQML  : out  std_logic_vector (3 downto 0);
+      VSD_RAS   : out std_logic;
+      VSD_WE    : out std_logic;
+    -------------------------------------------------------------------------
+    -- TLK
+    -------------------------------------------------------------------------
+      TLK_CLK           : in std_logic;
+      TLK_ENABLE        : out std_logic;
+      TLK_LCKREFN       : out std_logic;
+      TLK_LOOPEN        : out std_logic;
+      TLK_PRBSEN        : out std_logic;
+      TLK_RXD           : in std_logic_vector (15 downto 0);
+      TLK_RX_CLK        : in  std_logic;
+      TLK_RX_DV         : in  std_logic;
+      TLK_RX_ER         : in  std_logic;
+      TLK_TXD           : out std_logic_vector (15 downto 0);
+      TLK_TX_EN         : out std_logic;
+      TLK_TX_ER         : out std_logic;
+    -------------------------------------------------------------------------
+    -- SFP
+    -------------------------------------------------------------------------
+      SFP_LOS           : in std_logic; 
+    --  SFP_MOD<0>        LOC = R23;
+    --  SFP_MOD<1>        LOC = K32;
+    --  SFP_MOD<2>        LOC = K33;
+    --  SFP_RATE_SEL      : out std_logic; 
+      SFP_TX_DIS        : out std_logic;
+      SFP_TX_FAULT      : in std_logic;
+    -------------------------------------------------------------------------
+    -- ADDON board 
+    -------------------------------------------------------------------------
+    --  ADDON_TO_TRB_CLKINN       : std_logic;
+    --  ADDON_TO_TRB_CLKINP       : std_logic;
+    ADO_LV                    : in std_logic_vector(51 downto 0);  --lvds signal
+    ADO_TTL                   : inout std_logic_vector(46 downto 0);
+    -------------------------------------------------------------------------------
+    --JTAG 
+    -------------------------------------------------------------------------------
+    VIRT_TCK                    : out std_logic;
+    VIRT_TDI                    : out std_logic;
+    VIRT_TDO                    : in std_logic;
+    VIRT_TMS                    : out std_logic;        
+    VIRT_TRST                   : out std_logic
+    );
+    end trb_v2b_fpga;
+architecture trb_v2b_fpga of trb_v2b_fpga is
+     component trb_net16_endpoint_0_trg_1_api
+     generic (
+       API_TYPE          : integer range 0 to 1;
+       INIT_DEPTH        : integer range 0 to 6;
+       REPLY_DEPTH       : integer range 0 to 6;
+       FIFO_TO_INT_DEPTH : integer range 0 to 6;
+       FIFO_TO_APL_DEPTH : integer range 0 to 6;
+       SBUF_VERSION      : integer range 0 to 1;
+       MUX_WIDTH         : integer range 1 to 5;
+       MUX_SECURE_MODE   : integer range 0 to 1;
+       DAT_CHANNEL       : integer range 0 to 3;--**(MUX_WIDTH-1);
+       DATA_WIDTH        : integer;
+       NUM_WIDTH         : integer);
+     port (
+       CLK                      : in  std_logic;
+       RESET                    : in  std_logic;
+       CLK_EN                   : in  std_logic;
+       MED_DATAREADY_OUT        : out std_logic;
+       MED_DATA_OUT             : out std_logic_vector (15 downto 0);
+       MED_PACKET_NUM_OUT       : out std_logic_vector (1 downto 0);
+       MED_READ_IN              : in  std_logic;
+       MED_DATAREADY_IN         : in  std_logic;
+       MED_DATA_IN              : in  std_logic_vector (15 downto 0);
+       MED_PACKET_NUM_IN        : in  std_logic_vector (1 downto 0);
+       MED_READ_OUT             : out std_logic;
+       MED_ERROR_IN             : in  std_logic_vector (2 downto 0);
+       APL_DATA_IN              : in  std_logic_vector (15 downto 0);
+       APL_PACKET_NUM_IN        : in  std_logic_vector (1 downto 0);
+       APL_WRITE_IN             : in  std_logic;
+       APL_FIFO_FULL_OUT        : out std_logic;
+       APL_SHORT_TRANSFER_IN    : in  std_logic;
+       APL_DTYPE_IN             : in  std_logic_vector (3 downto 0);
+       APL_ERROR_PATTERN_IN     : in  std_logic_vector (31 downto 0);
+       APL_SEND_IN              : in  std_logic;
+       APL_TARGET_ADDRESS_IN    : in  std_logic_vector (15 downto 0);
+       APL_DATA_OUT             : out std_logic_vector (15 downto 0);
+       APL_PACKET_NUM_OUT       : out std_logic_vector (1 downto 0);
+       APL_TYP_OUT              : out std_logic_vector (2 downto 0);
+       APL_DATAREADY_OUT        : out std_logic;
+       APL_READ_IN              : in  std_logic;
+       APL_RUN_OUT              : out std_logic;
+       APL_MY_ADDRESS_IN        : in  std_logic_vector (15 downto 0);
+       APL_SEQNR_OUT            : out std_logic_vector (7 downto 0);
+       STAT_GEN                 : out std_logic_vector (31 downto 0);
+       STAT_LOCKED              : out std_logic_vector (31 downto 0);
+       STAT_INIT_BUFFER         : out std_logic_vector (31 downto 0);
+       STAT_REPLY_BUFFER        : out std_logic_vector (31 downto 0);
+       STAT_api_control_signals : out std_logic_vector(31 downto 0);
+       STAT_MPLEX               : out std_logic_vector(31 downto 0);
+       CTRL_GEN                 : in  std_logic_vector (31 downto 0);
+       CTRL_LOCKED              : in  std_logic_vector (31 downto 0);
+       STAT_CTRL_INIT_BUFFER    : in  std_logic_vector (31 downto 0);
+       STAT_CTRL_REPLY_BUFFER   : in  std_logic_vector (31 downto 0);
+       MPLEX_CTRL               : in  std_logic_vector (31 downto 0);
+       API_STAT_FIFO_TO_INT     : out std_logic_vector(31 downto 0);
+       API_STAT_FIFO_TO_APL     : out std_logic_vector(31 downto 0));
+   end component;
+  component tdc_interface
+    port (
+      CLK                      : in  std_logic;
+      TDC_CLK                  : in  std_logic;
+      RESET                    : in  std_logic;
+      TDC_DATA_IN              : in  std_logic_vector (31 downto 0);
+      START_TDC_READOUT        : in  std_logic;
+      A_TDC_READY              : in  std_logic;
+      B_TDC_READY              : in  std_logic;
+      C_TDC_READY              : in  std_logic;
+      D_TDC_READY              : in  std_logic;
+      A_TDC_ERROR              : in  std_logic;
+      B_TDC_ERROR              : in  std_logic;
+      C_TDC_ERROR              : in  std_logic;
+      D_TDC_ERROR              : in  std_logic;
+      A_TDC_POWERUP            : out std_logic;   
+      B_TDC_POWERUP            : out std_logic;
+      C_TDC_POWERUP            : out std_logic;
+      D_TDC_POWERUP            : out std_logic;
+      SEND_TDC_TOKEN           : out std_logic;
+      RECEIVED_TDC_TOKEN       : in  std_logic;
+      GET_TDC_DATA             : out std_logic;
+      TO_MANY_TDC_DATA         : in  std_logic;
+      TDC_READOUT_COMPLETED    : out std_logic;
+      LVL1_TAG                 : in  std_logic_vector(7 downto 0);
+      LVL1_CODE                : in  std_logic_vector(3 downto 0);
+      HOW_MANY_ADD_DATA        : in  std_logic_vector(7 downto 0);
+      COUNTER_a                : in  std_logic_vector(31 downto 0);
+      COUNTER_b                : in  std_logic_vector(31 downto 0);
+      COUNTER_c                : in  std_logic_vector(31 downto 0);
+      COUNTER_d                : in  std_logic_vector(31 downto 0);
+      COUNTER_e                : in  std_logic_vector(31 downto 0);
+      COUNTER_f                : in  std_logic_vector(31 downto 0);
+      COUNTER_g                : in  std_logic_vector(31 downto 0);
+      COUNTER_h                : in  std_logic_vector(31 downto 0);
+      LVL2_TRIGGER             : in  std_logic_vector(1 downto 0);
+      TDC_DATA_OUT             : out std_logic_vector(31 downto 0);
+      TDC_DATA_VALID           : out std_logic;
+      ETRAX_IS_READY_TO_READ   : in  std_logic;
+      LVL1_BUSY                : out std_logic;
+      LVL2_BUSY                : out std_logic;
+      TDC_REGISTER_00          : out std_logic_vector(31 downto 0);
+      TDC_REGISTER_01          : out std_logic_vector(31 downto 0);
+      TDC_REGISTER_02          : out std_logic_vector(31 downto 0);
+      TDC_REGISTER_03          : out std_logic_vector(31 downto 0);
+      TDC_REGISTER_04          : out std_logic_vector(31 downto 0);
+      BUNCH_RESET              : out std_logic;
+      EVENT_RESET              : out std_logic;
+      READ_ADRESS_END_UP       : out  std_logic; --here
+      DELAY_TRIGGER            : in  std_logic_vector(7 downto 0);
+      TDC_START                : out std_logic;
+      TRIGGER_WITHOUT_HADES    : in  std_logic;
+      TRIGGER_WITH_GEN_EN      : in std_logic;
+      TRIGGER_WITH_GEN         : in std_logic
+      );
+  end component;
+  component etrax_interface
+    port (
+      CLK                     : in    std_logic;
+      RESET                   : in    std_logic;
+      DATA_BUS                : in    std_logic_vector(31 downto 0);
+      ETRAX_DATA_BUS_B        : out std_logic_vector(17 downto 0);
+      ETRAX_DATA_BUS_C        : inout std_logic_vector(17 downto 0);
+      DATA_VALID              : in    std_logic;
+      ETRAX_BUS_BUSY          : out   std_logic;
+      ETRAX_IS_READY_TO_READ  : out   std_logic;
+      TDC_TCK                 : out   std_logic;
+      TDC_TDI                 : out   std_logic;
+      TDC_TMS                 : out   std_logic;
+      TDC_TRST                : out   std_logic;
+      TDC_TDO                 : in    std_logic;
+      TDC_RESET               : out   std_logic;
+      EXTERNAL_ADDRESS        : out   std_logic_vector(31 downto 0);
+      EXTERNAL_DATA_OUT       : out std_logic_vector(31 downto 0);
+      EXTERNAL_DATA_IN        : in std_logic_vector(31 downto 0);
+      EXTERNAL_ACK            : out   std_logic;
+      EXTERNAL_VALID          : in    std_logic;
+      EXTERNAL_MODE           : out   std_logic_vector(15 downto 0);
+      FPGA_REGISTER_00        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_01        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_02        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_06        : out    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_07        : out    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
+      FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
+      EXTERNAL_RESET          : out   std_logic;
+      LVL2_VALID              : in    std_logic
+      );
+    end component;
+     component tlk_interface
+       port (
+         VIRT_CLK     : in    std_logic;
+         ENABLE       : out   std_logic;
+         LCKREFN      : out   std_logic;
+         LOOPEN       : out   std_logic;
+         PRBSEN       : out   std_logic;
+         RX_CLK       : in    std_logic;
+         RX_DV        : in    std_logic;
+         RX_ER        : in    std_logic;
+         TLK_CLK      : in    std_logic;
+         TLK_RXD      : in    std_logic_vector (15 downto 0);
+         TLK_TXD      : out   std_logic_vector (15 downto 0);
+         TX_EN        : out   std_logic;
+         TX_ER        : out   std_logic;
+         RESET_VIRT   : in    std_logic;
+         TLK_REGISTER_00 : out std_logic_vector(31 downto 0);
+         TLK_REGISTER_01 : out std_logic_vector(31 downto 0);
+         SFP_LOS      : in std_logic;
+         TX_DIS       : out std_logic);
+   end component;
+   component dsp_interface
+     port (
+ -- signal to/from dsp
+     HBR_OUT     : out   std_logic;      -- Host Bus Request to DSP
+     HBG_IN      : in    std_logic;      -- Host Bus Grant from DSP
+     RD_OUT      : out   std_logic;      -- read/write enable of DSP
+     DSP_DATA_OUT : out std_logic_vector(31 downto 0);
+     DSP_DATA_IN  : in std_logic_vector(31 downto 0);
+     ADDRESS_DSP : out   std_logic_vector(31 downto 0);
+     WRL         : out   std_logic;    --when dsp slave is output
+     WRH         : out std_logic;      --when dsp slave is output
+     BM_IN       : in    std_logic;      --Bus Master. For debug
+     DSP_RESET   : out   std_logic;
+     BRST        : inout std_logic;
+     ACK         : in    std_logic;
+ --internal data and address bus
+     CLK                : in    std_logic;
+     RESET              : in    std_logic;
+     R_W_ENABLE         : in    std_logic;
+     TRIGGER            : in    std_logic;
+     INTERNAL_DATA_IN   : in std_logic_vector(31 downto 0);  --63 downto 0
+     INTERNAL_DATA_OUT  : out std_logic_vector(31 downto 0);  --63 downto 0
+     INTERNAL_ADDRESS   : in    std_logic_vector(31 downto 0);
+     VALID_DATA_SENT    : out   std_logic;
+     ACKNOWLEDGE        : in    std_logic;
+     DEBUGSTATE_MACHINE : out   std_logic_vector(31 downto 0)
+     );
+   end component;
+  component dtu_interface
+    port (
+      CLK                   : in  std_logic;
+      RESET                 : in  std_logic;
+      LVL1_TRIGGER_BUS      : in  std_logic;
+      LVL1_DATA_TRIGGER_BUS : in  std_logic;
+      LVL1_DATA_BUS         : in  std_logic_vector(3 downto 0);
+      LVL1_ERROR_BUS        : out std_logic;
+      LVL1_BUSY_BUS         : out std_logic;
+      LVL1_TRIGGER          : out std_logic;
+      LVL1_CODE             : out std_logic_vector(3 downto 0);
+      LVL1_TAG              : out std_logic_vector(7 downto 0);
+      LVL1_BUSY             : in  std_logic;
+      LVL2_TRIGGER_BUS      : in  std_logic;
+      LVL2_DATA_TRIGGER_BUS : in  std_logic;
+      LVL2_DATA_BUS         : in  std_logic_vector(3 downto 0);
+      LVL2_ERROR_BUS        : out std_logic;
+      LVL2_BUSY_BUS         : out std_logic;
+      LVL2_TRIGGER          : out std_logic;
+      LVL2_CODE             : out std_logic_vector(3 downto 0);
+      LVL2_TAG              : out std_logic_vector(7 downto 0);
+      LVL2_BUSY             : in  std_logic;
+      LVL2_TRB_ACK          : in  std_logic;
+      DTU_DEBUG_00          : out std_logic_vector( 31 downto 0));
+  end component;
+
+   component sdram_interface
+     port (
+--connection with sdram
+       CLK_SDRAM          : out   std_logic;
+       CKE                : out   std_logic;
+       CS                 : out   std_logic;
+       RAS                : out   std_logic;
+       CAS                : out   std_logic;
+       WE                 : out   std_logic;
+       DQM                : out   std_logic_vector(3 downto 0);
+       BA                 : out   std_logic_vector (1 downto 0);
+       A                  : out   std_logic_vector(12 downto 0);
+       DQ                 : inout std_logic_vector(31 downto 0);
+--internal signals
+       CLK                : in    std_logic;
+       RESET              : in    std_logic;
+       TRIGGER            : in    std_logic;
+       INTERNAL_DATA_IN   : in    std_logic_vector(31 downto 0);  
+       INTERNAL_DATA_OUT  : out   std_logic_vector(31 downto 0);  
+       INTERNAL_ADDRESS   : in    std_logic_vector(31 downto 0);
+       INTERNAL_MODE      : in    std_logic_vector(15 downto 0);
+       VALID_DATA_SENT    : out   std_logic;
+       DEBUGSTATE_MACHINE : out   std_logic_vector(31 downto 0)
+       );
+   end component;
+  component ctu
+    port (
+      CLK              : in  std_logic;
+      RESET            : in  std_logic;
+      LVL1_TRIGG       : in  std_logic_vector(7 downto 0);
+      LVL1_START       : out std_logic;
+      LVL1_TAG         : out std_logic_vector(7 downto 0);
+      LVL1_CODE        : out std_logic_vector(3 downto 0);
+      LVL1_BUSY        : in  std_logic;
+      LVL2_TRIGG       : in  std_logic_vector(1 downto 0);
+      LVL2_START       : out std_logic_vector(1 downto 0);
+      LVL2_TAG         : out std_logic_vector(7 downto 0);
+      LVL2_BUSY        : in  std_logic;
+      LVL2_DOWNSCALING : in  std_logic_vector(7 downto 0);
+      CTU_CONTROL      : in  std_logic_vector(31 downto 0);
+      LVL1_CTU_STATUS  : out std_logic_vector(31 downto 0);
+      LVL2_CTU_STATUS  : out std_logic_vector(31 downto 0));
+  end component;
+  component simpleupcounter_32bit
+    port (
+      QOUT : out std_logic_vector(31 downto 0);
+      UP   : in  std_logic;
+      CLK  : in  std_logic;
+      CLR  : in  std_logic);
+  end component;
+
+  -----------------------------------------------------------------------------
+  -- SPI --component !!!
+  -----------------------------------------------------------------------------
+
+
+  -----------------------------------------------------------------------------
+  -- EDGE TO PULSE
+  -----------------------------------------------------------------------------
+  component edge_to_pulse
+    port (
+      clock     : in  std_logic;
+      en_clk    : in  std_logic;
+      signal_in : in  std_logic;
+      pulse     : out std_logic);
+    end component;
+-------------------------------------------------------------------------------
+-- SIGNALS
+-------------------------------------------------------------------------------
+  signal CLK : std_logic;
+  signal a_trigg : std_logic;
+  signal b_trigg : std_logic;
+  signal c_trigg : std_logic;
+  signal d_trigg : std_logic;
+  signal reference_signal : std_logic;
+  signal tdc_clk : std_logic;
+  signal to_many_tdc_data_i : std_logic;
+  signal tdc_readout_completed_i : std_logic;
+  signal how_many_add_data_i : std_logic_vector(7 downto 0);
+  signal tdc_data_out_i : std_logic_vector(31 downto 0);
+  signal tdc_data_valid_i : std_logic;
+  signal lvl1_busy_i : std_logic;
+  signal not_lvl1_busy : std_logic;
+  signal lvl2_busy_i : std_logic;
+  signal lvl1_tdc_trigg_i : std_logic;
+  signal lvl2_tdc_trigg_i : std_logic_vector(1 downto 0);
+  signal lvl1_trigger_code_i : std_logic_vector(3 downto 0);
+  signal lvl1_trigger_tag_i : std_logic_vector(7 downto 0);
+  signal tdc_code_i : std_logic_vector(3 downto 0);
+  signal tdc_tag_i : std_logic_vector(7 downto 0);
+  signal lvl2_trigger_i : std_logic_vector(1 downto 0);
+  signal lvl1_trigger_i : std_logic;
+  signal trigg_without_hades_i : std_logic;
+  signal trigg_without_hades_t : std_logic;
+  signal tdc_control_register_i : std_logic_vector(7 downto 0);
+  signal delay_trigger_i : std_logic_vector(7 downto 0);
+  signal trb_ack_lvl1_i : std_logic;
+  signal trb_ack_lvl2_i : std_logic;
+  signal etrax_data_bus_i : std_logic_vector(35 downto 0);
+  signal etrax_bus_busy_i : std_logic; --should go to busy logic !? 
+  signal tdc_lvl1_busy_i : std_logic;
+  signal tdc_lvl2_busy_i : std_logic;
+  signal etrax_is_ready_to_read_i : std_logic;
+  signal tlk_rx_clk_io : std_logic;
+  signal tlk_clk_io : std_logic;
+  signal tlk_rx_clk_r : std_logic;
+  signal tlk_clk_r : std_logic;
+  signal tlk_register_00_i : std_logic_vector(31 downto 0);
+  signal tlk_register_01_i : std_logic_vector(31 downto 0);
+  signal link_status_i : std_logic_vector(3 downto 0);
+  signal fpga_register_18_i : std_logic_vector(31 downto 0);
+  signal fpga_register_10_i : std_logic_vector(31 downto 0);
+  signal dsp_register_00_i : std_logic_vector(31 downto 0);
+  signal external_address_i : std_logic_vector(31 downto 0);
+  signal external_data_in_i : std_logic_vector(31 downto 0);
+  signal external_data_out_i : std_logic_vector(31 downto 0);
+  signal external_ack_i : std_logic;
+  signal external_valid_i : std_logic;
+  signal external_mode_i : std_logic_vector(15 downto 0);
+  signal dsp_strobe_i : std_logic;
+  signal dsp_external_valid_i : std_logic;
+  signal reset_i : std_logic;
+  signal fpga_register_01_i : std_logic_vector(31 downto 0);
+  signal fpga_register_02_i : std_logic_vector(31 downto 0);
+  signal fpga_register_03_i : std_logic_vector(31 downto 0);
+  signal fpga_register_04_i : std_logic_vector(31 downto 0);
+  signal fpga_register_05_i : std_logic_vector(31 downto 0);
+  signal fpga_register_06_i : std_logic_vector(31 downto 0);
+  signal fpga_register_07_i : std_logic_vector(31 downto 0);
+  signal fpga_register_08_i : std_logic_vector(31 downto 0);
+  signal fpga_register_09_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0b_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0c_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0d_i : std_logic_vector(31 downto 0);
+  
+  signal fpga_register_19_i : std_logic_vector(31 downto 0);
+  signal fpga_register_20_i : std_logic_vector(31 downto 0);
+
+  signal fpga_register_22_i : std_logic_vector(31 downto 0);
+  signal fpga_register_23_i : std_logic_vector(31 downto 0);
+  signal fpga_register_24_i : std_logic_vector(31 downto 0):=x"00000000";
+  signal fpga_register_25_i : std_logic_vector(31 downto 0);
+  signal dsp_hbr_i : std_logic;
+  signal external_reset_i : std_logic;
+  signal dspdat_out_i : std_logic_vector(31 downto 0);
+  signal dspdat_in_i : std_logic_vector(31 downto 0);
+  signal dsp_data_out_i : std_logic_vector(31 downto 0);
+  signal dspaddr_i : std_logic_vector(31 downto 0);
+  signal internal_clock : std_logic;
+  signal internal_clock_not : std_logic;
+  signal external_debug_i : std_logic;
+  signal DSP_WRL_i: std_logic;
+  signal DSP_RD_i: std_logic;
+  signal tdc_register_00_i : std_logic_vector(31 downto 0);
+  signal tdc_register_01_i : std_logic_vector(31 downto 0);
+  signal tdc_register_02_i : std_logic_vector(31 downto 0);
+  signal tdc_register_03_i : std_logic_vector(31 downto 0);
+  signal tdc_register_04_i : std_logic_vector(31 downto 0);
+  signal tdc_control_register_e : std_logic_vector(31 downto 0);
+  signal simulation_00 : std_logic_vector(3 downto 0);
+  signal bunch_reset_i : std_logic;
+  signal event_reset_i : std_logic;
+  signal trigger_to_tdc_i : std_logic;
+  signal trb_status_register_00 : std_logic_vector(31 downto 0);
+  signal token_out_i : std_logic;
+  signal test_en_tdc_clk : std_logic;
+  signal test_en : std_logic;
+  signal busy_register_00_i : std_logic_vector(31 downto 0);
+  signal busy_register_01_i : std_logic_vector(31 downto 0);
+  signal trigger_register_00_i : std_logic_vector(31 downto 0);
+  signal lvl2_trigger_code_i : std_logic_vector(3 downto 0):=x"0";
+  signal trb_ack_lvl1_long_i : std_logic;
+  signal trb_ack_lvl2_long_i : std_logic;
+  signal lvl1_counter_ack : std_logic_vector(7 downto 0);
+  signal lvl2_counter_ack : std_logic_vector(7 downto 0);
+  signal lvl2_trigger_delay : std_logic;
+  signal lvl2_delay_trigg_count : std_logic_vector(7 downto 0);
+  signal lvl2_trigger_code_synch : std_logic;
+  signal write_lvl1_busy_i : std_logic;
+  signal  dsp_data_reg_in_i : std_logic_vector(31 downto 0);
+  signal  dsp_data_reg_out_i : std_logic_vector(31 downto 0);
+  signal lvds_add_on_data : std_logic_vector(31 downto 0);
+  signal dsp_bm_reg : std_logic;
+  signal dsp_link_data_in_0 : std_logic_vector(3 downto 0);
+  signal dsp_link_data_out_0 : std_logic_vector(3 downto 0);
+  signal dsp_link_clk_in_0 : std_logic;
+  signal dsp_link_clk_out_0 : std_logic;
+  signal dsp_link_data_in_1 : std_logic_vector(3 downto 0);
+  signal dsp_link_data_out_1 : std_logic_vector(3 downto 0);
+  signal dsp_link_clk_in_1 : std_logic;
+  signal dsp_link_clk_out_1 : std_logic;
+  signal trigger_without_hades_mdc_add : std_logic;
+  signal not_reset_pulse : std_logic;
+  signal switch_for_start : std_logic;
+  signal not_external_reset : std_logic;
+  signal sdram_register_00_i : std_logic_vector(31 downto 0);
+  signal sdram_external_valid_i : std_logic;
+  signal sdram_data_out_i : std_logic_vector(31 downto 0);
+  signal vsd_cs_i : std_logic;
+  signal sdram_data_i  : std_logic_vector(31 downto 0);
+  signal sdram_address_i : std_logic_vector(31 downto 0);
+  signal etrax_register_00_i  : std_logic_vector(31 downto 0);
+  signal lvl1_triggers : std_logic_vector(7 downto 0);
+  signal scaler_counter_0 : std_logic_vector(31 downto 0);
+  signal scaler_counter_1 : std_logic_vector(31 downto 0);
+  signal scaler_counter_2 : std_logic_vector(31 downto 0);
+  signal scaler_counter_3 : std_logic_vector(31 downto 0);
+  signal scaler_counter_4 : std_logic_vector(31 downto 0);
+  signal scaler_counter_5 : std_logic_vector(31 downto 0);
+  signal scaler_counter_6 : std_logic_vector(31 downto 0);
+  signal scaler_counter_7 : std_logic_vector(31 downto 0);
+  signal scaler_pulse : std_logic_vector(7 downto 0);
+  signal lvl1_ctu_status_i : std_logic_vector(31 downto 0);
+  signal lvl2_ctu_status_i : std_logic_vector(31 downto 0);
+  signal lvl2_busy_fast : std_logic;
+  signal lvl2_busy_out : std_logic;
+  signal token_counter  : std_logic_vector(7 downto 0);
+  signal self_token  :std_logic;
+  signal self_data_valid : std_logic;
+  signal external_reset : std_logic;
+  signal generator_trigger : std_logic;
+  signal check_pulse : std_logic;
+  signal check_counter : std_logic_vector(16 downto 0);
+--api
+  signal med_dataready_out_i        : STD_LOGIC;
+  signal med_data_out_i             : STD_LOGIC_VECTOR (63 downto 0);
+  signal med_dataready_in_i         : STD_LOGIC;
+  signal med_dataready_in_synch     : STD_LOGIC;
+  signal med_data_in_i              : STD_LOGIC_VECTOR (63 downto 0);
+  signal med_read_out_i             : STD_LOGIC;
+  signal med_read_in_i              : STD_LOGIC;
+  signal med_error_in_i             : STD_LOGIC_VECTOR (2 downto 0);
+  signal apl_data_in_i              : STD_LOGIC_VECTOR (47 downto 0);
+  signal apl_write_in_i             : STD_LOGIC;
+  signal apl_fifo_full_out_i        : STD_LOGIC;
+  signal apl_short_transfer_in_i    : STD_LOGIC;
+  signal apl_dtype_in_i             : STD_LOGIC_VECTOR (3 downto 0);
+  signal apl_error_pattern_in_i     : STD_LOGIC_VECTOR (31 downto 0);
+  signal apl_send_in_i              : STD_LOGIC;
+  signal apl_target_address_in_i    : STD_LOGIC_VECTOR (15 downto 0);
+  signal apl_data_out_i             : STD_LOGIC_VECTOR (47 downto 0);
+  signal apl_typ_out_i              : STD_LOGIC_VECTOR (2 downto 0);
+  signal apl_dataready_out_i        : STD_LOGIC;
+  signal apl_read_in_i              : STD_LOGIC;
+  signal apl_run_out_i              : STD_LOGIC;
+  signal apl_my_address_in_i        : STD_LOGIC_VECTOR (15 downto 0);
+  signal apl_seqnr_out_i            : STD_LOGIC_VECTOR (7 downto 0);
+  signal stat_gen_i                 : STD_LOGIC_VECTOR (31 downto 0);
+  signal stat_locked_i              : STD_LOGIC_VECTOR (31 downto 0);
+  signal stat_init_buffer_i         : STD_LOGIC_VECTOR (31 downto 0);
+  signal stat_reply_buffer_i        : STD_LOGIC_VECTOR (31 downto 0);
+  signal stat_api_control_signals_i : std_logic_vector (31 downto 0);
+  signal ctrl_gen_i                 : STD_LOGIC_VECTOR (31 downto 0);
+  signal ctrl_locked_i              : STD_LOGIC_VECTOR (31 downto 0);
+  signal stat_ctrl_init_buffer_i    : STD_LOGIC_VECTOR (31 downto 0);
+  signal stat_ctrl_reply_buffer_i   : STD_LOGIC_VECTOR (31 downto 0);
+  signal mplex_ctrl_i               : STD_LOGIC_VECTOR (31 downto 0);
+  signal api_stat_fifo_to_int_i     : std_logic_vector (31 downto 0);
+  signal api_stat_fifo_to_apl_i     : std_logic_vector (31 downto 0);
+  signal not_link_status_i          : std_logic;
+--spi
+  --a
+  signal spi_sck_a : std_logic;
+  signal spi_cs_a  : std_logic;
+  signal spi_sdi_a : std_logic;
+  signal spi_sdo_a : std_logic;
+  --b
+  signal spi_sck_b : std_logic;
+  signal spi_cs_b  : std_logic;
+  signal spi_sdi_b : std_logic;
+  signal spi_sdo_b : std_logic;
+  --c
+  signal spi_sck_c : std_logic;
+  signal spi_cs_c  : std_logic;
+  signal spi_sdi_c : std_logic;
+  signal spi_sdo_c : std_logic;
+  --d
+  signal spi_sck_d : std_logic;
+  signal spi_cs_d  : std_logic;
+  signal spi_sdi_d : std_logic;
+  signal spi_sdo_d : std_logic;
+--test signals
+  signal test_a1        : std_logic;
+  signal test_a2        : std_logic;
+  signal test_b1        : std_logic;
+  signal test_b2        : std_logic;
+  signal test_c1        : std_logic;
+  signal test_c2        : std_logic;
+  signal test_d1        : std_logic;
+  signal test_d2        : std_logic;
+  signal test_counter_1 : std_logic_vector(31 downto 0);
+  signal test_counter_2 : std_logic_vector(31 downto 0);
+  signal trigger_for_test_signal : std_logic;
+  signal not_hades_trigger : std_logic;
+--internal
+  signal lvds_or : std_logic;
+  signal med_data_in_i_saved : std_logic_vector(63 downto 0);
+  signal end_of_transfer : std_logic;
+  signal saved_txd : std_logic_vector(15 downto 0);
+  signal fs_pc17 : std_logic;
+  signal med_packet_num_in_i : std_logic_vector(1 downto 0);
+begin
+  ------------------------------------------------------------------------------
+  --  LVDS signals
+  ------------------------------------------------------------------------------
+  -- CLK
+     IBUFGDS_CLK : IBUFGDS                 
+      generic map (
+         IOSTANDARD => "LVDS_25_DCI")
+      port map (
+         O => CLK,--CLK,
+         I => VIRT_CLK,  
+         IB => VIRT_CLKB -- Diff_n clock buffer input (connect to top-level port)
+      );
+  -- TDC
+    IBUFGDS_TDC_CLK : IBUFGDS                 
+     generic map (
+        IOSTANDARD => "LVDS_25_DCI")                              --_DCI
+     port map (
+        O => tdc_clk,
+        I => REF_TDC_CLK,  
+        IB => REF_TDC_CLKB-- Diff_n clock buffer input (connect to top-level port)
+     );
+     IBUFDS_TRIGG_A : OBUFDS
+     port map (
+       O => A_TRIGGER,   
+       OB => A_TRIGGERB,  
+       I => a_trigg
+       );
+     IBUFDS_TRIGG_B : OBUFDS
+      port map (
+         O => B_TRIGGER,   
+         OB => B_TRIGGERB,  
+         I => b_trigg
+      );
+     IBUFDS_TRIGG_C : OBUFDS
+      port map (
+         O => C_TRIGGER,   
+         OB => C_TRIGGERB,  
+         I => c_trigg
+      );
+     IBUFDS_TRIGG_D : OBUFDS
+      port map (
+         O => D_TRIGGER,   
+         OB => D_TRIGGERB,  
+         I => d_trigg
+      );
+     IBUFDS_REFERENCE : IBUFGDS
+     generic map (
+        IOSTANDARD => "LVDS_25_DCI")
+     port map (
+        O => reference_signal,
+        I => VIR_TRIG,
+        IB => VIR_TRIGB
+     );
+
+     OBUFDS_BUNCH_RESET_A : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_TDC_BU_RESET,   
+         OB =>A_TDC_BU_RESETB ,  
+         I => bunch_reset_i
+      );
+      OBUFDS_EVENT_RESET_A : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_TDC_EV_RESET,   
+         OB =>A_TDC_EV_RESETB ,  
+         I => event_reset_i
+      );
+     OBUFDS_BUNCH_RESET_B : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_TDC_BU_RESET,   
+         OB =>B_TDC_BU_RESETB ,  
+         I => bunch_reset_i
+      );
+      OBUFDS_EVENT_RESET_B : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_TDC_EV_RESET,   
+         OB =>B_TDC_EV_RESETB ,  
+         I => event_reset_i
+      );
+     OBUFDS_BUNCH_RESET_C : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_TDC_BU_RESET,   
+         OB =>C_TDC_BU_RESETB ,  
+         I => bunch_reset_i
+      );
+      OBUFDS_EVENT_RESET_C : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_TDC_EV_RESET,   
+         OB =>C_TDC_EV_RESETB ,  
+         I => event_reset_i
+      );
+
+     OBUFDS_BUNCH_RESET_D : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_TDC_BU_RESET,   
+         OB =>D_TDC_BU_RESETB ,  
+         I => bunch_reset_i
+      );
+      OBUFDS_EVENT_RESET_D : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_TDC_EV_RESET,   
+         OB =>D_TDC_EV_RESETB ,  
+         I => event_reset_i
+      );
+-- SPI
+     --A
+     OBUFDS_SCK_A : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_SCK,   
+         OB => A_SCKB,  
+         I => spi_sck_a
+      );
+     OBUFDS_SDO_A : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_SDO,   
+         OB => A_SDOB,  
+         I => spi_sdo_a
+      );
+     OBUFDS_CS_A : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_CS,   
+         OB => A_CSB,  
+         I => spi_cs_a
+         );
+     IBUFDS_SDI_A : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => A_SDI,
+         IB => A_SDIB,  
+         O => spi_sdi_a
+      );
+     spi_sck_a <= fpga_register_07_i(0);
+     spi_sdo_a <= fpga_register_07_i(1);
+     spi_cs_a <= fpga_register_07_i(2);
+     fpga_register_08_i(0) <= spi_sdi_a;
+     --B
+     OBUFDS_SCK_B  : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_SCK,   
+         OB => B_SCKB,  
+         I => spi_sck_b
+      );
+     OBUFDS_SDO_B : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_SDO,   
+         OB => B_SDOB,  
+         I => spi_sdo_b
+      );
+     OBUFDS_CS_B : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_CS,   
+         OB => B_CSB,  
+         I => spi_cs_b
+         );
+     IBUFDS_SDI_B : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => B_SDI,
+         IB => B_SDIB,  
+         O => spi_sdi_b
+      );
+     spi_sck_b <= fpga_register_07_i(3);
+     spi_sdo_b <= fpga_register_07_i(4);
+     spi_cs_b <= fpga_register_07_i(5);
+     fpga_register_08_i(1) <= spi_sdi_b;
+     --C
+     OBUFDS_SCK_C : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_SCK,   
+         OB => C_SCKB,  
+         I => spi_sck_c
+      );
+     OBUFDS_SDO_C : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_SDO,   
+         OB => C_SDOB,  
+         I => spi_sdo_c
+      );
+     OBUFDS_CS_C : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_CS,   
+         OB => C_CSB,  
+         I => spi_cs_c
+         );
+     IBUFDS_SDI_C : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => C_SDI,
+         IB => C_SDIB,  
+         O => spi_sdi_c
+      );
+     spi_sck_c <= fpga_register_07_i(6);
+     spi_sdo_c <= fpga_register_07_i(7);
+     spi_cs_c <= fpga_register_07_i(8);
+     fpga_register_08_i(2) <= spi_sdi_c;
+     --D
+     OBUFDS_SCK_D : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_SCK,   
+         OB => D_SCKB,  
+         I => spi_sck_d
+      );
+     OBUFDS_SDO_D : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_SDO,   
+         OB => D_SDOB,  
+         I => spi_sdo_d
+      );
+     OBUFDS_CS_D : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_CS,   
+         OB => D_CSB,  
+         I => spi_cs_d
+         );
+     IBUFDS_SDI_D : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => D_SDI,
+         IB => D_SDIB,  
+         O => spi_sdi_d
+      );
+     spi_sck_d <= fpga_register_07_i(9);
+     spi_sdo_d <= fpga_register_07_i(10);
+     spi_cs_d <= fpga_register_07_i(11);
+     fpga_register_08_i(3) <= spi_sdi_d;
+-- Test signals
+     OBUFDS_TEST_A1 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_TEST1,   
+         OB => A_TEST1B,  
+         I => test_a1
+         );
+       OBUFDS_TEST_A2 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => A_TEST2,   
+         OB => A_TEST2B,  
+         I => test_a2
+         );
+     OBUFDS_TEST_B1 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_TEST1,   
+         OB => B_TEST1B,  
+         I => test_b1
+         );
+       OBUFDS_TEST_B2 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => B_TEST2,   
+         OB => B_TEST2B,  
+         I => test_b2
+         );
+     OBUFDS_TEST_C1 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_TEST1,   
+         OB => C_TEST1B,  
+         I => test_c1
+         );
+       OBUFDS_TEST_C2 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => C_TEST2,   
+         OB => C_TEST2B,  
+         I => test_c2
+         );
+     OBUFDS_TEST_D1 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_TEST1,   
+         OB => D_TEST1B,  
+         I => test_d1
+         );
+       OBUFDS_TEST_D2 : OBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25"
+         )
+      port map (
+         O => D_TEST2,   
+         OB => D_TEST2B,  
+         I => test_d2                   --i should be able to switch on off 1
+                                        --or 2
+         );
+     COUNTER_FOR_TEST_1: process (CLK, external_reset_i,test_counter_1)
+     begin 
+       if rising_edge(CLK) then
+         if external_reset_i = '1' or test_counter_1 > x"186A0"then  --1kHz
+           test_counter_1 <= (others => '0');
+         else
+           test_counter_1 <= test_counter_1 + 1;
+         end if;
+       end if;
+     end process COUNTER_FOR_TEST_1;
+     COUNTER_FOR_TEST_2: process (CLK, external_reset_i,test_counter_2)  
+     begin 
+       if rising_edge(CLK) then
+         if external_reset_i = '1' or test_counter_2 > x"186A0" then --1kHz
+           test_counter_2 <= (others => '0');
+         else
+           test_counter_2 <= test_counter_2 + 1;
+         end if;
+       end if;
+     end process COUNTER_FOR_TEST_2;
+     --lenght of signal depend on this condition: test_counter_1 < x". ."
+     --frequency in process:. . or test_counter_x > x". ."
+     test_a1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1')  else '0'; 
+     test_b1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1')  else '0';
+     test_c1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1')  else '0';
+     test_d1 <= '1' when (test_counter_1 < x"64" and fpga_register_06_i(1)='1')  else '0';
+     test_a2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1')  else '0';
+     test_b2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1')  else '0';
+     test_c2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1')  else '0';
+     test_d2 <= '1' when (test_counter_2 < x"64" and fpga_register_06_i(2)='1')  else '0';
+     trigger_for_test_signal <= '1' when ((test_counter_1 > x"C8" and test_counter_1 < x"CC") and (fpga_register_06_i(1)='1' or fpga_register_06_i(2) ='1' )) else '0';
+-- ADD_LVDS
+     IBUFDS_LVDS_0 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(0),
+         IB => ADO_LV(1),  
+         O => lvds_add_on_data(0)
+      );
+          IBUFDS_LVDS_1 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(2),
+         IB => ADO_LV(3),  
+         O => lvds_add_on_data(1)
+      );
+     IBUFDS_LVDS_2 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(4),
+         IB => ADO_LV(5),  
+         O => lvds_add_on_data(2)
+      );
+     IBUFDS_LVDS_3 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(6),
+         IB => ADO_LV(7),  
+         O => lvds_add_on_data(3)
+      );
+     IBUFDS_LVDS_4 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(8),
+         IB => ADO_LV(9),  
+         O => lvds_add_on_data(4)
+      );
+     IBUFDS_LVDS_5 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(10),
+         IB => ADO_LV(11),  
+         O => lvds_add_on_data(5)
+      );
+     IBUFDS_LVDS_6 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(12),
+         IB => ADO_LV(13),  
+         O => lvds_add_on_data(6)
+      );
+     IBUFDS_LVDS_7 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(14),
+         IB => ADO_LV(15),  
+         O => lvds_add_on_data(7)
+      );
+     IBUFDS_LVDS_8 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(16),
+         IB => ADO_LV(17),  
+         O => lvds_add_on_data(8)
+      );
+     IBUFDS_LVDS_9 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(18),
+         IB => ADO_LV(19),  
+         O => lvds_add_on_data(9)
+      );
+     IBUFDS_LVDS_10 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(20),
+         IB => ADO_LV(21),  
+         O => lvds_add_on_data(10)
+      );
+     IBUFDS_LVDS_11 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(22),
+         IB => ADO_LV(23),  
+         O => lvds_add_on_data(11)
+      );
+     IBUFDS_LVDS_12 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(24),
+         IB => ADO_LV(25),  
+         O => lvds_add_on_data(12)
+      );
+     IBUFDS_LVDS_13 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(26),
+         IB => ADO_LV(27),  
+         O => lvds_add_on_data(13)
+      );
+     IBUFDS_LVDS_14 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(28),
+         IB => ADO_LV(29),  
+         O => lvds_add_on_data(14)
+      );
+     IBUFDS_LVDS_15 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(30),
+         IB => ADO_LV(31),  
+         O => lvds_add_on_data(15)
+      );
+     IBUFDS_LVDS_16 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(32),
+         IB => ADO_LV(33),  
+         O => lvds_add_on_data(16)
+      );
+     IBUFDS_LVDS_17 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(34),
+         IB => ADO_LV(35),  
+         O => lvds_add_on_data(17)
+      );
+     IBUFDS_LVDS_18 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(36),
+         IB => ADO_LV(37),  
+         O => lvds_add_on_data(18)
+      );
+     IBUFDS_LVDS_19 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(38),
+         IB => ADO_LV(39),  
+         O => lvds_add_on_data(19)
+      );
+     IBUFDS_LVDS_20 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(40),
+         IB => ADO_LV(41),  
+         O => lvds_add_on_data(20)
+      );
+     IBUFDS_LVDS_21 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(42),
+         IB => ADO_LV(43),  
+         O => lvds_add_on_data(21)
+      );
+     IBUFDS_LVDS_22 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(44),
+         IB => ADO_LV(45),  
+         O => lvds_add_on_data(22)
+      );
+     IBUFDS_LVDS_23 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(46),
+         IB => ADO_LV(47),  
+         O => lvds_add_on_data(23)
+      );
+     IBUFDS_LVDS_24 : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(48),
+         IB => ADO_LV(49),  
+         O => lvds_add_on_data(24)
+      );
+     IBUFDS_LVDS_25_DCI : IBUFDS
+       generic map (
+         IOSTANDARD => "LVDS_25_DCI"
+         )
+      port map (
+         I => ADO_LV(50),
+         IB => ADO_LV(51),  
+         O => lvds_add_on_data(25)
+      );
+--      IBUFDS_LVDS_26 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25_DCI"
+--          )
+--       port map (
+--          I => ADO_LV(52),
+--          IB => ADO_LV(53),  
+--          O => lvds_add_on_data(26)
+--       );
+--      IBUFDS_LVDS_27 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25_DCI"
+--          )
+--       port map (
+--          I => ADO_LV(54),
+--          IB => ADO_LV(55),  
+--          O => lvds_add_on_data(27)
+--       );
+--      IBUFDS_LVDS_28 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25_DCI"
+--          )
+--       port map (
+--          I => ADO_LV(56) ,
+--          IB => ADO_LV(57),  
+--          O => lvds_add_on_data(28)
+--       );
+--      IBUFDS_LVDS_29 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25_DCI"
+--          )
+--       port map (
+--          I => ADO_LV(58),
+--          IB => ADO_LV(59),  
+--          O => lvds_add_on_data(29)
+--       );
+--      IBUFDS_LVDS_30 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25_DCI"
+--          )
+--       port map (
+--          I => ADO_LV(60),
+--          IB => ADO_LV(61),  
+--          O => lvds_add_on_data(30)
+--       );
+     save_tlk_data: process (tlk_rx_clk_r, external_reset_i)
+     begin  
+       if rising_edge(tlk_rx_clk_r) then  
+         if external_reset_i = '1' then
+            saved_txd <= (others => '0');
+         elsif TLK_RX_DV ='1'and TLK_RX_ER = '0' then
+           saved_txd <= TLK_RXD;
+         else
+           saved_txd <=saved_txd; 
+         end if;
+       end if;
+     end process save_tlk_data;
+     
+--      ADO_TTL(0) <= apl_dataready_out_i;
+--      ADO_TTL(4 downto 1) <= apl_seqnr_out_i(3 downto 0);
+--      ADO_TTL(8) <= med_dataready_out_i;
+--      ADO_TTL(6 downto 5) <= apl_data_out_i(1 downto 0);
+--      ADO_TTL(7) <= end_of_transfer;
+--      ADO_TTL(9) <= med_dataready_in_i;
+--      ADO_TTL(10) <= apl_send_in_i;
+--      ADO_TTL(12 downto 11) <= stat_reply_buffer_i(15 downto 14);
+--      ADO_TTL(15 downto 13) <= api_stat_fifo_to_int_i(31 downto 29);--stat_reply_buffer_i(15 downto 14);
+
+--    MED_DATA_READY_SYNCH: process (CLK, external_reset_i)
+--         begin
+--           if rising_edge( CLK) then  
+--             if external_reset_i = '1' then 
+--              med_dataready_in_i <= '0';
+--             else
+--               med_dataready_in_i <= med_dataready_in_synch;
+--             end if;
+--           end if;
+--         end process MED_DATA_READY_SYNCH;     
+
+--
+--      STARTUP_VIRTEX4_inst : STARTUP_VIRTEX4
+--      port map (
+--         EOS => open,      -- End of Startup 1-bit output
+--         CLK => CLK,      -- Clock input for start-up sequence
+--         GSR => FS_PB(17), -- Global Set/Reset input (GSR cannot be used for the port name)
+--         GTS => open, -- Global 3-state input (GTS cannot be used for the port name)
+--         USRCCLKO => open,   -- USRCCLKO 1-bit input
+--         USRCCLKTS => open, -- USRCCLKTS 1-bit input
+--         USRDONEO => open,   -- USRDONEO 1-bit input
+--         USRDONETS => open  -- USRDONETS 1-bit input
+--      ); 
+--Shark links
+--      DSP_L0DATIP       : out std_logic_vector (3 downto 0);
+--      DSP_L0DATIN      : out std_logic_vector (3 downto 0);
+--      DSP_L0DATOP       : in std_logic_vector (3 downto 0);
+--      DSP_L0DATON     : in std_logic_vector (3 downto 0);
+--      DSP_L0CLKINP     : out std_logic;
+--      DSP_L0CLKINN     : out std_logic;
+--      DSP_L0CLKOUTP    : in std_logic; 
+--      DSP_L0CLKOUTN    : in std_logic;
+--      DSP_DATA_LINK0_OUT_0 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_OUT_1 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_OUT_2 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_OUT_3 : IBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => 
+--       );
+--      DSP_DATA_LINK0_IN_0 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => dsp_link_data_in_0(0)
+--       );
+--      DSP_DATA_LINK0_IN_1 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => dsp_link_data_in_0(1)
+--          ;
+--      DSP_DATA_LINK0_IN_2 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O =>dsp_link_data_in_0(2) 
+--       );
+--      DSP_DATA_LINK0_IN_3 : OBUFDS
+--        generic map (
+--          IOSTANDARD => "LVDS_25"
+--          )
+--       port map (
+--          I => ,
+--          IB =>,  
+--          O => dsp_link_data_in_0(3)
+--       );
+-------------------------------------------------------------------------------
+-- api
+-------------------------------------------------------------------------------
+--       API_INST: trb_net16_endpoint_0_trg_1_api
+--         generic map (
+--             API_TYPE          => 0,
+--             INIT_DEPTH        => 1,
+--             REPLY_DEPTH       => 1,
+--             FIFO_TO_INT_DEPTH => 1,
+--             FIFO_TO_APL_DEPTH => 1,
+--             SBUF_VERSION      => 0,
+--             MUX_WIDTH         => 1,
+--             MUX_SECURE_MODE   => 0,
+--             DAT_CHANNEL       => 0,
+--             DATA_WIDTH        => 16,
+--             NUM_WIDTH         => 2)
+--         port map (
+--             CLK                      => CLK,
+--             RESET                    => external_reset_i,
+--             CLK_EN                   => '1',
+--             MED_DATAREADY_OUT        => TLK_TX_EN,--media_data_valid_in_i,
+--             MED_DATA_OUT             => TLK_TXD,--media_data_in_i,
+--             MED_PACKET_NUM_OUT       => open,--MED_PACKET_NUM_OUT_i,
+--             MED_READ_IN              => '1',
+--             MED_DATAREADY_IN         => TLK_RX_DV,--media_data_valid_out_i,
+--             MED_DATA_IN              => TLK_RXD,--media_data_out_i,
+--             MED_PACKET_NUM_IN        => med_packet_num_in_i,
+--             MED_READ_OUT             => open, --MED_READ_OUT_i, enable reading
+--                                               --data from trbv2_tlk_api_fifo
+--             MED_ERROR_IN             => "000",--MED_ERROR_IN_i, - if there is
+--                                               --link lok
+--             APL_DATA_IN              => x"0000",
+--             APL_PACKET_NUM_IN        => "00",
+--             APL_WRITE_IN             => '0',
+--             APL_FIFO_FULL_OUT        => open,
+--             APL_SHORT_TRANSFER_IN    => '1',
+--             APL_DTYPE_IN             => (others => '0'),
+--             APL_ERROR_PATTERN_IN     => x"12345678",
+--             APL_SEND_IN              => apl_send_in_i,
+--             APL_TARGET_ADDRESS_IN    => x"FFFF",
+--             APL_DATA_OUT             => open,
+--             APL_PACKET_NUM_OUT       => open,
+--             APL_TYP_OUT              => open,--lvl1_trigger_code_i,
+--             APL_DATAREADY_OUT        => lvl1_trigger_i,
+--             APL_READ_IN              => '1',
+--             APL_RUN_OUT              => open,
+--             APL_MY_ADDRESS_IN        => x"0001",
+--             APL_SEQNR_OUT            => apl_seqnr_out_i,
+--             STAT_GEN                 => open,--STAT_GEN_i,
+--             STAT_LOCKED              => open,--STAT_LOCKED_i,
+--             STAT_INIT_BUFFER         => open,--STAT_INIT_BUFFER_i,
+--             STAT_REPLY_BUFFER        => open,--STAT_REPLY_BUFFER_i,
+--             STAT_api_control_signals => open,--STAT_api_control_signals_i,
+--             STAT_MPLEX               => open,--STAT_MPLEX_i,
+--             CTRL_GEN                 => x"00000000",--CTRL_GEN_i,
+--             CTRL_LOCKED              => x"00000000",
+--             STAT_CTRL_INIT_BUFFER    => x"00000000",--STAT_CTRL_INIT_BUFFER_i,
+--             STAT_CTRL_REPLY_BUFFER   => x"00000000",--STAT_CTRL_REPLY_BUFFER_i,
+--             MPLEX_CTRL               => x"00000000",--MPLEX_CTRL_i,
+--             API_STAT_FIFO_TO_INT     => open,--API_STAT_FIFO_TO_INT_i,
+--             API_STAT_FIFO_TO_APL     => open--API_STAT_FIFO_TO_APL_i
+--             );
+--      COUNTER_FOR_API: process (CLK, external_reset_i)
+--       begin
+--         if rising_edge(CLK) then
+--           if external_reset_i = '1' then 
+--             med_packet_num_in_i <= (others => '0');
+--           elsif TLK_RX_DV = '1' then
+--             med_packet_num_in_i <= med_packet_num_in_i + 1;
+--           end if;                 
+--         end if;
+--       end process COUNTER_FOR_API;
+
+   -----------------------------------------------------------------------------
+   -- Component Instance
+   -----------------------------------------------------------------------------
+     reset_i <= not RESET_VIRT;
+     TOKEN_OUT <= token_out_i;
+    TDC_INT: tdc_interface
+      port map (
+          CLK                      => CLK,
+          TDC_CLK                  => tdc_clk,--CLK,--tdc_clk,--mdc addon or CLK 
+          RESET                    => external_reset_i,--not RESET_VIRT,
+  --        TDC_RESET                => TDC_RESET,
+          TDC_DATA_IN              => TDC_OUT,--x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT,--mdc addon or
+          START_TDC_READOUT        => lvl1_trigger_i,--lvl1_tdc_trigg_i,
+          A_TDC_ERROR              => A_TDC_ERROR,
+          B_TDC_ERROR              => B_TDC_ERROR,
+          C_TDC_ERROR              => C_TDC_ERROR,
+          D_TDC_ERROR              => D_TDC_ERROR,
+          A_TDC_POWERUP            => open,  --A_TDC_POWERUP,
+          B_TDC_POWERUP            => open,  --B_TDC_POWERUP,
+          C_TDC_POWERUP            => open,  --C_TDC_POWERUP,
+          D_TDC_POWERUP            => open,  --D_TDC_POWERUP,
+          A_TDC_READY              => A_DATA_READY,--ADO_TTL(3),--A_DATA_READY,mdc addon or
+          B_TDC_READY              => B_DATA_READY,--ADO_TTL(3),--B_DATA_READY,mdc addon or
+          C_TDC_READY              => C_DATA_READY,--ADO_TTL(3),--C_DATA_READY,mdc addon or
+          D_TDC_READY              => D_DATA_READY,--ADO_TTL(3),--D_DATA_READY,mdc addon or
+          SEND_TDC_TOKEN           => token_out_i,
+          RECEIVED_TDC_TOKEN       => TOKEN_IN,--ADO_TTL(2),--TOKEN_IN,--mdc addon or
+                                                 --normal
+          GET_TDC_DATA             => GET_DATA,
+          TO_MANY_TDC_DATA         => to_many_tdc_data_i,
+          TDC_READOUT_COMPLETED    => tdc_readout_completed_i,
+          LVL1_TAG                 => lvl1_trigger_tag_i,--TLK_RXD(7 downto 0),--apl_seqnr_out_i,--tdc_tag_i,
+          LVL1_CODE                => lvl1_trigger_code_i,--TLK_RXD(11 downto 8),--apl_data_out_i(3 downto 0),--tdc_code_i,
+          HOW_MANY_ADD_DATA        => fpga_register_06_i(23 downto 16),--how_many_add_data_i,
+          COUNTER_a                => test_counter_1,--scaler_counter_0,--x"12311231",
+          COUNTER_b                => scaler_counter_0,--x"12321232",
+          COUNTER_c                => scaler_counter_1,--x"12331233",
+          COUNTER_d                => scaler_counter_2,--x"12341234",
+          COUNTER_e                => scaler_counter_3,--x"12351235",
+          COUNTER_f                => scaler_counter_4,--x"12361236",
+          COUNTER_g                => scaler_counter_5,--x"12371237",
+          COUNTER_h                => scaler_counter_6,--x"12381238",
+          LVL2_TRIGGER             => lvl2_trigger_i,--lvl2_tdc_trigg_i, here
+          TDC_DATA_OUT             => tdc_data_out_i,
+          TDC_DATA_VALID           => tdc_data_valid_i,
+          ETRAX_IS_READY_TO_READ   => etrax_is_ready_to_read_i,
+          LVL1_BUSY                => lvl1_busy_i,
+          LVL2_BUSY                => lvl2_busy_i,
+          TDC_REGISTER_00          => tdc_register_00_i,
+          TDC_REGISTER_01          => tdc_register_01_i,
+          TDC_REGISTER_02          => tdc_register_02_i,
+          TDC_REGISTER_03          => tdc_register_03_i,
+          TDC_REGISTER_04          => tdc_register_04_i,
+          BUNCH_RESET              => bunch_reset_i,
+          EVENT_RESET              => event_reset_i,
+          READ_ADRESS_END_UP       => trb_ack_lvl2_i,
+          DELAY_TRIGGER            => x"00",--fpga_register_06_i(31 downto 24),
+          TDC_START                => trigger_to_tdc_i,
+          TRIGGER_WITHOUT_HADES    => fpga_register_06_i(7),
+          TRIGGER_WITH_GEN_EN      => fpga_register_06_i(8),
+          TRIGGER_WITH_GEN         => not_hades_trigger--trigger_for_test_signal or generator_trigger
+          );
+       ADO_TTL(42 downto 35) <= tdc_register_01_i(26 downto 19);
+       not_hades_trigger <=  trigger_for_test_signal or generator_trigger;
+       end_of_transfer <= not tdc_data_valid_i;
+       LVL2_BUSY_END_PULSER   : edge_to_pulse
+        port map (
+        clock     => CLK,
+        en_clk    => '1',
+        signal_in => end_of_transfer,
+        pulse     => apl_send_in_i);
+        not_lvl1_busy <= not lvl1_busy_i;
+       LVL1_BUSY_PULSER   : edge_to_pulse
+        port map (
+        clock     => CLK,
+        en_clk    => '1',
+        signal_in => lvl1_busy_i,
+        pulse     => apl_read_in_i);
+        LVL1_OPT_PULSER   : edge_to_pulse
+        port map (
+        clock     => CLK,
+        en_clk    => '1',
+        signal_in => TLK_RX_DV,
+        pulse     => lvl1_tdc_trigg_i);
+        LVL2_OPT_END_PULSER   : edge_to_pulse
+        port map (
+        clock     => tlk_rx_clk_r,
+        en_clk    => '1',
+        signal_in => end_of_transfer,
+        pulse     => TLK_TX_EN);
+     TLK_TXD <= x"abcd";
+     TLK_TX_ER   <= '0';
+--     SFP_TX_DIS      <= '0';
+     TLK_LOOPEN  <= '0';
+     TLK_LCKREFN <= '1';
+     TLK_ENABLE  <= '1';
+     TLK_PRBSEN  <= '0';
+     
+--      ADO_TTL(0) <= TLK_RX_DV;
+--      ADO_TTL(1) <= lvl1_tdc_trigg_i;
+--      ADO_TTL(2) <= fs_pc17;--FS_PC(17);--'0';
+--      ADO_TTL(3) <= tdc_data_valid_i;--'0';
+--      ADO_TTL(4) <= etrax_is_ready_to_read_i;--D_DATA_READY;
+--      ADO_TTL(5) <= lvl2_busy_i;
+--      ADO_TTL(6) <= end_of_transfer;
+--      ADO_TTL(7) <= TLK_RX_ER;
+     
+--       lvl2_tdc_trigg_i <= '0' & apl_send_in_i;  --should be real in normal operation
+--      0 reset 1 token 2-5 code 6 token_back 7 data valid
+     --------------------------------------------------------------------------
+     -- MDCaddon mdc addon or  . . . 
+--      --------------------------------------------------------------------------
+--          lvds_add_on_data(31) <=  '1';
+--          SEND_CODE: process (CLK, external_reset_i)
+--          begin 
+--            if rising_edge(CLK) then  -- rising clock edge
+--              if external_reset_i = '1' then
+--                switch_for_start <= '0';
+--              elsif ADO_TTL(2)  ='1' then
+--                switch_for_start <= '1'; 
+--              end if;
+--            end if;   
+--          end process SEND_CODE;
+--          ADO_TTL(7 downto 4) <= x"d" when switch_for_start ='0' else x"1";
+--          not_external_reset <= not external_reset_i;
+     
+--          SEND_TDC_TRIGGER : edge_to_pulse
+--             port map (
+--               clock     => clk,
+--               en_clk    => '1',
+--               signal_in => not_external_reset,
+--               pulse     => not_reset_pulse);
+     
+--               ADO_TTL(1) <= token_out_i or not_reset_pulse;
+--               ADO_TTL(2) <= 'Z';
+--               ADO_TTL(3) <= 'Z';
+
+              --  ADO_TTL(0) <= external_reset_i;
+     --------------------------------------------------------------------------
+     -- self mdc 
+     --------------------------------------------------------------------------
+--        COUNTER_FOR_SELF_TOKEN_BACK: process (CLK,token_out_i)
+--        begin  -- process COUNTER_FOR_SELF_TOKEN_BACK
+--          if rising_edge(CLK) then  
+--            if token_out_i = '1' then  
+--              token_counter <= x"00";
+--            elsif token_counter < x"0a" + 1 then
+--              token_counter <= token_counter + 1;
+--            else
+--              token_counter <= token_counter;
+--            end if;
+--          end if;
+--        end process COUNTER_FOR_SELF_TOKEN_BACK;
+--         self_token <= '1' when token_counter = x"0a" else '0';
+--         self_data_valid <= '1' when token_counter < x"0a" else '0';
+     
+--       SYNCH_EXT_TRIGG: process (CLK, external_reset_i)
+--       begin  -- process SYNCH_EXT_TRIGG
+--         if rising_edge(CLK) then  -- rising clock edge
+--           if external_reset_i = '1' then              -- asynchronous reset (active low)
+--             trigg_without_hades_i <= '0';
+--           else
+--  --           trigg_without_hades_i <= CLK and tdc_control_register_e(0) and (not lvl1_busy_i) and (not tdc_lvl2_busy_i) and (not busy_register_00_i(0)) and(not etrax_bus_busy_i);--
+--  --            trigg_without_hades_i <= TDC_CLK and fpga_register_06_i(7) and (not lvl1_busy_i) and (not tdc_lvl2_busy_i) and (not busy_register_00_i(0)) and(not etrax_bus_busy_i);--
+--             --mdc addon
+--  --           trigg_without_hades_i <= TDC_CLK and tdc_control_register_e(0) and (not lvl1_busy_i) and (not tdc_lvl2_busy_i) and (not busy_register_00_i(0)) and(not etrax_bus_busy_i);
+--             trigg_without_hades_i <= generator_trigger and not ;  
+--           end if;
+--         end if;
+--       end process SYNCH_EXT_TRIGG;
+     a_trigg          <= trigger_to_tdc_i;
+     b_trigg          <= trigger_to_tdc_i;
+     c_trigg          <= trigger_to_tdc_i;
+     d_trigg          <= trigger_to_tdc_i;
+  
+     tdc_control_register_i <= "0000000" & fpga_register_06_i(7);--tdc_control_register_e(7 downto 0);
+   
+     MULTIPLEX_DATA_TO_ETRAX: process(CLK,external_reset_i,external_mode_i)
+     begin 
+       if rising_edge(CLK) then
+         if external_reset_i = '1' then
+           external_data_in_i <= (others => '0');
+         elsif external_mode_i(7 downto 0) = x"01" then
+           external_data_in_i <= dsp_data_out_i;
+         elsif external_mode_i(7 downto 0) = x"02" then
+           external_data_in_i <= sdram_data_out_i;
+--          elsif external_mode_i(7 downto 0) = x"03" then
+--            external_data_in_i <= x"add000" & ADO_TTL(42 downto 35);
+         else
+           external_data_in_i <= x"0000"&external_mode_i;
+         end if;
+       end if;
+     end process MULTIPLEX_DATA_TO_ETRAX;
+--       ADO_TTL(42 downto 35) <= (others => 'Z');
+--       ADO_TTL(34 downto 19) <= external_address_i(15 downto 0);
+--       ADO_TTL(18 downto 11) <= external_data_out_i(7 downto 0);
+--       ADO_TTL(10)           <= external_mode_i(15);
+--       ADO_TTL(9) <= '1' when external_mode_i(7 downto 0) = x"03" and external_ack_i = '1' else '0';
+--       dsp_strobe_i <= '1' when external_mode_i(7 downto 0) = x"01" and external_ack_i = '1' else '0';
+--  --     external_valid_i <= dsp_external_valid_i or sdram_external_valid_i or ADO_TTL(12);
+--       external_valid_i <= ADO_TTL(8);
+--       ADO_TTL(8) <= 'Z';
+
+
+     ETRAX_INTERFACE_LOGIC : etrax_interface
+       port map (
+       CLK                     => CLK,
+       RESET                   => reset_i,
+       DATA_BUS                => tdc_data_out_i,
+       ETRAX_DATA_BUS_B        => FS_PB,
+       ETRAX_DATA_BUS_C        => FS_PC,
+       DATA_VALID              => tdc_data_valid_i,
+       ETRAX_BUS_BUSY          => etrax_bus_busy_i,
+       ETRAX_IS_READY_TO_READ  => etrax_is_ready_to_read_i,
+       TDC_TCK                 => VIRT_TCK,
+       TDC_TDI                 => VIRT_TDI,
+       TDC_TMS                 => VIRT_TMS,
+       TDC_TRST                => open,  --VIRT_TRST,
+       TDC_TDO                 => VIRT_TDO,
+       TDC_RESET               => open,  --TDC_RESET,
+       EXTERNAL_ADDRESS        => external_address_i,
+       EXTERNAL_DATA_OUT       => external_data_out_i,
+       EXTERNAL_DATA_IN        => external_data_in_i,
+       EXTERNAL_ACK            => external_ack_i,
+       EXTERNAL_VALID          => external_valid_i,
+       EXTERNAL_MODE           => external_mode_i,
+       FPGA_REGISTER_00        => x"00000000",
+       FPGA_REGISTER_01        => fpga_register_01_i,--ppp tlk_register_00_i,
+       FPGA_REGISTER_02        => fpga_register_02_i,--ppp tlk_register_01_i,
+       FPGA_REGISTER_03        => fpga_register_03_i,--ppp tdc_register_00_i,--x"abbaab02",
+       FPGA_REGISTER_04        => fpga_register_04_i, --ppp busy_register_00_i,
+       FPGA_REGISTER_05        => tdc_register_04_i,--lvds_add_on_data(31 downto 0),--tdc_register_04_i,--fpga_register_05_i, --ppp trigger_register_00_i,
+       FPGA_REGISTER_06        => fpga_register_06_i,--open, -- ppp x"abbaab05",
+       FPGA_REGISTER_07        => fpga_register_07_i,
+       FPGA_REGISTER_08        => fpga_register_08_i,
+       FPGA_REGISTER_09        => fpga_register_09_i,       
+       FPGA_REGISTER_0A        => fpga_register_0A_i,
+       FPGA_REGISTER_0B        => fpga_register_0b_i,
+       FPGA_REGISTER_0C        => fpga_register_0c_i,
+       FPGA_REGISTER_0D        => fpga_register_0d_i,
+       EXTERNAL_RESET          => external_reset,
+       LVL2_VALID              => '0'--lvl2_trigger_code_i(3)
+       );
+--     lvl2_valid_i <=  lvl2_trigger_code_i(3);
+     fpga_register_01_i <= tdc_register_00_i;
+     fpga_register_02_i <= tdc_register_01_i;--tdc_data_valid_i & write_lvl1_busy_i & lvl2_busy_fast & lvl2_busy_i & tdc_lvl2_busy_i & tdc_lvl1_busy_i & lvl1_busy_i & trigger_register_00_i(11 downto 0) & sdram_register_00_i(5 downto 0) & dsp_register_00_i(2 downto 0);
+     fpga_register_03_i <= tdc_register_02_i;--busy_register_01_i;
+     fpga_register_04_i <= tdc_register_03_i;
+     fpga_register_05_i <= tdc_register_04_i;
+     fpga_register_09_i <= x"000" & "00" & TLK_RX_ER & TLK_RX_DV & TLK_RXD;--tlk_register_00_i;
+     fpga_register_0a_i <= tlk_register_01_i;
+     fpga_register_0b_i <= saved_txd & x"00" & apl_seqnr_out_i;
+     fpga_register_0c_i <= med_data_in_i_saved(63 downto 32);--stat_reply_buffer_i;
+     fpga_register_0d_i <= med_data_in_i_saved(31 downto 0);--stat_init_buffer_i;
+--      lvds_or <= lvds_add_on_data(0) or lvds_add_on_data(1) or
+--                 lvds_add_on_data(2) or lvds_add_on_data(3) or
+--                 lvds_add_on_data(4) or lvds_add_on_data(5) or
+--                 lvds_add_on_data(6) or lvds_add_on_data(7) or
+--                 lvds_add_on_data(8) or lvds_add_on_data(9) or
+--                 lvds_add_on_data(10) or lvds_add_on_data(11) or
+--                 lvds_add_on_data(12) or lvds_add_on_data(13) or
+--                 lvds_add_on_data(14) or lvds_add_on_data(15) or
+--                 lvds_add_on_data(16) or lvds_add_on_data(17) or
+--                 lvds_add_on_data(18) or lvds_add_on_data(19) or
+--                 lvds_add_on_data(20) or lvds_add_on_data(21) or
+--                 lvds_add_on_data(22) or lvds_add_on_data(23) or
+--                 lvds_add_on_data(24) or lvds_add_on_data(25) ;
+
+                
+     SYNCH_RESET: process (CLK)
+     begin  -- process SYNCH_RESET
+       if rising_edge(CLK) then  -- rising clock edge
+         external_reset_i <= external_reset;
+         else
+         external_reset_i <=  external_reset_i; 
+       end if;
+     end process SYNCH_RESET;
+     fpga_register_10_i <= x"0000"& external_mode_i;
+    TLK_RX_CLK_BUFR: BUFR
+      port map(
+        CE => '1',
+        CLR => '0',
+        I => TLK_RX_CLK,
+        O => tlk_rx_clk_r
+        );
+     TLK_CLK_BUFR: BUFR
+       port map(
+         CE => '1',
+         CLR => '0',
+         I => TLK_CLK,
+         O => tlk_clk_r
+         ); 
+--        tlk_interface_logic: tlk_interface 
+--          port map (
+--            VIRT_CLK     => CLK,
+--            ENABLE       => TLK_ENABLE,
+--            LCKREFN      => TLK_LCKREFN,
+--            LOOPEN       => TLK_LOOPEN,
+--            PRBSEN       => TLK_PRBSEN,
+--            RX_CLK       => tlk_rx_clk_r,
+--            RX_DV        => TLK_RX_DV,
+--            RX_ER        => TLK_RX_ER,
+--            TLK_CLK      => tlk_clk_r,
+--            TLK_RXD      => TLK_RXD,
+--            TLK_TXD      => TLK_TXD,
+--            TX_EN        => open,--TLK_TX_EN,
+--            TX_ER        => open,--TLK_TX_ER,
+--            RESET_VIRT   => external_reset_i,
+--            TLK_REGISTER_00 => tlk_register_00_i,
+--            TLK_REGISTER_01 => tlk_register_01_i,
+--            SFP_LOS => SFP_LOS,
+--            TX_DIS => open--SFP_TX_DIS
+--            );
+--   --      TLK_LCKREFN <= fpga_register_06_i(15);
+--    --     TLK_TXD <= x"abcd";
+--         TLK_TX_EN <= '0';
+--         TLK_TX_ER <= '0';
+--        fpga_register_08_i(4) <= SFP_LOS;
+     SFP_TX_DIS   <= fpga_register_06_i(15);
+
+
+     DSP_DATA_REGISTER: process (CLK, external_reset_i)
+     begin  -- process DSP_DATA_REGISTER
+       if CLK'event and CLK = '1' then 
+         if external_reset_i = '1' then
+           dsp_data_reg_in_i <= x"00000000";
+           dsp_data_reg_out_i <= x"00000000";
+           dsp_bm_reg <= '0';
+           sdram_data_i <= x"00000000";
+--           fs_pc17 <= '0';
+         else
+           dsp_bm_reg <= DSP_BM;
+           dsp_data_reg_in_i <= DSPDAT;
+           dsp_data_reg_out_i <= dspdat_out_i;
+           sdram_data_i <= VSD_D ;
+--           fs_pc17 <= FS_PC(17);
+         end if;
+       end if;
+     end process DSP_DATA_REGISTER;
+
+--  DSP_HBR <= '1';
+     
+--   DSP_RESET <= fpga_register_06_i(4);
+--   DSP_HBR <= dsp_hbr_i;
+--   DSPDAT  <= dspdat_out_i;
+--   dspdat_in_i <= DSPDAT;
+--   DSP_WRL <= DSP_WRL_i;
+--   DSP_RD <= DSP_RD_i;
+--   DSPADDR <= dspaddr_i;
+--   DSP_BOFF <= fpga_register_06_i(5);
+   
+--      DSP_IRQ <= x"1";
+--      DSP_BMS <= '1' when fpga_register_06_i(3) = '0' else 'Z';
+--      DSP_BM <= '0' when fpga_register_06_i(3) = '0' else 'Z';
+--       DSP_INTERFACE_LOGIC: dsp_interface
+--           port map (
+--               HBR_OUT            => dsp_hbr_i,
+--               HBG_IN             => DSP_HBG,
+--               RD_OUT             => DSP_RD_i,
+--               DSP_DATA_OUT       => dspdat_out_i,--DSPDAT to DSP,
+--               DSP_DATA_IN        => dspdat_in_i,--DSPDAT to FPGA,
+--               ADDRESS_DSP        => dspaddr_i,--DSPADDR,
+--               WRL                => DSP_WRL_i,
+--               WRH                => DSP_WRH,
+--               BM_IN              => DSP_BM,
+--               DSP_RESET          => open,
+--               BRST               => DSP_BRST,
+--               ACK                => DSP_ACK,
+--               CLK                => CLK,
+--               RESET              => external_reset_i,
+--               R_W_ENABLE         => external_mode_i(15),
+--               TRIGGER            => dsp_strobe_i,
+--               INTERNAL_DATA_IN   => external_data_out_i,
+--               INTERNAL_DATA_OUT  => dsp_data_out_i,--external_data_in_i,
+--               INTERNAL_ADDRESS   => external_address_i,
+--               VALID_DATA_SENT    => dsp_external_valid_i,
+--               ACKNOWLEDGE        => dsp_strobe_i,
+--               DEBUGSTATE_MACHINE =>  dsp_register_00_i);
+--      SDRAM_INTERFACE_LOGIC: sdram_interface
+--        port map (
+--            CLK_SDRAM          => VSD_CLOCK,
+--            CKE                => VSD_CKE,
+--            CS                 => vsd_cs_i,
+--            RAS                => VSD_RAS,
+--            CAS                => VSD_CAS,
+--            WE                 => VSD_WE,
+--            DQM                => VSD_DQML,
+--            BA                 => VSD_BA,
+--            A                  => VSD_A,
+--            DQ                 => VSD_D,
+--            CLK                => CLK,
+--            RESET              => external_reset_i,
+--            TRIGGER            => external_ack_i,
+--            INTERNAL_DATA_IN   => external_data_out_i,
+--            INTERNAL_DATA_OUT  => sdram_data_out_i,
+--            INTERNAL_ADDRESS   => external_address_i,
+--            INTERNAL_MODE      => external_mode_i,
+--            VALID_DATA_SENT    => sdram_external_valid_i,
+--            DEBUGSTATE_MACHINE => sdram_register_00_i);
+     VSD_CSEH <= vsd_cs_i;
+     VSD_CSEL <= vsd_cs_i;
+--     ADO_TTL(18) <= '1';
+--     ADO_TTL(15 downto 0) <= (others => 'Z');
+--         DTU_INT: dtu_interface
+--           port map (
+--               CLK                   => CLK,
+--               RESET                 => external_reset_i,
+--               LVL1_TRIGGER_BUS      => ADO_TTL(4),
+--               LVL1_DATA_TRIGGER_BUS => ADO_TTL(9),
+--               LVL1_DATA_BUS         => ADO_TTL(8 downto 5),
+--               LVL1_ERROR_BUS        => ADO_TTL(1),
+--               LVL1_BUSY_BUS         => ADO_TTL(0),
+--               LVL1_TRIGGER          => lvl1_trigger_i,
+--               LVL1_CODE             => lvl1_trigger_code_i,
+--               LVL1_TAG              => lvl1_trigger_tag_i,
+--               LVL1_BUSY             => lvl1_busy_i,
+--               LVL2_TRIGGER_BUS      => ADO_TTL(10),
+--               LVL2_DATA_TRIGGER_BUS => ADO_TTL(15),
+--               LVL2_DATA_BUS         => ADO_TTL(14 downto 11),
+--               LVL2_ERROR_BUS        => open,--ADO_TTL(3),
+--               LVL2_BUSY_BUS         => open,--ADO_TTL(2),  --here
+--               LVL2_TRIGGER          => lvl2_trigger_i(0),
+--               LVL2_CODE             => lvl2_trigger_code_i,
+--               LVL2_TAG              => open,
+--               LVL2_BUSY             => '0',--lvl2_busy_i,  --1:1 downscaling
+--               LVL2_TRB_ACK          => trb_ack_lvl2_i,
+--               DTU_DEBUG_00          => open);
+     ADO_TTL(15 downto 4) <= (others => 'Z');
+     ADO_TTL(2) <= '0';
+     ADO_TTL(3) <= '0';
+--     lvl2_trigger_code_i(3) <= '0';--mdc lvl2_trigger_i(1);
+--     ADO_TTL(15 downto 0) <= (others => 'Z');
+--      CTU_INT: ctu
+--        port map (
+--            CLK              => CLK,
+--            RESET            => external_reset_i,
+--            LVL1_TRIGG       => ADO_TTL(7 downto 0),
+--            LVL1_START       => lvl1_trigger_i,
+--            LVL1_TAG         => lvl1_trigger_tag_i,
+--            LVL1_CODE        => lvl1_trigger_code_i,
+--            LVL1_BUSY        => lvl1_busy_i,
+--            LVL2_TRIGG       => "00",     --MU
+--            LVL2_START       => lvl2_trigger_i,
+--            LVL2_TAG         => open,
+--            LVL2_BUSY        => lvl2_busy_out,--tdc_lvl2_busy_i,--lvl2_busy_i,
+--            LVL2_DOWNSCALING => fpga_register_06_i(15 downto 8),
+--            CTU_CONTROL      => x"0000" & fpga_register_06_i(23 downto16),  --26
+--            LVL1_CTU_STATUS  => lvl1_ctu_status_i,
+--            LVL2_CTU_STATUS  => lvl2_ctu_status_i);
+     PULSE_TO_EDGE_0: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(35),
+           pulse  => scaler_pulse(0));
+     SCALER_0: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_0,
+           UP   => scaler_pulse(0),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_1: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(36),
+           pulse  => scaler_pulse(1));
+     SCALER_1: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_1,
+           UP   => scaler_pulse(1),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_2: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(37),
+           pulse  => scaler_pulse(2));
+     SCALER_2: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_2,
+           UP   => scaler_pulse(2),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_3: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(38),
+           pulse  => scaler_pulse(3));
+     SCALER_3: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_3,
+           UP   => scaler_pulse(3),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_4: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(39),
+           pulse  => scaler_pulse(4));
+     SCALER_4: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_4,
+           UP   => scaler_pulse(4),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_5: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(40),
+           pulse  => scaler_pulse(5));
+     SCALER_5: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_5,
+           UP   => scaler_pulse(5),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_6: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(41),
+           pulse  => scaler_pulse(6));
+     SCALER_6: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_6,
+           UP   => scaler_pulse(6),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     PULSE_TO_EDGE_7: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(42),
+           pulse  => scaler_pulse(7));
+     SCALER_7: simpleupcounter_32bit
+       port map (
+           QOUT => scaler_counter_7,
+           UP   => scaler_pulse(7),
+           CLK  => CLK,
+           CLR  => external_reset_i);
+     TRIGG_WITHOUT_HAD: edge_to_pulse
+       port map (
+           clock  => CLK,
+           en_clk => '1',
+           signal_in => ADO_TTL(0),
+           pulse  => generator_trigger);
+  MAKE_LONG_LVL2_ACK: process (CLK, external_reset_i)
+  begin  -- process MAKE_LONG_ACK
+    if rising_edge(CLK) then  -- rising clock edge
+      if external_reset_i = '1' then--or trb_ack_lvl2_i ='1' then      -- asynchronous reset (active low)
+        lvl2_counter_ack <= x"1f";
+        elsif  trb_ack_lvl2_i ='1' then
+          lvl2_counter_ack <= x"00";
+      elsif lvl2_counter_ack < x"1f" then
+        lvl2_counter_ack <= lvl2_counter_ack + 1;
+      else
+        lvl2_counter_ack <= lvl2_counter_ack;
+      end if;
+    end if;
+  end process MAKE_LONG_LVL2_ACK;
+  LVL2_CODE_SYNCH: process (CLK, external_reset_i)
+  begin 
+    if rising_edge(CLK) then  
+      if external_reset_i = '1' then       
+
+        lvl2_trigger_code_synch <= '0' ;
+       else
+         lvl2_trigger_code_synch <= lvl2_trigger_code_i(3);
+      end if;
+    end if;
+  end process LVL2_CODE_SYNCH;
+   trb_ack_lvl2_long_i <= '1' when lvl2_counter_ack < x"1f" else '0';
+   ETRAX_IRQ    <= '1';
+   DBAD         <= lvl1_busy_i;
+   DGOOD        <= lvl2_busy_i;
+ --DINT         <= '1';
+   DINT         <= etrax_bus_busy_i;
+   DWAIT        <= fpga_register_06_i(6);  --'0'enable clock for TDC
+     
+
+
+
+     COUNTER_FOR_CLOCK_CHECK: process (CLK, external_reset_i)
+     begin 
+       if rising_edge(CLK) then  
+         if external_reset_i = '1' then              
+           check_counter <= (others => '0');
+         else
+           check_counter <= check_counter + 1;
+         end if;
+       end if;
+     end process COUNTER_FOR_CLOCK_CHECK;
+     check_pulse <= '1' when check_counter > x"fffe" else '0';
+     TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
+     VIRT_TRST <= not fpga_register_06_i(5);--'1';
+                                            
+      A_TDC_POWERUP  <=  '1';
+      B_TDC_POWERUP  <=  '1';
+      C_TDC_POWERUP  <=  '1';
+      D_TDC_POWERUP  <=  '1';
+end trb_v2b_fpga;
diff --git a/trb_v2b_fpga_syn.prj b/trb_v2b_fpga_syn.prj
new file mode 100644 (file)
index 0000000..2bda5c9
--- /dev/null
@@ -0,0 +1,89 @@
+#-- Synplicity, Inc.
+#-- Version Synplify Pro 8.2.1
+
+
+#add_file options
+add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_8bit.vhd"
+add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_16bit.vhd"
+add_file -vhdl -lib work "~/trbnet/optical_link/simpleupcounter_32bit.vhd"
+add_file -vhdl -lib work "etrax_interface.vhd"
+add_file -vhdl -lib work "f_divider.vhd"
+add_file -vhdl -lib work "tdc_interface.vhd"
+add_file -vhdl -lib work "trb_v2b_fpga.vhd"
+add_file -vhdl -lib work "dtu_interface.vhd"
+add_file -vhdl -lib work "dsp_interface.vhd"
+add_file -vhdl -lib work "sdram_interface.vhd"
+add_file -vhdl -lib work "ctu.vhd"
+add_file -vhdl -lib work "bit_fifo.vhd"
+#add_file -vhdl -lib work "api/trbnet/xilinx/trb_net_fifo_arch.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_base_api.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_std.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_fifo.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_iobuf.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_active_api.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_passive_api.vhd"
+#add_file -vhdl -lib work "api/trbnet/xilinx/shift_lut_x16.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_dummy_fifo.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_ibuf.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_io_multiplexer.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_obuf.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_pattern_gen.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_priority_arbiter.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_priority_encoder.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_sbuf.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_term_ibuf.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_term.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_active_apimbuf.vhd"
+#add_file -vhdl -lib work "api/trbnet/trb_net_passive_apimbuf.vhd"
+#add_file -vhdl -lib work "trbnet/tlk_interface.vhd"
+#add_file -vhdl -lib work "trbnet/optical_link_test.vhd" 
+
+#add_file -constraint "trb_v2a_fpga_syn.sdc"
+
+
+#implementation: "workdir"
+impl -add workdir
+
+#device options
+set_option -technology VIRTEX4
+set_option -part xc4vlx40
+set_option -package ff1148
+set_option -speed_grade -10
+
+#compilation/mapping options
+set_option -default_enum_encoding gray
+set_option -symbolic_fsm_compiler 0
+set_option -resource_sharing 1
+set_option -use_fsm_explorer 0
+set_option -top_module "trb_v2b_fpga"
+
+#map options
+set_option -frequency 120.000
+set_option -run_prop_extract 0
+set_option -fanout_limit 100
+set_option -disable_io_insertion 0
+set_option -pipe 1
+set_option -update_models_cp 0
+set_option -verification_mode 0
+set_option -fixgatedclocks 0
+set_option -no_sequential_opt 0
+set_option -retiming 0
+
+#simulation options
+set_option -write_verilog 0
+set_option -write_vhdl 0
+
+#VIF options
+set_option -write_vif 1
+
+#automatic place and route (vendor) options
+set_option -write_apr_constraint 1
+
+#set result format/file last
+project -result_file "workdir/trb_v2b_fpga.edf"
+
+#
+#implementation attributes
+
+set_option -synthesis_onoff_pragma 0
+impl -active "workdir"
diff --git a/trb_v2b_fpga_tb.vhd b/trb_v2b_fpga_tb.vhd
new file mode 100644 (file)
index 0000000..894fcb3
--- /dev/null
@@ -0,0 +1,958 @@
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+USE ieee.std_logic_unsigned.all;
+USE ieee.numeric_std.ALL;
+
+ENTITY trb_v2b_fpga_tb IS
+END trb_v2b_fpga_tb;
+
+ARCHITECTURE behavior OF trb_v2b_fpga_tb IS 
+  component trb_v2b_fpga
+    port (
+      VIRT_CLK        : in    std_logic;
+      VIRT_CLKB       : in    std_logic;
+      RESET_VIRT      : in    std_logic;
+      DBAD            : out   std_logic;
+      DGOOD           : out   std_logic;
+      DINT            : out   std_logic;
+      DWAIT           : out   std_logic;
+      A_RESERVED      : in    std_logic;
+      A_TEMP          : in    std_logic;
+      B_RESERVED      : in    std_logic;
+      B_TEMP          : in    std_logic;
+      C_RESERVED      : in    std_logic;
+      C_TEMP          : in    std_logic;
+      D_RESERVED      : in    std_logic;
+      D_TEMP          : in    std_logic;
+      VIR_TRIG        : in    std_logic;
+      VIR_TRIGB       : in    std_logic;
+      A_TDC_ERROR     : in    std_logic;
+      B_TDC_ERROR     : in    std_logic;
+      C_TDC_ERROR     : in    std_logic;
+      D_TDC_ERROR     : in    std_logic;
+      A_TDC_POWERUP   : out   std_logic;
+      B_TDC_POWERUP   : out   std_logic;
+      C_TDC_POWERUP   : out   std_logic;
+      D_TDC_POWERUP   : out   std_logic;
+      TOKEN_IN        : in    std_logic;
+      TOKEN_OUT       : out   std_logic;
+      C_TOKEN_OUT_TTL : in    std_logic;
+      GET_DATA        : out   std_logic;
+      A_DATA_READY    : in    std_logic;
+      B_DATA_READY    : in    std_logic;
+      C_DATA_READY    : in    std_logic;
+      D_DATA_READY    : in    std_logic;
+      REF_TDC_CLK     : in    std_logic;
+      REF_TDC_CLKB    : in    std_logic;
+      A_TDC_BU_RESET  : out   std_logic;
+      A_TDC_BU_RESETB : out   std_logic;
+      A_TDC_EV_RESET  : out   std_logic;
+      A_TDC_EV_RESETB : out   std_logic;
+      B_TDC_BU_RESET  : out   std_logic;
+      B_TDC_BU_RESETB : out   std_logic;
+      B_TDC_EV_RESET  : out   std_logic;
+      B_TDC_EV_RESETB : out   std_logic;
+      C_TDC_BU_RESET  : out   std_logic;
+      C_TDC_BU_RESETB : out   std_logic;
+      C_TDC_EV_RESET  : out   std_logic;
+      C_TDC_EV_RESETB : out   std_logic;
+      D_TDC_BU_RESET  : out   std_logic;
+      D_TDC_BU_RESETB : out   std_logic;
+      D_TDC_EV_RESET  : out   std_logic;
+      D_TDC_EV_RESETB : out   std_logic;
+      TDC_OUT         : in    std_logic_vector (31 downto 0);
+      TDC_RESET       : out   std_logic;
+      A_TRIGGER       : out   std_logic;
+      A_TRIGGERB      : out   std_logic;
+      B_TRIGGER       : out   std_logic;
+      B_TRIGGERB      : out   std_logic;
+      C_TRIGGER       : out   std_logic;
+      C_TRIGGERB      : out   std_logic;
+      D_TRIGGER       : out   std_logic;
+      D_TRIGGERB      : out   std_logic;
+      FS_PB           : out   std_logic_vector (17 downto 0);
+      FS_PC           : inout std_logic_vector (17 downto 0);
+      ETRAX_IRQ       : out   std_logic;
+      A_SCK           : out   std_logic;
+      A_SCKB          : out   std_logic;
+      A_SDI           : in    std_logic;
+      A_SDIB          : in    std_logic;
+      A_SDO           : out   std_logic;
+      A_SDOB          : out   std_logic;
+      A_CSB           : out   std_logic;
+      A_CS            : out   std_logic;
+      B_SCK           : out   std_logic;
+      B_SCKB          : out   std_logic;
+      B_SDI           : in    std_logic;
+      B_SDIB          : in    std_logic;
+      B_SDO           : out   std_logic;
+      B_SDOB          : out   std_logic;
+      B_CSB           : out   std_logic;
+      B_CS            : out   std_logic;
+      C_SCK           : out   std_logic;
+      C_SCKB          : out   std_logic;
+      C_SDI           : in    std_logic;
+      C_SDIB          : in    std_logic;
+      C_SDO           : out   std_logic;
+      C_SDOB          : out   std_logic;
+      C_CSB           : out   std_logic;
+      C_CS            : out   std_logic;
+      D_SCK           : out   std_logic;
+      D_SCKB          : out   std_logic;
+      D_SDI           : in    std_logic;
+      D_SDIB          : in    std_logic;
+      D_SDO           : out   std_logic;
+      D_SDOB          : out   std_logic;
+      D_CSB           : out   std_logic;
+      D_CS            : out   std_logic;
+      A_TEST1         : out   std_logic;
+      A_TEST1B        : out   std_logic;
+      A_TEST2         : out   std_logic;
+      A_TEST2B        : out   std_logic;
+      B_TEST1         : out   std_logic;
+      B_TEST1B        : out   std_logic;
+      B_TEST2         : out   std_logic;
+      B_TEST2B        : out   std_logic;
+      C_TEST1         : out   std_logic;
+      C_TEST1B        : out   std_logic;
+      C_TEST2         : out   std_logic;
+      C_TEST2B        : out   std_logic;
+      D_TEST1         : out   std_logic;
+      D_TEST1B        : out   std_logic;
+      D_TEST2         : out   std_logic;
+      D_TEST2B        : out   std_logic;
+      DSPADDR         : out   std_logic_vector (31 downto 0);
+      DSPDAT          : inout std_logic_vector (31 downto 0);
+      DSP_ACK         : in    std_logic;
+      DSP_BM          : inout std_logic;
+      DSP_BMS         : out   std_logic;
+      DSP_BOFF        : out   std_logic;
+      DSP_BRST        : inout std_logic;
+      DSP_HBG         : in    std_logic;
+      DSP_HBR         : out   std_logic;
+      DSP_IRQ         : out   std_logic_vector (3 downto 0);
+      DSP_RD          : out   std_logic;
+      DSP_RESET       : out   std_logic;
+      DSP_RESET_OUT   : in    std_logic;
+      DSP_WRH         : out   std_logic;
+      DSP_WRL         : out   std_logic;
+      VSD_A           : out   std_logic_vector (12 downto 0);
+      VSD_BA          : out   std_logic_vector (1 downto 0);
+      VSD_CAS         : out   std_logic;
+      VSD_CKE         : out   std_logic;
+      VSD_CLOCK       : out   std_logic;
+      VSD_CSEH        : out   std_logic;
+      VSD_CSEL        : out   std_logic;
+      VSD_D           : inout std_logic_vector (31 downto 0);
+      VSD_DQML        : out   std_logic_vector (3 downto 0);
+      VSD_RAS         : out   std_logic;
+      VSD_WE          : out   std_logic;
+      TLK_CLK         : in    std_logic;
+      TLK_ENABLE      : out   std_logic;
+      TLK_LCKREFN     : out   std_logic;
+      TLK_LOOPEN      : out   std_logic;
+      TLK_PRBSEN      : out   std_logic;
+      TLK_RXD         : in    std_logic_vector (15 downto 0);
+      TLK_RX_CLK      : in    std_logic;
+      TLK_RX_DV       : in    std_logic;
+      TLK_RX_ER       : in    std_logic;
+      TLK_TXD         : out   std_logic_vector (15 downto 0);
+      TLK_TX_EN       : out   std_logic;
+      TLK_TX_ER       : out   std_logic;
+      SFP_LOS         : in    std_logic;
+      SFP_TX_DIS      : out   std_logic;
+      SFP_TX_FAULT    : in    std_logic;
+      ADO_LV          : in    std_logic_vector(51 downto 0);
+      ADO_TTL         : inout std_logic_vector(46 downto 0);
+      VIRT_TCK        : out   std_logic;
+      VIRT_TDI        : out   std_logic;
+      VIRT_TDO        : in    std_logic;
+      VIRT_TMS        : out   std_logic;
+      VIRT_TRST       : out   std_logic);
+  end component;
+  signal VIRT_CLK        : std_logic;
+  signal VIRT_CLKB       : std_logic;
+  signal RESET_VIRT      : std_logic;
+  signal DBAD            : std_logic;
+  signal DGOOD           : std_logic;
+  signal DINT            : std_logic;
+  signal DWAIT           : std_logic;
+  signal A_RESERVED      : std_logic;
+  signal A_TEMP          : std_logic;
+  signal B_RESERVED      : std_logic;
+  signal B_TEMP          : std_logic;
+  signal C_RESERVED      : std_logic;
+  signal C_TEMP          : std_logic;
+  signal D_RESERVED      : std_logic;
+  signal D_TEMP          : std_logic;
+  signal VIR_TRIG        : std_logic;
+  signal VIR_TRIGB       : std_logic;
+  signal A_TDC_ERROR     : std_logic;
+  signal B_TDC_ERROR     : std_logic;
+  signal C_TDC_ERROR     : std_logic;
+  signal D_TDC_ERROR     : std_logic;
+  signal A_TDC_POWERUP   : std_logic;
+  signal B_TDC_POWERUP   : std_logic;
+  signal C_TDC_POWERUP   : std_logic;
+  signal D_TDC_POWERUP   : std_logic;
+  signal TOKEN_IN        : std_logic;
+  signal TOKEN_OUT       : std_logic;
+  signal C_TOKEN_OUT_TTL : std_logic;
+  signal GET_DATA        : std_logic;
+  signal A_DATA_READY    : std_logic;
+  signal B_DATA_READY    : std_logic;
+  signal C_DATA_READY    : std_logic;
+  signal D_DATA_READY    : std_logic;
+  signal REF_TDC_CLK     : std_logic;
+  signal REF_TDC_CLKB    : std_logic;
+  signal A_TDC_BU_RESET  : std_logic;
+  signal A_TDC_BU_RESETB : std_logic;
+  signal A_TDC_EV_RESET  : std_logic;
+  signal A_TDC_EV_RESETB : std_logic;
+  signal B_TDC_BU_RESET  : std_logic;
+  signal B_TDC_BU_RESETB : std_logic;
+  signal B_TDC_EV_RESET  : std_logic;
+  signal B_TDC_EV_RESETB : std_logic;
+  signal C_TDC_BU_RESET  : std_logic;
+  signal C_TDC_BU_RESETB : std_logic;
+  signal C_TDC_EV_RESET  : std_logic;
+  signal C_TDC_EV_RESETB : std_logic;
+  signal D_TDC_BU_RESET  : std_logic;
+  signal D_TDC_BU_RESETB : std_logic;
+  signal D_TDC_EV_RESET  : std_logic;
+  signal D_TDC_EV_RESETB : std_logic;
+  signal TDC_OUT         : std_logic_vector (31 downto 0);
+  signal TDC_RESET       : std_logic;
+  signal A_TRIGGER       : std_logic;
+  signal A_TRIGGERB      : std_logic;
+  signal B_TRIGGER       : std_logic;
+  signal B_TRIGGERB      : std_logic;
+  signal C_TRIGGER       : std_logic;
+  signal C_TRIGGERB      : std_logic;
+  signal D_TRIGGER       : std_logic;
+  signal D_TRIGGERB      : std_logic;
+  signal FS_PB           : std_logic_vector (17 downto 0);
+  signal FS_PC           : std_logic_vector (17 downto 0);
+  signal ETRAX_IRQ       : std_logic;
+  signal A_SCK           : std_logic;
+  signal A_SCKB          : std_logic;
+  signal A_SDI           : std_logic;
+  signal A_SDIB          : std_logic;
+  signal A_SDO           : std_logic;
+  signal A_SDOB          : std_logic;
+  signal A_CSB           : std_logic;
+  signal A_CS            : std_logic;
+  signal B_SCK           : std_logic;
+  signal B_SCKB          : std_logic;
+  signal B_SDI           : std_logic;
+  signal B_SDIB          : std_logic;
+  signal B_SDO           : std_logic;
+  signal B_SDOB          : std_logic;
+  signal B_CSB           : std_logic;
+  signal B_CS            : std_logic;
+  signal C_SCK           : std_logic;
+  signal C_SCKB          : std_logic;
+  signal C_SDI           : std_logic;
+  signal C_SDIB          : std_logic;
+  signal C_SDO           : std_logic;
+  signal C_SDOB          : std_logic;
+  signal C_CSB           : std_logic;
+  signal C_CS            : std_logic;
+  signal D_SCK           : std_logic;
+  signal D_SCKB          : std_logic;
+  signal D_SDI           : std_logic;
+  signal D_SDIB          : std_logic;
+  signal D_SDO           : std_logic;
+  signal D_SDOB          : std_logic;
+  signal D_CSB           : std_logic;
+  signal D_CS            : std_logic;
+  signal A_TEST1         : std_logic;
+  signal A_TEST1B        : std_logic;
+  signal A_TEST2         : std_logic;
+  signal A_TEST2B        : std_logic;
+  signal B_TEST1         : std_logic;
+  signal B_TEST1B        : std_logic;
+  signal B_TEST2         : std_logic;
+  signal B_TEST2B        : std_logic;
+  signal C_TEST1         : std_logic;
+  signal C_TEST1B        : std_logic;
+  signal C_TEST2         : std_logic;
+  signal C_TEST2B        : std_logic;
+  signal D_TEST1         : std_logic;
+  signal D_TEST1B        : std_logic;
+  signal D_TEST2         : std_logic;
+  signal D_TEST2B        : std_logic;
+  signal DSPADDR         : std_logic_vector (31 downto 0);
+  signal DSPDAT          : std_logic_vector (31 downto 0);
+  signal DSP_ACK         : std_logic;
+  signal DSP_BM          : std_logic;
+  signal DSP_BMS         : std_logic;
+  signal DSP_BOFF        : std_logic;
+  signal DSP_BRST        : std_logic;
+  signal DSP_HBG         : std_logic;
+  signal DSP_HBR         : std_logic;
+  signal DSP_IRQ         : std_logic_vector (3 downto 0);
+  signal DSP_RD          : std_logic;
+  signal DSP_RESET       : std_logic;
+  signal DSP_RESET_OUT   : std_logic;
+  signal DSP_WRH         : std_logic;
+  signal DSP_WRL         : std_logic;
+  signal VSD_A           : std_logic_vector (12 downto 0);
+  signal VSD_BA          : std_logic_vector (1 downto 0);
+  signal VSD_CAS         : std_logic;
+  signal VSD_CKE         : std_logic;
+  signal VSD_CLOCK       : std_logic;
+  signal VSD_CSEH        : std_logic;
+  signal VSD_CSEL        : std_logic;
+  signal VSD_D           : std_logic_vector (31 downto 0);
+  signal VSD_DQML        : std_logic_vector (3 downto 0);
+  signal VSD_RAS         : std_logic;
+  signal VSD_WE          : std_logic;
+  signal TLK_CLK         : std_logic;
+  signal TLK_ENABLE      : std_logic;
+  signal TLK_LCKREFN     : std_logic;
+  signal TLK_LOOPEN      : std_logic;
+  signal TLK_PRBSEN      : std_logic;
+  signal TLK_RXD         : std_logic_vector (15 downto 0);
+  signal TLK_RX_CLK      : std_logic;
+  signal TLK_RX_DV       : std_logic;
+  signal TLK_RX_ER       : std_logic;
+  signal TLK_TXD         : std_logic_vector (15 downto 0);
+  signal TLK_TX_EN       : std_logic;
+  signal TLK_TX_ER       : std_logic;
+  signal SFP_LOS         : std_logic;
+  signal SFP_TX_DIS      : std_logic;
+  signal SFP_TX_FAULT    : std_logic;
+  signal ADO_LV          : std_logic_vector(51 downto 0);
+  signal ADO_TTL         : std_logic_vector(46 downto 0);
+  signal VIRT_TCK        : std_logic;
+  signal VIRT_TDI        : std_logic;
+  signal VIRT_TDO        : std_logic;
+  signal VIRT_TMS        : std_logic;
+  signal VIRT_TRST       : std_logic;
+
+
+  signal test_synch_00 : std_logic;
+  signal dtu_clk : std_logic;
+  signal dtu_lvl1_tag : std_logic_vector(7 downto 0);
+  signal dtu_lvl2_tag : std_logic_vector(7 downto 0);
+  signal lvl1_trig : std_logic;
+  signal lvl2_trig : std_logic;
+BEGIN
+  trb: trb_v2b_fpga
+    port map (
+        VIRT_CLK        => VIRT_CLK,
+        VIRT_CLKB       => VIRT_CLKB,
+        RESET_VIRT      => RESET_VIRT,
+        DBAD            => DBAD,
+        DGOOD           => DGOOD,
+        DINT            => DINT,
+        DWAIT           => DWAIT,
+        A_RESERVED      => A_RESERVED,
+        A_TEMP          => A_TEMP,
+        B_RESERVED      => B_RESERVED,
+        B_TEMP          => B_TEMP,
+        C_RESERVED      => C_RESERVED,
+        C_TEMP          => C_TEMP,
+        D_RESERVED      => D_RESERVED,
+        D_TEMP          => D_TEMP,
+        VIR_TRIG        => VIR_TRIG,
+        VIR_TRIGB       => VIR_TRIGB,
+        A_TDC_ERROR     => A_TDC_ERROR,
+        B_TDC_ERROR     => B_TDC_ERROR,
+        C_TDC_ERROR     => C_TDC_ERROR,
+        D_TDC_ERROR     => D_TDC_ERROR,
+        A_TDC_POWERUP   => A_TDC_POWERUP,
+        B_TDC_POWERUP   => B_TDC_POWERUP,
+        C_TDC_POWERUP   => C_TDC_POWERUP,
+        D_TDC_POWERUP   => D_TDC_POWERUP,
+        TOKEN_IN        => TOKEN_IN,
+        TOKEN_OUT       => TOKEN_OUT,
+        C_TOKEN_OUT_TTL => C_TOKEN_OUT_TTL,
+        GET_DATA        => GET_DATA,
+        A_DATA_READY    => A_DATA_READY,
+        B_DATA_READY    => B_DATA_READY,
+        C_DATA_READY    => C_DATA_READY,
+        D_DATA_READY    => D_DATA_READY,
+        REF_TDC_CLK     => REF_TDC_CLK,
+        REF_TDC_CLKB    => REF_TDC_CLKB,
+        A_TDC_BU_RESET  => A_TDC_BU_RESET,
+        A_TDC_BU_RESETB => A_TDC_BU_RESETB,
+        A_TDC_EV_RESET  => A_TDC_EV_RESET,
+        A_TDC_EV_RESETB => A_TDC_EV_RESETB,
+        B_TDC_BU_RESET  => B_TDC_BU_RESET,
+        B_TDC_BU_RESETB => B_TDC_BU_RESETB,
+        B_TDC_EV_RESET  => B_TDC_EV_RESET,
+        B_TDC_EV_RESETB => B_TDC_EV_RESETB,
+        C_TDC_BU_RESET  => C_TDC_BU_RESET,
+        C_TDC_BU_RESETB => C_TDC_BU_RESETB,
+        C_TDC_EV_RESET  => C_TDC_EV_RESET,
+        C_TDC_EV_RESETB => C_TDC_EV_RESETB,
+        D_TDC_BU_RESET  => D_TDC_BU_RESET,
+        D_TDC_BU_RESETB => D_TDC_BU_RESETB,
+        D_TDC_EV_RESET  => D_TDC_EV_RESET,
+        D_TDC_EV_RESETB => D_TDC_EV_RESETB,
+        TDC_OUT         => TDC_OUT,
+        TDC_RESET       => TDC_RESET,
+        A_TRIGGER       => A_TRIGGER,
+        A_TRIGGERB      => A_TRIGGERB,
+        B_TRIGGER       => B_TRIGGER,
+        B_TRIGGERB      => B_TRIGGERB,
+        C_TRIGGER       => C_TRIGGER,
+        C_TRIGGERB      => C_TRIGGERB,
+        D_TRIGGER       => D_TRIGGER,
+        D_TRIGGERB      => D_TRIGGERB,
+        FS_PB           => FS_PB,
+        FS_PC           => FS_PC,
+        ETRAX_IRQ       => ETRAX_IRQ,
+        A_SCK           => A_SCK,
+        A_SCKB          => A_SCKB,
+        A_SDI           => A_SDI,
+        A_SDIB          => A_SDIB,
+        A_SDO           => A_SDO,
+        A_SDOB          => A_SDOB,
+        A_CSB           => A_CSB,
+        A_CS            => A_CS,
+        B_SCK           => B_SCK,
+        B_SCKB          => B_SCKB,
+        B_SDI           => B_SDI,
+        B_SDIB          => B_SDIB,
+        B_SDO           => B_SDO,
+        B_SDOB          => B_SDOB,
+        B_CSB           => B_CSB,
+        B_CS            => B_CS,
+        C_SCK           => C_SCK,
+        C_SCKB          => C_SCKB,
+        C_SDI           => C_SDI,
+        C_SDIB          => C_SDIB,
+        C_SDO           => C_SDO,
+        C_SDOB          => C_SDOB,
+        C_CSB           => C_CSB,
+        C_CS            => C_CS,
+        D_SCK           => D_SCK,
+        D_SCKB          => D_SCKB,
+        D_SDI           => D_SDI,
+        D_SDIB          => D_SDIB,
+        D_SDO           => D_SDO,
+        D_SDOB          => D_SDOB,
+        D_CSB           => D_CSB,
+        D_CS            => D_CS,
+        A_TEST1         => A_TEST1,
+        A_TEST1B        => A_TEST1B,
+        A_TEST2         => A_TEST2,
+        A_TEST2B        => A_TEST2B,
+        B_TEST1         => B_TEST1,
+        B_TEST1B        => B_TEST1B,
+        B_TEST2         => B_TEST2,
+        B_TEST2B        => B_TEST2B,
+        C_TEST1         => C_TEST1,
+        C_TEST1B        => C_TEST1B,
+        C_TEST2         => C_TEST2,
+        C_TEST2B        => C_TEST2B,
+        D_TEST1         => D_TEST1,
+        D_TEST1B        => D_TEST1B,
+        D_TEST2         => D_TEST2,
+        D_TEST2B        => D_TEST2B,
+        DSPADDR         => DSPADDR,
+        DSPDAT          => DSPDAT,
+        DSP_ACK         => DSP_ACK,
+        DSP_BM          => DSP_BM,
+        DSP_BMS         => DSP_BMS,
+        DSP_BOFF        => DSP_BOFF,
+        DSP_BRST        => DSP_BRST,
+        DSP_HBG         => DSP_HBG,
+        DSP_HBR         => DSP_HBR,
+        DSP_IRQ         => DSP_IRQ,
+        DSP_RD          => DSP_RD,
+        DSP_RESET       => DSP_RESET,
+        DSP_RESET_OUT   => DSP_RESET_OUT,
+        DSP_WRH         => DSP_WRH,
+        DSP_WRL         => DSP_WRL,
+        VSD_A           => VSD_A,
+        VSD_BA          => VSD_BA,
+        VSD_CAS         => VSD_CAS,
+        VSD_CKE         => VSD_CKE,
+        VSD_CLOCK       => VSD_CLOCK,
+        VSD_CSEH        => VSD_CSEH,
+        VSD_CSEL        => VSD_CSEL,
+        VSD_D           => VSD_D,
+        VSD_DQML        => VSD_DQML,
+        VSD_RAS         => VSD_RAS,
+        VSD_WE          => VSD_WE,
+        TLK_CLK         => TLK_CLK,
+        TLK_ENABLE      => TLK_ENABLE,
+        TLK_LCKREFN     => TLK_LCKREFN,
+        TLK_LOOPEN      => TLK_LOOPEN,
+        TLK_PRBSEN      => TLK_PRBSEN,
+        TLK_RXD         => TLK_RXD,
+        TLK_RX_CLK      => TLK_RX_CLK,
+        TLK_RX_DV       => TLK_RX_DV,
+        TLK_RX_ER       => TLK_RX_ER,
+        TLK_TXD         => TLK_TXD,
+        TLK_TX_EN       => TLK_TX_EN,
+        TLK_TX_ER       => TLK_TX_ER,
+        SFP_LOS         => SFP_LOS,
+        SFP_TX_DIS      => SFP_TX_DIS,
+        SFP_TX_FAULT    => SFP_TX_FAULT,
+        ADO_LV          => ADO_LV,
+        ADO_TTL         => ADO_TTL,
+        VIRT_TCK        => VIRT_TCK,
+        VIRT_TDI        => VIRT_TDI,
+        VIRT_TDO        => VIRT_TDO,
+        VIRT_TMS        => VIRT_TMS,
+        VIRT_TRST       => VIRT_TRST);
+  
+-------------------------------------------------------------------------------
+-- etraxnt_test
+-------------------------------------------------------------------------------
+  
+       etrax_intf : PROCESS
+       BEGIN
+
+           --reading DSP(dev number 1)
+           wait for 10 ns;
+           RESET_VIRT <= '0';
+
+           wait for 10 ns;
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '1';
+           RESET_VIRT <= '1';
+           wait for 10 ns;
+     
+           wait for 30 ns;
+ --          FS_PC(16) <= '0';
+ --          FS_PC(17) <= '0';
+ --          wait for 10 ns;
+           FS_PC(15 downto 0) <= x"0000";
+           FS_PC(16) <= '0';
+           FS_PC(17) <= '0';
+           wait on VIRT_CLK until FS_PB(16) = '0';     
+           wait for 20 ns;
+           FS_PC(16) <= '1';
+           wait for 20 ns;
+           FS_PC(15) <= '1';               --read mode(1)
+           FS_PC(14 downto 8) <= (others => '0');             
+           FS_PC(7 downto 0) <= x"00";  --device
+           FS_PC(16) <= '0';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(15 downto 0) <= x"0000"; --address upper part
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '1';
+           FS_PC(15 downto 0) <= x"0025"; --adrees lower part
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '0';
+           wait for 20 ns; 
+           FS_PC(16) <= '0';                         
+           wait on VIRT_CLK until FS_PB(16)= '1';
+           FS_PC(16) <= '1';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait on VIRT_CLK until FS_PB(16) = '0';
+           FS_PC(16) <= '1';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait on VIRT_CLK until FS_PB(16)= '1';
+           FS_PC(16) <= '1';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           --writing DSP
+           wait for 20 ns;
+           FS_PC(16) <= '1';
+           test_synch_00 <= '1';
+           wait for 20 ns;
+           FS_PC(15) <= '0';               --write mode
+           FS_PC(14 downto 8) <= (others => '0');             
+           FS_PC(7 downto 0) <= x"00";     --device
+           FS_PC(16) <= '0';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(15 downto 0) <= x"0000"; --address upper part
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '1';
+           FS_PC(15 downto 0) <= x"0006"; --adrees lower part
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait for 20 ns;
+           FS_PC(15 downto 0) <= x"0004"; --data upper part
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait for 20 ns;
+           FS_PC(15 downto 0) <= x"0000"; --data lower part - 1 switch on
+                                          --internal generation of trigger
+           FS_PC(16) <= '1';
+           FS_PC(17) <= '0';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           wait for 20 ns;
+           test_synch_00 <= '0';
+           FS_PC(16) <= '1';
+           wait for 20 ns;
+           FS_PC(16) <= '0';
+           FS_PC(15 downto 0) <= (others => 'Z');
+--           wait on VIRT_CLK until FS_PB(16)= '1';
+           loop
+             wait on VIRT_CLK until FS_PB(16) = '1';
+             FS_PC(17) <= '1';
+             wait for 50 ns;
+             FS_PC(17) <= '0';
+             wait for 50 ns;
+           end loop;
+          
+           wait; -- will wait forever
+         end process;
+    
+
+        
+
+        clock_gclk : process
+        begin
+        VIRT_CLK <= '0';
+        VIRT_CLKB <= '1';
+        wait for 5 ns;
+        VIRT_CLKB <= '0';
+        VIRT_CLK <= '1';
+        wait for 5 ns;
+        end process;
+
+        
+       
+        dsp : process
+          begin
+          DSP_ACK <= '1';  
+          DSP_HBG <= '1';
+          wait for 20 ns;
+          DSPDAT <= (others => 'Z');
+          wait on VIRT_CLK until DSP_HBR = '0';
+          wait for 8 ns;
+          DSP_HBG <= '0';
+          DSPDAT <= x"babeface";
+          wait for 10 ns;
+          DSP_ACK <= '1';
+          wait on VIRT_CLK until DSP_HBR = '1';
+          DSP_ACK <= '0';
+          DSP_HBG <= '1';
+          DSPDAT <= (others => 'Z');
+          wait on VIRT_CLK until test_synch_00 = '1';
+          wait on VIRT_CLK until DSP_HBR = '0';
+          wait for 8 ns;
+          DSP_HBG <= '0';
+          wait until DSP_HBR = '1';
+          DSP_HBG <= '1';
+          wait;
+        end process;
+        -----------------------------------------------------------------------
+        -- TLK
+        -----------------------------------------------------------------------
+     clock_tlk_clk : process
+        begin
+        TLK_CLK <= '0';
+        wait for 5 ns;
+        TLK_CLK <=  '1';
+        wait for 5 ns;
+        end process;
+        clock_tlk_rx_clk : process
+        begin
+        TLK_RX_CLK <= '0';
+        wait for 5 ns;
+        TLK_RX_CLK <=  '1';
+        wait for 5 ns;
+        end process;
+        RX_ER: process
+          begin
+          TLK_RX_ER <= '0';
+          wait for 2200 ns;
+          TLK_RX_ER <= '1';
+          wait for 120 ns;             
+        end process;
+        TLK_RXD <= TLK_TXD;
+        --TLK_RX_ER <= '0';
+        TLK_RX_DV <= '1';
+        -----------------------------------------------------------------------
+        -- TDC
+        -----------------------------------------------------------------------
+        clock_tdcclk : process
+        begin
+          wait for 12 ns;
+          loop
+          REF_TDC_CLK  <= '0';
+          REF_TDC_CLKB <= '1';
+          wait for 12.5 ns;
+          REF_TDC_CLK  <= '1';
+          REF_TDC_CLKB <= '0';
+          wait for 12.5 ns;
+          end loop;
+        end process;
+        trigger_lvl1 : process
+        begin
+--          ADO_TTL(7) <= '0';
+          A_DATA_READY <= '0';
+          B_DATA_READY <= '0';
+          C_DATA_READY <= '0';
+          D_DATA_READY <= '0';
+          TOKEN_IN  <= '0';
+          TDC_OUT <= x"bedebabe";
+--           A_TEMP <= '0';
+--           wait for 50 ns;
+--           A_TEMP <= '1';
+--           wait for 10 ns;
+--           A_TEMP <= '0';
+--           wait for 10 ns;
+          wait on REF_TDC_CLK until TOKEN_OUT = '1';
+          wait on REF_TDC_CLK until TOKEN_OUT = '0';
+          wait for 10 ns;
+--          ADO_TTL(7) <= '0';
+          A_DATA_READY <= '1';
+          wait for 50 ns;
+          A_DATA_READY <= '0';
+          B_DATA_READY <= '1';
+          wait for 50 ns;
+          B_DATA_READY <= '0';
+          C_DATA_READY <= '1';
+          wait for 50 ns;
+--          ADO_TTL(7) <= '0';
+          C_DATA_READY <= '0';
+          D_DATA_READY <= '1';
+          wait for 50 ns;
+          D_DATA_READY <= '0';
+          wait for 0 ns;
+--          ADO_TTL(6) <= '1';
+          TOKEN_IN <= '1';
+          wait for 25 ns;
+--          ADO_TTL(6) <= '0';
+          TOKEN_IN <= '0';
+    --      wait on REF_TDC_CLK until DBAD = '0';
+        end process;
+--         trigger_lvl2 : process
+--         begin
+--           B_TEMP <= '0';
+--           C_TEMP <= '0';
+--           wait until DBAD = '1';
+--           wait until DBAD = '0';
+--           wait for 30 ns;
+--           wait on VIRT_CLK until DGOOD = '0';
+--           B_TEMP <= '0';
+--           C_TEMP <= '1';
+--           wait for 30 ns;
+--         end process;
+
+        -----------------------------------------------------------------------
+        -- DTU
+        -----------------------------------------------------------------------
+         clock_dtu : process
+         begin
+          wait for 34 ns;
+           
+          loop
+            dtu_clk <= '0';
+            wait for 50 ns;
+            dtu_clk <= '1';
+            wait for 50 ns;
+          end loop;
+         end process;
+        ADO_TTL(4) <= lvl1_trig;
+        ADO_TTL(10) <= lvl2_trig;
+        
+ --         LVL1_TAG_COUNTER: process (dtu_clk,RESET_VIRT,lvl1_trig)
+ --         begin 
+ --           if rising_edge(dtu_clk) then  
+ --             if RESET_VIRT = '0' then
+ --               dtu_lvl1_tag <= x"00";
+ --             elsif ADO_TTL(17) = '1' then
+ --               dtu_lvl1_tag <= dtu_lvl1_tag +1;
+ --             end if;
+ --           end if;
+ --         end process LVL1_TAG_COUNTER;
+         LVL1_COUNT:process
+           begin
+            wait for 100 ns;
+            dtu_lvl1_tag <= x"00";
+            wait on dtu_clk until ADO_TTL(0) = '0';
+            wait on dtu_clk until lvl1_trig = '1';
+            wait for 500 ns;
+            dtu_lvl1_tag <= dtu_lvl1_tag +1;
+            loop
+            wait on dtu_clk until ADO_TTL(0) = '1';
+         --    wait for 1000 ns;
+            dtu_lvl1_tag <= dtu_lvl1_tag +1;
+            wait on dtu_clk until ADO_TTL(0) = '0';
+            end loop;
+           end process  LVL1_COUNT;
+         LVL2_COUNT:process
+           begin
+             wait for 100 ns;
+            dtu_lvl2_tag <= x"00";
+             wait on dtu_clk until ADO_TTL(2) = '0';
+            loop
+ --           wait on dtu_clk until ADO_TTL(2) = '1';
+            wait on dtu_clk until lvl2_trig  = '1';
+         --    wait for 1000 ns;
+            wait for 500 ns;
+            dtu_lvl2_tag <= dtu_lvl2_tag +1;
+            wait on dtu_clk until ADO_TTL(2) = '0';
+            end loop;
+           end process  LVL2_COUNT;
+          
+
+ --         LVL2_TAG_COUNTER: process (dtu_clk,RESET_VIRT,lvl2_trig)
+ --         begin 
+ --           if rising_edge(dtu_clk) then  
+ --             if RESET_VIRT = '0' then
+ --               dtu_lvl2_tag <= x"00";
+ --             elsif lvl2_trig = '1' then
+ --               dtu_lvl2_tag <= dtu_lvl2_tag +1;
+ --             end if;
+ --           end if;
+ --         end process LVL2_TAG_COUNTER;
+ --         ADO_TTL(20 downto 17) <= (others => 'Z');
+
+        
+         ADO_TTL(4) <=  lvl1_trig;
+         DTU_EMULATION_LVL1: process 
+        begin
+          ADO_TTL(9) <= '0';
+          lvl1_trig <= '0';
+          wait for 1000 ns;
+          wait on dtu_clk until ADO_TTL(0) = '0' and dtu_clk = '1';  --busylvl1
+          lvl1_trig <= '1';
+          ADO_TTL(8 downto 5) <= x"d";
+          wait for 100 ns;
+          lvl1_trig <= '0';
+          wait for 100 ns;
+          ADO_TTL(9) <= '1';
+          ADO_TTL(8 downto 5) <= dtu_lvl1_tag(3 downto 0);
+          wait for 100 ns;
+          ADO_TTL(9) <= '0';
+          wait for 100 ns;
+          ADO_TTL(9) <= '1';
+          ADO_TTL(8 downto 5) <= dtu_lvl1_tag(7 downto 4);
+          loop
+            wait for 100 ns;
+            ADO_TTL(9) <= '0';
+            wait for 100 ns;
+            ADO_TTL(9) <= '1';
+            wait for 100 ns;
+            ADO_TTL(9) <= '0';
+            wait on dtu_clk until ADO_TTL(0) = '0' and dtu_clk = '1';  --busylvl1
+            wait for 300 ns;
+            lvl1_trig <= '1';
+            ADO_TTL(8 downto 5) <= x"1";
+            wait for 100 ns;
+            lvl1_trig <= '0';
+            wait for 100 ns;
+            ADO_TTL(9) <= '1';
+            ADO_TTL(8 downto 5) <= dtu_lvl1_tag(3 downto 0);
+            wait for 100 ns;
+            ADO_TTL(9) <= '0';
+            wait for 100 ns;
+            ADO_TTL(9) <= '1';
+            ADO_TTL(8 downto 5) <= dtu_lvl1_tag(7 downto 4);
+          end loop;
+        end process  DTU_EMULATION_LVL1;
+
+        ADO_TTL(10) <=  lvl2_trig;
+         DTU_EMULATION_LVL2:process
+        begin
+          ADO_TTL(15) <= '0';
+          lvl2_trig <= '0';
+          wait for 26000 ns;
+            loop
+          ADO_TTL(15) <= '0';     
+          wait on dtu_clk until ADO_TTL(2) = '0'and dtu_clk = '1';  --busylvl2
+ --         wait on dtu_clk until dtu_lvl1_tag > dtu_lvl2_tag + 1;
+          wait on dtu_clk until dtu_lvl1_tag - dtu_lvl2_tag > x"01";
+          wait for 300 ns;
+          lvl2_trig <= '1';
+          ADO_TTL(14 downto 11) <= x"1";
+          wait for 100 ns;
+          lvl2_trig <= '0';
+          wait for 100 ns;
+          ADO_TTL(15) <= '1';
+          ADO_TTL(14 downto 11) <= dtu_lvl2_tag(3 downto 0);
+          wait for 100 ns;
+          ADO_TTL(15) <= '0';
+          wait for 100 ns;
+          ADO_TTL(15) <= '1';
+          ADO_TTL(14 downto 11) <= dtu_lvl2_tag(7 downto 4);
+          wait for 100 ns;
+          ADO_TTL(15) <= '0';
+          wait for 100 ns;
+          ADO_TTL(15) <= '1';
+          wait for 100 ns;
+          ADO_TTL(15) <= '0';
+         
+          wait on dtu_clk until ADO_TTL(2) = '0'and dtu_clk = '1';
+          wait on dtu_clk until dtu_lvl1_tag - dtu_lvl2_tag > x"01";
+          wait for 100 ns;
+          lvl2_trig <= '1';
+          ADO_TTL(14 downto 11) <= x"9";
+          wait for 100 ns;
+          lvl2_trig <= '0';
+          wait for 100 ns;
+          ADO_TTL(15) <= '1';
+          ADO_TTL(14 downto 11) <= dtu_lvl2_tag(3 downto 0);
+          wait for 100 ns;
+          ADO_TTL(15) <= '0';
+          wait for 100 ns;
+          ADO_TTL(15) <= '1';
+          ADO_TTL(14 downto 11) <= dtu_lvl2_tag(7 downto 4);
+          wait for 100 ns;
+          ADO_TTL(15) <= '0';
+          wait for 100 ns;
+          ADO_TTL(15) <= '1';
+          wait for 100 ns;
+          ADO_TTL(15) <= '0';
+          end loop;
+        end process  DTU_EMULATION_LVL2;
+
+--        ETRAX_RESPONSE: process
+--        begin
+--          FS_PC(16) <= '0';
+--            FS_PC(17) <= '0';
+--            wait for 10 ns;
+--            RESET_VIRT <= '0';
+
+--            wait for 10 ns;
+--            FS_PC(16) <= '1';
+--            FS_PC(17) <= '1';
+--            RESET_VIRT <= '1';
+--            wait for 10 ns;
+    
+--            wait for 30 ns;
+--            FS_PC(16) <= '0';
+--            FS_PC(17) <= '0';
+--            wait for 10 ns;
+--            loop
+--              FS_PC(17) <= '0';
+--              wait on TLK_CLK until FS_PB(16) ='1';
+--              wait for 20 ns;
+--              FS_PC(17) <= '1';
+--              wait for 2000 ns;
+--          end loop;
+--        end process ETRAX_RESPONSE;
+-- EXTERNAL_TRIGG: process
+--     begin
+--           wait for 15 ns;
+--           loop
+--           ADO_TTL(0)  <= '1';
+--           ADO_TTL(1) <= '0';
+--           wait for 74 ns;
+--           ADO_TTL(0) <= '0';
+--           ADO_TTL(1) <= '1';
+--           wait for 82 ns;
+--           end loop;
+--     end process EXTERNAL_TRIGG;
+END;