attribute syn_keep of reset_i : signal is true;
signal buf_STAT : std_logic_vector(15 downto 0);
+ signal STAT_POINTS_locked_i : std_logic_vector(31 downto 0);
begin
wait until rising_edge(CLK);
last_reset_i <= reset_i;
if reset_i = '1' and last_reset_i = '0' then
- STAT_after_reset(15 downto 0) <= STAT_POINTS_locked(15 downto 0);
+ STAT_after_reset(15 downto 0) <= STAT_POINTS_locked_i(15 downto 0);
STAT_after_reset(19 downto 16) <= buf_STAT(3 downto 0);
STAT_after_reset(23 downto 20) <= std_logic_vector(to_unsigned(act_reply_port,4));
end if;
end process;
- STAT_POINTS_locked(31 downto POINT_NUMBER) <= (others => '0');
+ STAT_POINTS_locked_i(31 downto POINT_NUMBER) <= (others => '0');
proc_stat_errorbits : process begin
wait until rising_edge(CLK);
if currentstate /= IDLE then
- STAT_POINTS_locked(POINT_NUMBER-1 downto 0) <= not reply_got_trm and real_active_points and not act_init_mask;
+ STAT_POINTS_locked_i(POINT_NUMBER-1 downto 0) <= not reply_got_trm and real_active_points and not act_init_mask;
else
- STAT_POINTS_locked(POINT_NUMBER-1 downto 0) <= (others => '0');
+ STAT_POINTS_locked_i(POINT_NUMBER-1 downto 0) <= (others => '0');
end if;
if currentstate = IDLE then
end if;
end process;
+ STAT_POINTS_locked <= STAT_POINTS_locked_i;
+
gen_monitoring_errorbits : process(CLK)
begin
if rising_edge(CLK) then