lm_license_file_for_synplify => "27020\@jspc29", #"27000\@lxcad01.gsi.de";
lm_license_file_for_par => "1702\@hadeb05.gsi.de",
lattice_path => '/d/jspc29/lattice/diamond/3.9_x64',
-# synplify_path => '/d/jspc29/lattice/synplify/K-2015.09/',
-synplify_path => '/d/jspc29/lattice/synplify/L-2016.09-1/',
+synplify_path => '/d/jspc29/lattice/synplify/K-2015.09/',
+#synplify_path => '/d/jspc29/lattice/synplify/L-2016.09-1/',
#synplify_command => "/d/jspc29/lattice/diamond/3.5_x64/bin/lin64/synpwrap -fg -options",
#synplify_command => "/d/jspc29/lattice/synplify/J-2014.09-SP2/bin/synplify_premier_dp",
#Report settings
firefox_open => 0,
twr_number_of_errors => 20,
-
signal reset_i : std_logic;
signal clear_i : std_logic;
signal do_reboot_i, reboot_from_gbe : std_logic;
+ signal external_reset_i : std_logic;
signal time_counter : unsigned(31 downto 0) := (others => '0');
signal led : std_logic_vector(1 downto 0);
NET_CLK_FULL_IN => med2int(INTERFACE_NUM-1).clk_full,
NET_CLK_HALF_IN => med2int(INTERFACE_NUM-1).clk_half,
RESET_FROM_NET => med2int(INTERFACE_NUM-1).stat_op(13),
+ SEND_RESET_IN => med2int(INTERFACE_NUM-1).stat_op(15),
BUS_RX => bustc_rx,
BUS_TX => bustc_tx,
CLK_EN => '1',
--Media interfacces
- MEDIA_MED2INT => med2int(0 to INTERFACE_NUM-1 ),
+ MEDIA_MED2INT => med2int(0 to INTERFACE_NUM-1),
MEDIA_INT2MED => int2med(0 to INTERFACE_NUM-1),
--Event information coming from CTSCTS_READOUT_TYPE_OUT
ONEWIRE => TEMPSENS,
MY_ADDRESS_OUT => my_address,
UNIQUE_ID_OUT => mc_unique_id,
- EXTERNAL_SEND_RESET => reset_via_gbe,
+ EXTERNAL_SEND_RESET => external_reset_i,
BUS_RX => ctrlbus_rx,
BUS_TX => ctrlbus_tx,
STAT_DEBUG => open,
CTRL_DEBUG => (others => '0')
);
-
+ external_reset_i <= reset_via_gbe or med2int(INTERFACE_NUM-1).stat_op(15);
end generate;