SFP_MOD2 : inout std_logic_vector(1 downto 0) := (others => 'Z');
SFP_TX_DIS : out std_logic_vector(1 downto 0) := (others => '0');
- SERDES_TX : out std_logic_vector(1 downto 0);
- SERDES_RX : in std_logic_vector(1 downto 0);
+-- SERDES_TX : out std_logic_vector(1 downto 0);
+-- SERDES_RX : in std_logic_vector(1 downto 0);
--Serdes switch
PCSSW_ENSMB : out std_logic;
TX_DLM_WORD => open,
--SFP Connection
- SD_RXD_P_IN => SERDES_RX(0),
- SD_RXD_N_IN => SERDES_RX(1),
- SD_TXD_P_OUT => SERDES_TX(0),
- SD_TXD_N_OUT => SERDES_TX(1),
+-- SD_RXD_P_IN => SERDES_RX(0),
+-- SD_RXD_N_IN => SERDES_RX(1),
+-- SD_TXD_P_OUT => SERDES_TX(0),
+-- SD_TXD_N_OUT => SERDES_TX(1),
SD_REFCLK_P_IN => '0',
SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => SFP_MOD0(1),