MAC_FIFOEOF_OUT : out std_logic;
MAC_FIFOEMPTY_OUT : out std_logic;
MAC_RX_FIFOFULL_OUT : out std_logic;
- MAC_TX_DATA_OUT : out std_logic_vector(7 downto 0);
- MAC_TX_READ_IN : in std_logic;
- MAC_TX_DISCRFRM_IN : in std_logic;
- MAC_TX_STAT_EN_IN : in std_logic;
- MAC_TX_STATS_IN : in std_logic_vector(30 downto 0);
- MAC_TX_DONE_IN : in std_logic;
- MAC_RX_FIFO_ERR_IN : in std_logic;
- MAC_RX_STATS_IN : in std_logic_vector(31 downto 0);
+-- MAC_TX_DATA_OUT : out std_logic_vector(7 downto 0);
+-- MAC_TX_READ_IN : in std_logic;
+-- MAC_TX_DISCRFRM_IN : in std_logic;
+-- MAC_TX_STAT_EN_IN : in std_logic;
+-- MAC_TX_STATS_IN : in std_logic_vector(30 downto 0);
+-- MAC_TX_DONE_IN : in std_logic;
+-- MAC_RX_FIFO_ERR_IN : in std_logic;
+-- MAC_RX_STATS_IN : in std_logic_vector(31 downto 0);
MAC_RX_DATA_IN : in std_logic_vector(7 downto 0);
MAC_RX_WRITE_IN : in std_logic;
MAC_RX_STAT_EN_IN : in std_logic;
MAC_RX_ER_IN => MAC_RX_ERROR_IN,
MAC_RXD_IN => MAC_RX_DATA_IN,
MAC_RX_EN_IN => MAC_RX_WRITE_IN,
- MAC_RX_FIFO_ERR_IN => MAC_RX_FIFO_ERR_IN,
+ MAC_RX_FIFO_ERR_IN => '0', -- UNUSED
MAC_RX_FIFO_FULL_OUT => MAC_RX_FIFOFULL_OUT,
MAC_RX_STAT_EN_IN => MAC_RX_STAT_EN_IN,
- MAC_RX_STAT_VEC_IN => MAC_RX_STATS_IN,
+ MAC_RX_STAT_VEC_IN => (others => '0'),
-- output signal to control logic
FR_Q_OUT => fr_q,
FR_RD_EN_IN => fr_rd_en,
MAC_RX_ER_IN : in std_logic;
MAC_RXD_IN : in std_logic_vector(7 downto 0);
MAC_RX_EN_IN : in std_logic;
- MAC_RX_FIFO_ERR_IN : in std_logic;
+ MAC_RX_FIFO_ERR_IN : in std_logic; -- UNUSED
MAC_RX_FIFO_FULL_OUT : out std_logic;
MAC_RX_STAT_EN_IN : in std_logic;
- MAC_RX_STAT_VEC_IN : in std_logic_vector(31 downto 0);
+ MAC_RX_STAT_VEC_IN : in std_logic_vector(31 downto 0); -- UNUSED
-- output signal to control logic
FR_Q_OUT : out std_logic_vector(8 downto 0);
FR_RD_EN_IN : in std_logic;
FIFO_D_IN => FIFO_DATA_IN((i + 1) * 9 - 1 downto i * 9),
-- Link stuff
FRAME_START_IN => FRAME_START_IN(i),
- LINK_ACTIVE_IN => an_complete(i),
+ LINK_ACTIVE_IN => link_active(i), --an_complete(i),
--
DEBUG => open
);
begin
-------------------------------------------------------------------------------------------------
- -- HACK: adpot the RX part for internal GbE hub
+ -- HACK: adopt the RX part for internal GbE hub
-- FrameActice signal - used to inhibit acceptance of runt frames
THE_FRAME_ACTIVE_PROC: process( CLK_125_IN )
MAC_FIFOEOF_OUT => open, -- NEEDED
MAC_FIFOEMPTY_OUT => open, -- NEEDED
MAC_RX_FIFOFULL_OUT => FIFO_FULL_OUT, -- NEEDED -- BUG: check level
- MAC_TX_DATA_OUT => open, -- NEEDED
- MAC_TX_READ_IN => '0', -- NEEDED
- MAC_TX_DISCRFRM_IN => '0', -- NEEDED
- MAC_TX_STAT_EN_IN => '0', -- NEEDED
- MAC_TX_STATS_IN => (others => '0'), -- NEEDED
- MAC_TX_DONE_IN => '0', -- NEEDED
- MAC_RX_FIFO_ERR_IN => '0', -- NEEDED
- MAC_RX_STATS_IN => (others => '0'), -- done
+-- MAC_TX_DATA_OUT => open, -- NEEDED
+-- MAC_TX_READ_IN => '0', -- NEEDED
+-- MAC_TX_DISCRFRM_IN => '0', -- NEEDED
+-- MAC_TX_STAT_EN_IN => '0', -- NEEDED
+-- MAC_TX_STATS_IN => (others => '0'), -- NEEDED
+-- MAC_TX_DONE_IN => '0', -- NEEDED
+-- MAC_RX_FIFO_ERR_IN => '0', -- NEEDED
+-- MAC_RX_STATS_IN => (others => '0'), -- done
MAC_RX_DATA_IN => FIFO_DATA_IN(7 downto 0), -- NEEDED
MAC_RX_WRITE_IN => rx_fifo_wr, -- NEEDED
MAC_RX_STAT_EN_IN => '0', -- NEEDED