architecture x of command_sender is
-type cmd_arr is array 0 to 14 of std_logic_vector(15 downto 0);
-type num_arr is array 0 to 4 of std_logic_vector(15 downto 0);
+type cmd_arr is array (0 to 14) of std_logic_vector(15 downto 0);
+type num_arr is array (0 to 4) of std_logic_vector(2 downto 0);
+
constant commands : cmd_arr := (x"0031",x"FFFF",x"FFFF",x"FFFF",x"0008",
- (x"0030",x"0000",x"a0c0",x"affe",x"dead",
- (x"0033",x"0000",x"0000",x"0000",x"0008");
+ x"0030",x"0000",x"a0c0",x"affe",x"dead",
+ x"0033",x"0000",x"0000",x"0000",x"0008");
constant packetnums : num_arr := ("100","000","001","010","011");
begin
process begin
- stat_op <= (others => '0');
+
dataready <= '0';
data <= (others => '0');
packet_num <= "100";
end process;
-end architecture;
\ No newline at end of file
+end architecture;