-- ports for user logic
RESET : in std_logic;
CLK : in std_logic;
+ LINK_OK_IN : in std_logic; -- gk 03.08.10
--
WR_EN_IN : in std_logic;
DATA_IN : in std_logic_vector(7 downto 0);
port (
CLK : in std_logic;
RESET : in std_logic;
+ LINK_OK_IN : in std_logic; -- gk 03.08.10
TX_MAC_CLK : in std_logic;
TX_EMPTY_IN : in std_logic;
START_OF_PACKET_IN : in std_logic;
RESET : in std_logic;
GSR_N : in std_logic;
CLK_125_OUT : out std_logic;
- CLK_RX_OUT : out std_logic;
- CLK_TX_OUT : out std_logic;
- CLK_125_TX_IN : in std_logic; -- gk 28.04.10 used when intclk
- CLK_125_RX_IN : in std_logic; -- gk 28.04.10 used when intclk
+ CLK_125_IN : in std_logic; -- gk 28.04.10 used when intclk
--SGMII connection to frame transmitter (tsmac)
FT_TX_CLK_EN_OUT : out std_logic;
FT_RX_CLK_EN_OUT : out std_logic;
MONITOR_SM_IN : in std_logic_vector(31 downto 0);
MONITOR_LR_IN : in std_logic_vector(31 downto 0);
MONITOR_HDR_IN : in std_logic_vector(31 downto 0);
+ MONITOR_FIFOS_IN : in std_logic_vector(31 downto 0);
-- gk 01.06.10
DBG_IPU2GBE1_IN : in std_logic_vector(31 downto 0);
DBG_IPU2GBE2_IN : in std_logic_vector(31 downto 0);