trb3sc_gbe_hub +-> clock_reset_handler +-> reset_handler
- +-> gbe_sgl_ctrl
- +-> gbe_wrapper_fifo +-> rx_rb +-> rb_4k_9
- | +-> gbe_logic_wrapper +-> gbe_main_control ++> gbe_protocol_selector +-> gbe_response_constructor_ARP
- | | +-> gbe_response_constructor_DHCP
- | | +-> gbe_response_constructor_Ping
- | | +-> gbe_response_constructor_SCTRL +-> fifo_2kx9x18_wcnt
- | | +-> gbe_response_constructor_Forward
- | +-> gbe_transmit_control
- | +-> gbe_frame_constr
- | +-> gbe_receive_control
- | +-> gbe_frame_receiver +-> fifo_4096x9
+ *-> gbe_sgl_ctrl
+ *-> gbe_wrapper_fifo +*> rx_rb +*> rb_4k_9
+ | +*> gbe_logic_wrapper +*> gbe_main_control +*> gbe_protocol_selector +*> gbe_response_constructor_ARP
+ | | +*> gbe_response_constructor_DHCP
+ | | +*> gbe_response_constructor_Ping
+ | | +*> gbe_response_constructor_SCTRL +*> fifo_2kx9x18_wcnt
+ | | +*> gbe_response_constructor_Forward
+ | | +*> gbe_response_constructor_Discovery
+ | +*> gbe_transmit_control
+ | +*> gbe_frame_constr
+ | +*> gbe_receive_control
+ | +*> gbe_frame_receiver +-> fifo_4096x9
| +-> fifo_512x72
+-> trb_net16_endpoint_standalone_sctrl +-> trb_net16_api_base +-> trb_net16_term
| | +-> trb_net16_sbuf
add_file -vhdl -lib work "workdir/version.vhd"
add_file -vhdl -lib work "config.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net_std.vhd"
-add_file -vhdl -lib work "../../trbnet/trb_net_components.vhd"
-add_file -vhdl -lib work "../../trbnet/gbe_trb/base/gbe_protocols.vhd"
#Basic Infrastructure
add_file -vhdl -lib work "../../trbnet/special/uart.vhd"
add_file -vhdl -lib work "../../trbnet/special/uart_rec.vhd"
add_file -vhdl -lib work "../../trbnet/special/uart_trans.vhd"
+add_file -vhdl -lib work "../../trbnet/special/trb_net_i2cwire.vhd"
add_file -vhdl -lib work "../../trbnet/special/trb_net_i2cwire2.vhd"
add_file -vhdl -lib work "../../TOMcat/code/tomcat_tools.vhd"
add_file -vhdl -lib work "../../trb3sc/code/debuguart.vhd"
add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_gstart2.vhd"
add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_sendb2.vhd"
add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_slim2.vhd"
+add_file -vhdl -lib work "../../vhdlbasics/interface/i2c_slim.vhd"
#SlowControl files
add_file -vhdl -lib work "../../trbnet/basics/ram_dp.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net16_term.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net_sbuf.vhd"
+add_file -vhdl -lib work "../../trbnet/trb_net_sbuf2.vhd"
+add_file -vhdl -lib work "../../trbnet/trb_net_sbuf3.vhd"
+add_file -vhdl -lib work "../../trbnet/trb_net_sbuf4.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net_sbuf5.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net_sbuf6.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net16_sbuf.vhd"
library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
library work;
-use work.version.all;
-use work.config.all;
-use work.trb_net_std.all;
---use work.trb_net_components.all;
-use work.version.all;
-use work.med_sync_define_RS.all;
+ use work.version.all;
+ use work.config.all;
+ use work.trb_net_std.all;
+ use work.version.all;
+-- use work.med_sync_define_RS.all;
-- BUG: backplane handling!!!