--- /dev/null
+library ieee;
+ use ieee.std_logic_1164.all;
+ use ieee.numeric_std.all;
+
+library work;
+ use work.trb_net_std.all;
+ use work.config.all;
+ use work.triggerbox_pkg.all;
+
+
+entity multiplicity is
+ generic (
+ NUM_INPUTS : integer range 1 to 96 := 80
+ );
+ port (
+ CLK : in std_logic;
+ INP : in std_logic_vector(NUM_INPUTS-1 downto 0);
+ OUTP : out std_logic;
+ CONF : in CONF_multiplicity_t
+ );
+end entity;
+
+
+architecture arch of multiplicity is
+
+attribute syn_hier : string;
+attribute syn_hier of arch : architecture is "fixed";
+
+attribute HGROUP: string;
+attribute HGROUP of arch : architecture is "mult_proc";
+attribute BBOX: string;
+attribute BBOX of arch: architecture is "8,7";
+
+signal reg_inp : std_logic_vector(INP'range);
+signal mult_gated : std_logic_vector(95 downto 0) := (others => '0');
+signal out_reg : std_logic;
+
+ attribute syn_preserve : boolean;
+ attribute syn_preserve of reg_inp : signal is true;
+ attribute syn_preserve of out_reg : signal is true;
+
+ attribute syn_keep : boolean;
+ attribute syn_keep of reg_inp : signal is true;
+ attribute syn_keep of out_reg : signal is true;
+
+ attribute syn_maxfan : integer;
+ attribute syn_maxfan of out_reg : signal is 1;
+
+signal r_0_1, r_0_2 : std_logic_vector(41 downto 0) := (others => '0');
+signal r_1_1, r_1_2_A, r_1_2_B, r_1_4 : std_logic_vector(13 downto 0) := (others => '0');
+type mult_arr is array(0 to 5) of unsigned(6 downto 0);
+signal current_multiplicity : mult_arr;
+
+begin
+ reg_inp <= INP when rising_edge(CLK);
+ mult_gated(INP'range) <= reg_INP and CONF.enable(INP'range);
+
+ gen_first : for i in 0 to 39 generate
+ r_0_1(i) <= mult_gated(i*2) xor mult_gated(i*2+1);
+ r_0_2(i) <= mult_gated(i*2) and mult_gated(i*2+1);
+ end generate;
+
+ gen_second : for i in 0 to 13 generate
+ r_1_1(i) <= xor r_0_1(i*3+2 downto i*3+0);
+ r_1_2_A(i) <= (or r_0_1(i*3+2 downto i*3+0) and not (xor r_0_1(i*3+2 downto i*3+0)))
+ or and r_0_1(i*3+2 downto i*3+0);
+
+ r_1_2_B(i) <= xor r_0_2(i*3+2 downto i*3+0);
+ r_1_4(i) <= (or r_0_2(i*3+2 downto i*3+0) and not (xor r_0_2(i*3+2 downto i*3+0)))
+ or and r_0_2(i*3+2 downto i*3+0);
+ end generate;
+
+
+
+ PROC_MULT : process
+ variable a,b,c,d : integer range 0 to 13 := 0;
+ begin
+ wait until rising_edge(CLK);
+
+
+ for i in 0 to 13 loop
+ if r_1_1(i) = '1' then a := a + 1; end if;
+ if r_1_2_A(i) = '1' then b := b + 1; end if;
+ if r_1_2_B(i) = '1' then c := c + 1; end if;
+ if r_1_4(i) = '1' then d := d + 1; end if;
+ end loop;
+ current_multiplicity(0) <= to_unsigned(a,7);
+ current_multiplicity(1) <= to_unsigned(b,7);
+ current_multiplicity(2) <= to_unsigned(c,7);
+ current_multiplicity(3) <= to_unsigned(d,7);
+
+ if (current_multiplicity(1)*2+current_multiplicity(2)*2)+(current_multiplicity(0)*1+current_multiplicity(3)*4) >= CONF.multiplicity then
+ out_reg <= '1';
+ else
+ out_reg <= '0';
+ end if;
+
+ end process;
+
+
+
+ OUTP <= out_reg;
+
+end architecture;
+--
+-- type r2_arr is array(0 to 23) of integer range 0 to 7;
+-- signal r_2 : arr_1;
+--
+--
+-- type r1_arr is array(0 to 23) of integer range 0 to 3;
+-- signal r_1_ones,r_1_twos : arr_1;
+-- signal r_0_ones, r_0_twos : std_logic_vector(47 downto 0);
LOCATE UGROUP "THE_BOX/gen_monitors.15.THE_MONITOR/monitor_output" REGION "MONITOR_region";
-LOCATE UGROUP "THE_BOX/gen_input_processor.0.THE_INP_PROC/input_proc" SITE R71C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.1.THE_INP_PROC/input_proc" SITE R71C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.2.THE_INP_PROC/input_proc" SITE R71C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.3.THE_INP_PROC/input_proc" SITE R71C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.4.THE_INP_PROC/input_proc" SITE R71C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.5.THE_INP_PROC/input_proc" SITE R71C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.6.THE_INP_PROC/input_proc" SITE R75C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.7.THE_INP_PROC/input_proc" SITE R75C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.8.THE_INP_PROC/input_proc" SITE R75C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.9.THE_INP_PROC/input_proc" SITE R75C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.10.THE_INP_PROC/input_proc" SITE R75C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.11.THE_INP_PROC/input_proc" SITE R75C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.12.THE_INP_PROC/input_proc" SITE R17C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.13.THE_INP_PROC/input_proc" SITE R62C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.14.THE_INP_PROC/input_proc" SITE R35C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.15.THE_INP_PROC/input_proc" SITE R44C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.16.THE_INP_PROC/input_proc" SITE R17C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.17.THE_INP_PROC/input_proc" SITE R17C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.18.THE_INP_PROC/input_proc" SITE R17C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.19.THE_INP_PROC/input_proc" SITE R17C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.20.THE_INP_PROC/input_proc" SITE R17C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.21.THE_INP_PROC/input_proc" SITE R21C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.22.THE_INP_PROC/input_proc" SITE R66C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.23.THE_INP_PROC/input_proc" SITE R39C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.24.THE_INP_PROC/input_proc" SITE R48C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.25.THE_INP_PROC/input_proc" SITE R21C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.26.THE_INP_PROC/input_proc" SITE R21C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.27.THE_INP_PROC/input_proc" SITE R21C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.28.THE_INP_PROC/input_proc" SITE R21C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.29.THE_INP_PROC/input_proc" SITE R21C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.30.THE_INP_PROC/input_proc" SITE R26C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.31.THE_INP_PROC/input_proc" SITE R26C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.32.THE_INP_PROC/input_proc" SITE R44C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.33.THE_INP_PROC/input_proc" SITE R26C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.34.THE_INP_PROC/input_proc" SITE R44C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.35.THE_INP_PROC/input_proc" SITE R26C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.36.THE_INP_PROC/input_proc" SITE R26C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.37.THE_INP_PROC/input_proc" SITE R26C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.38.THE_INP_PROC/input_proc" SITE R26C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.39.THE_INP_PROC/input_proc" SITE R26C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.40.THE_INP_PROC/input_proc" SITE R30C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.41.THE_INP_PROC/input_proc" SITE R30C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.42.THE_INP_PROC/input_proc" SITE R48C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.43.THE_INP_PROC/input_proc" SITE R30C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.44.THE_INP_PROC/input_proc" SITE R48C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.45.THE_INP_PROC/input_proc" SITE R30C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.46.THE_INP_PROC/input_proc" SITE R30C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.47.THE_INP_PROC/input_proc" SITE R30C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.48.THE_INP_PROC/input_proc" SITE R30C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.49.THE_INP_PROC/input_proc" SITE R30C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.50.THE_INP_PROC/input_proc" SITE R35C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.51.THE_INP_PROC/input_proc" SITE R35C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.52.THE_INP_PROC/input_proc" SITE R53C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.53.THE_INP_PROC/input_proc" SITE R35C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.54.THE_INP_PROC/input_proc" SITE R39C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.55.THE_INP_PROC/input_proc" SITE R39C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.56.THE_INP_PROC/input_proc" SITE R57C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.57.THE_INP_PROC/input_proc" SITE R39C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.58.THE_INP_PROC/input_proc" SITE R44C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.59.THE_INP_PROC/input_proc" SITE R48C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.60.THE_INP_PROC/input_proc" SITE R53C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.61.THE_INP_PROC/input_proc" SITE R57C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.62.THE_INP_PROC/input_proc" SITE R80C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.63.THE_INP_PROC/input_proc" SITE R62C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.64.THE_INP_PROC/input_proc" SITE R62C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.65.THE_INP_PROC/input_proc" SITE R53C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.66.THE_INP_PROC/input_proc" SITE R80C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.67.THE_INP_PROC/input_proc" SITE R80C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.68.THE_INP_PROC/input_proc" SITE R80C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.69.THE_INP_PROC/input_proc" SITE R80C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.70.THE_INP_PROC/input_proc" SITE R80C118D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.71.THE_INP_PROC/input_proc" SITE R84C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.72.THE_INP_PROC/input_proc" SITE R66C134D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.73.THE_INP_PROC/input_proc" SITE R66C150D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.74.THE_INP_PROC/input_proc" SITE R57C38D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.75.THE_INP_PROC/input_proc" SITE R84C54D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.76.THE_INP_PROC/input_proc" SITE R84C70D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.77.THE_INP_PROC/input_proc" SITE R84C86D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.78.THE_INP_PROC/input_proc" SITE R84C102D;
-LOCATE UGROUP "THE_BOX/gen_input_processor.79.THE_INP_PROC/input_proc" SITE R84C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.0.THE_INP_PROC/input_proc" SITE R17C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.1.THE_INP_PROC/input_proc" SITE R17C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.2.THE_INP_PROC/input_proc" SITE R17C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.3.THE_INP_PROC/input_proc" SITE R17C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.4.THE_INP_PROC/input_proc" SITE R17C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.5.THE_INP_PROC/input_proc" SITE R17C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.6.THE_INP_PROC/input_proc" SITE R21C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.7.THE_INP_PROC/input_proc" SITE R21C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.8.THE_INP_PROC/input_proc" SITE R21C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.9.THE_INP_PROC/input_proc" SITE R21C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.10.THE_INP_PROC/input_proc" SITE R21C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.11.THE_INP_PROC/input_proc" SITE R21C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.12.THE_INP_PROC/input_proc" SITE R26C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.13.THE_INP_PROC/input_proc" SITE R26C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.14.THE_INP_PROC/input_proc" SITE R26C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.15.THE_INP_PROC/input_proc" SITE R26C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.16.THE_INP_PROC/input_proc" SITE R26C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.17.THE_INP_PROC/input_proc" SITE R26C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.18.THE_INP_PROC/input_proc" SITE R26C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.19.THE_INP_PROC/input_proc" SITE R26C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.20.THE_INP_PROC/input_proc" SITE R30C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.21.THE_INP_PROC/input_proc" SITE R30C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.22.THE_INP_PROC/input_proc" SITE R30C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.23.THE_INP_PROC/input_proc" SITE R30C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.24.THE_INP_PROC/input_proc" SITE R30C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.25.THE_INP_PROC/input_proc" SITE R30C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.26.THE_INP_PROC/input_proc" SITE R30C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.27.THE_INP_PROC/input_proc" SITE R30C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.28.THE_INP_PROC/input_proc" SITE R35C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.29.THE_INP_PROC/input_proc" SITE R35C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.30.THE_INP_PROC/input_proc" SITE R35C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.31.THE_INP_PROC/input_proc" SITE R35C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.32.THE_INP_PROC/input_proc" SITE R39C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.33.THE_INP_PROC/input_proc" SITE R39C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.34.THE_INP_PROC/input_proc" SITE R39C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.35.THE_INP_PROC/input_proc" SITE R39C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.36.THE_INP_PROC/input_proc" SITE R44C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.37.THE_INP_PROC/input_proc" SITE R44C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.38.THE_INP_PROC/input_proc" SITE R44C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.39.THE_INP_PROC/input_proc" SITE R44C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.40.THE_INP_PROC/input_proc" SITE R48C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.41.THE_INP_PROC/input_proc" SITE R48C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.42.THE_INP_PROC/input_proc" SITE R48C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.43.THE_INP_PROC/input_proc" SITE R48C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.44.THE_INP_PROC/input_proc" SITE R53C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.45.THE_INP_PROC/input_proc" SITE R57C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.46.THE_INP_PROC/input_proc" SITE R53C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.47.THE_INP_PROC/input_proc" SITE R53C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.48.THE_INP_PROC/input_proc" SITE R57C38D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.49.THE_INP_PROC/input_proc" SITE R57C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.50.THE_INP_PROC/input_proc" SITE R62C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.51.THE_INP_PROC/input_proc" SITE R62C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.52.THE_INP_PROC/input_proc" SITE R66C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.53.THE_INP_PROC/input_proc" SITE R66C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.54.THE_INP_PROC/input_proc" SITE R62C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.55.THE_INP_PROC/input_proc" SITE R66C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.56.THE_INP_PROC/input_proc" SITE R71C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.57.THE_INP_PROC/input_proc" SITE R71C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.58.THE_INP_PROC/input_proc" SITE R71C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.59.THE_INP_PROC/input_proc" SITE R71C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.60.THE_INP_PROC/input_proc" SITE R71C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.61.THE_INP_PROC/input_proc" SITE R71C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.62.THE_INP_PROC/input_proc" SITE R75C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.63.THE_INP_PROC/input_proc" SITE R75C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.64.THE_INP_PROC/input_proc" SITE R75C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.65.THE_INP_PROC/input_proc" SITE R75C150D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.66.THE_INP_PROC/input_proc" SITE R75C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.67.THE_INP_PROC/input_proc" SITE R75C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.68.THE_INP_PROC/input_proc" SITE R80C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.69.THE_INP_PROC/input_proc" SITE R80C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.70.THE_INP_PROC/input_proc" SITE R80C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.71.THE_INP_PROC/input_proc" SITE R80C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.72.THE_INP_PROC/input_proc" SITE R80C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.73.THE_INP_PROC/input_proc" SITE R80C134D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.74.THE_INP_PROC/input_proc" SITE R84C54D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.75.THE_INP_PROC/input_proc" SITE R84C70D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.76.THE_INP_PROC/input_proc" SITE R84C86D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.77.THE_INP_PROC/input_proc" SITE R84C102D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.78.THE_INP_PROC/input_proc" SITE R84C118D;
+LOCATE UGROUP "THE_BOX/gen_input_processor.79.THE_INP_PROC/input_proc" SITE R84C134D;