BLOCK RESETPATHS ;
BLOCK ASYNCPATHS ;
-LOCATE COMP "IN_MISC1" SITE "G5" ;
-IOBUF PORT "IN_MISC1" IO_TYPE=LVDS25 ;
+LOCATE COMP "IN_MISC[1]" SITE "T11" ;
+LOCATE COMP "IN_MISC[2]" SITE "N10" ;
+LOCATE COMP "OUT_MISC1" SITE "F7" ;
+IOBUF PORT "OUT_MISC1" IO_TYPE=LVDS25 ;
LOCATE COMP "PIGGY_CS" SITE "T15" ;
LOCATE COMP "TRIG_OUT[1]" SITE "F9" ;
LOCATE COMP "TRIG_OUT[2]" SITE "C12" ;
LOCATE COMP "TRIG_OUT[3]" SITE "B13" ;
LOCATE COMP "TRIG_OUT[4]" SITE "B11" ;
-LOCATE COMP "TRIG_OUT[5]" SITE "A9" ;
+LOCATE COMP "TRIG_OUT[5]" SITE "F8" ;
LOCATE COMP "TRIG_OUT[6]" SITE "B7" ;
LOCATE COMP "TRIG_OUT[7]" SITE "A5" ;
LOCATE COMP "TRIG_OUT[8]" SITE "C4" ;
IOBUF PORT "TRIG_OUT[2]" IO_TYPE=LVDS25 ;
IOBUF PORT "TRIG_OUT[3]" IO_TYPE=LVDS25 ;
IOBUF PORT "TRIG_OUT[4]" IO_TYPE=LVDS25 ;
-IOBUF PORT "TRIG_OUT[5]" IO_TYPE=LVDS25E ;
+IOBUF PORT "TRIG_OUT[5]" IO_TYPE=LVDS25 ;
IOBUF PORT "TRIG_OUT[6]" IO_TYPE=LVDS25 ;
IOBUF PORT "TRIG_OUT[7]" IO_TYPE=LVDS25 ;
IOBUF PORT "TRIG_OUT[8]" IO_TYPE=LVDS25 ;
entity top is
PORT (
- IN_MISC1 : in std_logic;
+ IN_MISC : in std_logic_vector(2 downto 1);
+ OUT_MISC1 : out std_logic;
TRIG_OUT : out std_logic_vector(16 downto 1);
TRIG_IN : in std_logic_vector(16 downto 1);
PIGGY_CS : out std_logic
);
-i_in_misc1 <= IN_MISC1;
+i_in_misc1 <= IN_MISC(1);
PIGGY_CS <= i_in_misc1;
TRIG_OUT(16 downto 1) <= TRIG_IN(16 downto 1);