From: Tobias Weber Date: Wed, 11 Mar 2015 09:14:04 +0000 (+0100) Subject: tie unused signals from fpga to 0 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=004a60c91492668bc65da53f8e1eec9dd690c804;p=trb3.git tie unused signals from fpga to 0 --- diff --git a/mupix/sources/MuPix3_board.vhd b/mupix/sources/MuPix3_board.vhd index f93d4bf..de1563c 100644 --- a/mupix/sources/MuPix3_board.vhd +++ b/mupix/sources/MuPix3_board.vhd @@ -401,5 +401,8 @@ begin -- Behavioral SLV_NO_MORE_DATA_OUT => slv_no_more_data(8), SLV_UNKNOWN_ADDR_OUT => slv_unknown_addr(8)); - + --set unused signals to board 0 + fpga_led_to_board <= (others => '0'); + fpga_aux_to_board <= (others => '0'); + end Behavioral; diff --git a/mupix/trb3_periph.vhd b/mupix/trb3_periph.vhd index 6558228..d9610f5 100644 --- a/mupix/trb3_periph.vhd +++ b/mupix/trb3_periph.vhd @@ -100,7 +100,7 @@ entity trb3_periph is --------------------------------------------------------------------------- -- END SensorBoard MuPix --------------------------------------------------------------------------- - + not_connected : out std_logic_vector(25 downto 0); --Flash ROM & Reboot FLASH_CLK : out std_logic; @@ -312,6 +312,10 @@ architecture trb3_periph_arch of trb3_periph is begin + + --tie not connected outputs to 0 + not_connected(25 downto 18) <= (others => '0'); + not_connected(16 downto 0) <= (others => '0'); --------------------------------------------------------------------------- -- Reset Generation ---------------------------------------------------------------------------