From: Benedikt Gutsche Date: Fri, 30 Aug 2024 09:08:54 +0000 (+0200) Subject: commit for push/pull X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=08c297cc23fef44d00884650770524f5aaf0a1c4;p=trb5sc.git commit for push/pull --- diff --git a/mimosis/par.p2t b/mimosis/par.p2t index 2ce0381..5a046a0 100644 --- a/mimosis/par.p2t +++ b/mimosis/par.p2t @@ -4,7 +4,7 @@ #-m nodelist.txt # Controlled by the compile.pl script. #-n 1 # Controlled by the compile.pl script. -s 10 --t 10 +-t 34 -c 2 -e 2 -i 10 diff --git a/mimosis/trb5sc_mimosis.vhd b/mimosis/trb5sc_mimosis.vhd index 9814b66..a8c2792 100644 --- a/mimosis/trb5sc_mimosis.vhd +++ b/mimosis/trb5sc_mimosis.vhd @@ -10,6 +10,7 @@ use work.trb_net_components.all; use work.trb3_components.all; use work.med_sync_define.all; + entity trb5sc_mimosis is port( CLK_200 : in std_logic; @@ -203,9 +204,9 @@ begin H3(3) <= clk_320; -- For IPHC Proxy - -- RJ(0) <= clk_40; + RJ(0) <= clk_40; -- For IKF Proxy - H1(4) <= clk_40; + -- H1(4) <= clk_40; --------------------------------------------------------------------------- -- TrbNet Uplink @@ -558,7 +559,6 @@ begin LED_ADDON_SFP_ORANGE(1) <= '0'; - ----------------------------------------------------------------------------- ---- GbE ----------------------------------------------------------------------------- @@ -695,43 +695,6 @@ begin --end process; ---------------------------------------------------------------------------- --- Output stage ---------------------------------------------------------------------------- - -- THE_OUT : entity work.testout - -- port map( - -- clkout => open, - -- refclk => clk_160, - -- reset => reset_i, - -- data => out_data, - -- data_cflag => open, - -- data_direction => (others => '0'), - -- data_loadn => (others => '1'), - -- data_move => (others => '0'), - -- dout => out_i - -- ); - - -- PROC_OUT : process - -- variable cnt : integer range 0 to 7; - -- begin - -- wait until rising_edge(clk_160); - -- cnt := cnt + 1; - -- case cnt is - -- when 0 => out_data <= x"ffff"; - -- when 1 => out_data <= x"ffff"; - -- when 2 => out_data <= x"ffff"; - -- when 3 => out_data <= x"0000"; - -- when 4 => out_data <= x"5555"; - -- when 5 => out_data <= x"5555"; - -- when 6 => out_data <= x"5555"; - -- when 7 => out_data <= x"5555"; - -- end case; - -- end process; - - -- H3(3 downto 0) <= out_i(3 downto 0); - -- H4(3 downto 0) <= out_i(7 downto 4); - - --------------------------------------------------------------------------- -- Input stage --------------------------------------------------------------------------- diff --git a/pinout/trb5sc_hdmi.lpf b/pinout/trb5sc_hdmi.lpf index 6c89d07..ace0ab9 100644 --- a/pinout/trb5sc_hdmi.lpf +++ b/pinout/trb5sc_hdmi.lpf @@ -88,8 +88,10 @@ LOCATE COMP "H5[3]" SITE "R32" ; #was "FE_DIFF[6]" LOCATE COMP "H7[3]" SITE "W30" ; #was "FE_DIFF[7]" #LOCATE COMP "H5[4]" SITE "T32" ; #was "FE_DIFF[8]" +# H5 # LOCATE COMP "MIMOSIS_SCL" SITE "U32" ; #was "FE_DIFF[8]" # LOCATE COMP "MIMOSIS_SDA" SITE "T32" ; #was "FE_DIFF[8]" +# H3 LOCATE COMP "MIMOSIS_SCL" SITE "C2" ; #was "FE_DIFF[8]" LOCATE COMP "MIMOSIS_SDA" SITE "B1" ; #was "FE_DIFF[8]" IOBUF PORT "MIMOSIS_SCL" IO_TYPE=LVCMOS25 ; @@ -120,8 +122,8 @@ LOCATE COMP "H2[0]" SITE "H27" ; #was "FE_DIFF[30]" # LOCATE COMP "FE_DIFF[31]" SITE "K27" ; #was "FE_DIFF[31]" LOCATE COMP "H3[3]" SITE "D4" ; #was "FE_DIFF[32]" LOCATE COMP "H3[4]" SITE "B1" ; #was "FE_DIFF[34]" -# LOCATE COMP "H3[2]" SITE "F3" ; #was "FE_DIFF[36]" -LOCATE COMP "H3_2" SITE "F3" ; #was "FE_DIFF[36]" +LOCATE COMP "H3[2]" SITE "F3" ; #was "FE_DIFF[36]" +# LOCATE COMP "H3_2" SITE "F3" ; #was "FE_DIFF[36]" LOCATE COMP "H3[1]" SITE "F2" ; #was "FE_DIFF[38]" LOCATE COMP "H3[0]" SITE "H2" ; #was "FE_DIFF[40]" # LOCATE COMP "FE_DIFF[41]" SITE "J3" ; #was "FE_DIFF[41]" @@ -153,6 +155,11 @@ DEFINE PORT GROUP "H2_group" "H2*" ; IOBUF GROUP "H2_group" IO_TYPE=LVDS DIFFRESISTOR=100 ; DEFINE PORT GROUP "H3_group" "H3*" ; IOBUF GROUP "H3_group" IO_TYPE=LVDS DIFFRESISTOR=100 ; + +# IOBUF PORT "H3_0" IO_TYPE=LVDS DIFFRESISTOR=100; +# IOBUF PORT "H3_2" IO_TYPE=LVDS DIFFRESISTOR=100; +# IOBUF PORT "H3_3" IO_TYPE=LVDS DIFFRESISTOR=100; + DEFINE PORT GROUP "H4_group" "H4*" ; IOBUF GROUP "H4_group" IO_TYPE=LVDS DIFFRESISTOR=100 ; DEFINE PORT GROUP "H5_group" "H5*" ;