From: hadeshyp Date: Tue, 6 Nov 2007 12:38:04 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~677 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=08f4ba78fef1821789ae5ebb444cef7b004b7828;p=trbnet.git *** empty log message *** --- diff --git a/trb_net_fifo.vhd b/trb_net_fifo.vhd index 21174a1..83e8243 100644 --- a/trb_net_fifo.vhd +++ b/trb_net_fifo.vhd @@ -23,6 +23,7 @@ entity trb_net_fifo is DATA_IN : in std_logic_vector(WIDTH - 1 downto 0); -- Input data WRITE_ENABLE_IN : in std_logic; DATA_OUT : out std_logic_vector(WIDTH - 1 downto 0); -- Output data + --output data MUST BE 0 if no data is available READ_ENABLE_IN : in std_logic; FULL_OUT : out std_logic; -- Full Flag EMPTY_OUT : out std_logic;