From: Cahit Date: Mon, 10 Mar 2014 09:58:06 +0000 (+0100) Subject: new hit buf entity for easier placement X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=115d3c57b293d2ba86bc6bb912b81871088b5218;p=trb3.git new hit buf entity for easier placement --- diff --git a/tdc_releases/tdc_v1.6/hit_inv.vhd b/tdc_releases/tdc_v1.6/hit_inv.vhd new file mode 100644 index 0000000..9002c71 --- /dev/null +++ b/tdc_releases/tdc_v1.6/hit_inv.vhd @@ -0,0 +1,27 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity hit_inv is + + port ( + PORT_IN : in std_logic; + PORT_OUT : out std_logic); + +end entity hit_inv; + +architecture behavioral of hit_inv is + + signal hit_buf : std_logic; + attribute syn_keep : boolean; + attribute syn_keep of hit_buf : signal is true; + attribute syn_preserve : boolean; + attribute syn_preserve of hit_buf : signal is true; + + +begin -- architecture behavioral + + hit_buf <= PORT_IN; + PORT_OUT <= not hit_buf; + +end architecture behavioral;