From: hadeshyp Date: Thu, 18 Feb 2010 09:29:01 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~330 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=15a668f739096e52ce039dfe165fbb593ee20d4b;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 96b00cb..39c85ca 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -86,6 +86,7 @@ entity trb_net16_hub_base is REGIO_WRITE_ACK_IN : in std_logic := '0'; REGIO_UNKNOWN_ADDR_IN : in std_logic := '0'; REGIO_TIMEOUT_OUT : out std_logic; + TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); --Fixed status and control ports HUB_STAT_CHANNEL : out std_logic_vector (2**(c_MUX_WIDTH-1)*16-1 downto 0); @@ -1116,5 +1117,7 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); STAT_DEBUG <= buf_STAT_DEBUG; HUB_STAT_GEN <= (others => '0'); + TIMER_TICKS_OUT(0) <= timer_us_tick; + TIMER_TICKS_OUT(1) <= timer_us_tick; end architecture; diff --git a/trb_net16_hub_func.vhd b/trb_net16_hub_func.vhd index 70de52b..93cf6da 100644 --- a/trb_net16_hub_func.vhd +++ b/trb_net16_hub_func.vhd @@ -153,7 +153,7 @@ package trb_net16_hub_func is REGIO_WRITE_ACK_IN : in std_logic := '0'; REGIO_UNKNOWN_ADDR_IN : in std_logic := '0'; REGIO_TIMEOUT_OUT : out std_logic; - + TIMER_TICKS_OUT : out std_logic_vector(1 downto 0); ONEWIRE : inout std_logic; ONEWIRE_MONITOR_IN : in std_logic; ONEWIRE_MONITOR_OUT : out std_logic; diff --git a/trb_net16_hub_streaming_port.vhd b/trb_net16_hub_streaming_port.vhd index 0aa9258..e183432 100644 --- a/trb_net16_hub_streaming_port.vhd +++ b/trb_net16_hub_streaming_port.vhd @@ -166,6 +166,7 @@ signal reset_i_mux_io : std_logic; signal hub_make_network_reset : std_logic; signal hub_got_network_reset : std_logic; +signal timer_ticks : std_logic_vector(1 downto 0); begin @@ -180,7 +181,7 @@ begin begin if rising_edge(CLK) then reset_i <= hub_got_network_reset or RESET; - reset_i_mux_io <= hub_got_network_reset or RESET; + reset_i_mux_io <= MED_STAT_OP(mii*16+14) or reset_i; end if; end process; @@ -292,7 +293,7 @@ begin REGIO_WRITE_ACK_IN => REGIO_WRITE_ACK_IN, REGIO_UNKNOWN_ADDR_IN => REGIO_UNKNOWN_ADDR_IN, REGIO_TIMEOUT_OUT => REGIO_TIMEOUT_OUT, - + TIMER_TICKS_OUT => timer_ticks, ONEWIRE => ONEWIRE, ONEWIRE_MONITOR_IN => ONEWIRE_MONITOR_IN, ONEWIRE_MONITOR_OUT=> ONEWIRE_MONITOR_OUT, @@ -367,7 +368,8 @@ begin STAT_IBUF_BUFFER => open, CTRL_GEN => (others => '0'), STAT_INIT_OBUF_DEBUG => open, - STAT_REPLY_OBUF_DEBUG => open + STAT_REPLY_OBUF_DEBUG => open, + TIMER_TICKS_IN => timer_ticks ); @@ -430,7 +432,8 @@ begin STAT_IBUF_BUFFER => open, CTRL_GEN => (others => '0'), STAT_INIT_OBUF_DEBUG => open, - STAT_REPLY_OBUF_DEBUG => open + STAT_REPLY_OBUF_DEBUG => open, + TIMER_TICKS_IN => timer_ticks ); THE_IOBUF_2 : trb_net16_term_buf @@ -513,7 +516,8 @@ begin STAT_IBUF_BUFFER => open, CTRL_GEN => (others => '0'), STAT_INIT_OBUF_DEBUG => open, - STAT_REPLY_OBUF_DEBUG => open + STAT_REPLY_OBUF_DEBUG => open, + TIMER_TICKS_IN => timer_ticks ); ---------------------------------------------------------------------