From: Jan Michel Date: Mon, 9 Jan 2023 12:47:26 +0000 (+0100) Subject: change reset of GbE link autonegotiation to run even if Trbnet is not up X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=1942e628e4e2c7e736eef5efc81d0d75f4677ada;p=trbnet.git change reset of GbE link autonegotiation to run even if Trbnet is not up --- diff --git a/gbe_trb/base/gbe_med_interface.vhd b/gbe_trb/base/gbe_med_interface.vhd index a359684..b48d1e8 100644 --- a/gbe_trb/base/gbe_med_interface.vhd +++ b/gbe_trb/base/gbe_med_interface.vhd @@ -241,7 +241,7 @@ end component; signal rx_cdr_lol: std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); signal tx_pll_lol, quad_rst : std_logic; signal tx_pcs_rst, rx_pcs_rst, rx_serdes_rst : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); - --signal rst_n : std_logic; + signal gsr_p : std_logic; signal rx_clk_en : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); signal tx_clk_en : std_logic_vector(NUMBER_OF_GBE_LINKS - 1 downto 0); signal operational_rate : std_logic_vector(NUMBER_OF_GBE_LINKS * 2 - 1 downto 0); @@ -276,6 +276,7 @@ begin rx_power <= "1111"; tx_power <= "1111"; + gsr_p <= not GSR_N; --rst_n <= not RESET; reset_sync : process(GSR_N, CLK_SYS_IN) @@ -629,7 +630,7 @@ begin TSMAC_CONTROLLER : trb_net16_gbe_mac_control port map( CLK => CLK_SYS_IN, - RESET => RESET, + RESET => gsr_p, --changed from RESET to match resets of all other gbe mac parts -- signals to/from main controller MC_TSMAC_READY_OUT => MAC_READY_CONF_OUT(i),