From: hadeshyp Date: Mon, 16 Nov 2009 14:42:59 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~356 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=1e25b6ea359846219cd3c47ecd4830212af96c44;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 61c2a3d..1bdb705 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -766,7 +766,7 @@ MED_DATA_OUT <= buf_MED_DATA_OUT; hub_control : trb_net16_regIO generic map( NUM_STAT_REGS => 4, - NUM_CTRL_REGS => 4, + NUM_CTRL_REGS => 3, INIT_CTRL_REGS => x"00000000_00000000_00000000_00000000" & x"00000000_00000000_00000000_00000000" & x"00000000_00000000_00009999_00000000" & diff --git a/trb_net16_hub_ipu_logic.vhd b/trb_net16_hub_ipu_logic.vhd index e7fac81..4458d3d 100644 --- a/trb_net16_hub_ipu_logic.vhd +++ b/trb_net16_hub_ipu_logic.vhd @@ -541,7 +541,7 @@ begin if rising_edge(CLK) then connection_timed_out(i) <= '0'; timeout_found <= or_all(connection_timed_out); - if REPLY_DATAREADY_IN(i) = '1' or real_activepoints(i) = '0' or locked = '0' or locking_point(i) = '1' or CTRL_TIMEOUT_TIME = 0 then + if REPLY_DATAREADY_IN(i) = '1' or real_activepoints(i) = '0' or locked = '0' or locking_point(i) = '1' or CTRL_TIMEOUT_TIME = x"0" then timeout_counter(i) <= (others => '0'); elsif timeout_counter(i)(to_integer(unsigned('0'&CTRL_TIMEOUT_TIME)+to_unsigned(16,5))) = '1' then connection_timed_out(i) <= '1';