From: Tobias Weber Date: Wed, 2 May 2018 12:22:01 +0000 (+0200) Subject: enable trigger bypass by default. X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=1e465875b81c21444013c34c7e297fb21c794541;p=trb3.git enable trigger bypass by default. --- diff --git a/mupix/Mupix8/sources/TriggerHandler.vhd b/mupix/Mupix8/sources/TriggerHandler.vhd index 83f299b..23f99ed 100644 --- a/mupix/Mupix8/sources/TriggerHandler.vhd +++ b/mupix/Mupix8/sources/TriggerHandler.vhd @@ -58,7 +58,7 @@ end entity TriggerHandler; architecture behavioral of TriggerHandler is --trigger - signal bypass_trigger : std_logic := '0'; + signal bypass_trigger : std_logic := '1'; signal reset_trigger_state : std_logic := '0'; signal reset_trigger_state_edge : std_logic_vector(1 downto 0) := "00"; signal valid_trigger_int : std_logic := '0';