From: hadeshyp Date: Thu, 18 Feb 2010 15:35:30 +0000 (+0000) Subject: *** empty log message *** X-Git-Tag: oldGBE~327 X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=20cb8e60ea0730e00f5e26f3fefe38e106e286be;p=trbnet.git *** empty log message *** --- diff --git a/trb_net16_hub_base.vhd b/trb_net16_hub_base.vhd index 39c85ca..da43c4b 100644 --- a/trb_net16_hub_base.vhd +++ b/trb_net16_hub_base.vhd @@ -22,7 +22,7 @@ entity trb_net16_hub_base is INIT_UNIQUE_ID : std_logic_vector(63 downto 0) := (others => '0'); COMPILE_TIME : std_logic_vector(31 downto 0) := x"00000000"; COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001"; - INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001"; + INIT_ENDPOINT_ID : std_logic_vector(15 downto 0) := x"0001"; HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678"; CLOCK_FREQUENCY : integer range 1 to 200 := 100; USE_ONEWIRE : integer range 0 to 2 := c_YES; @@ -1096,7 +1096,13 @@ HUB_MED_CONNECTED(31 downto MII_NUMBER) <= (others => '1'); --------------------------------------------------------------------- --debug Status and Control ports - buf_STAT_DEBUG(31 downto 0) <= HUBLOGIC_IPU_STAT_DEBUG(31 downto 0); + buf_STAT_DEBUG(5 downto 0) <= HUB_INIT_DATAREADY_IN(14 downto 9); + buf_STAT_DEBUG(11 downto 6) <= HUB_REPLY_DATAREADY_IN(14 downto 9); + buf_STAT_DEBUG(17 downto 12) <= HUB_REPLY_READ_OUT(14 downto 9); + buf_STAT_DEBUG(23 downto 18) <= HUB_INIT_READ_IN(14 downto 9); + buf_STAT_DEBUG(30 downto 24) <= HUB_CTRL_final_activepoints(3*32+6 downto 3*32); + buf_STAT_DEBUG(31) <= CLK; + -- buf_STAT_DEBUG(18 downto 16) <= IOBUF_IBUF_BUFFER(20+32*6 downto 18+32*6); -- buf_STAT_DEBUG(21 downto 19) <= IOBUF_IBUF_BUFFER(20+32*7 downto 18+32*7); -- buf_STAT_DEBUG(25 downto 22) <= buf_to_hub_REPLY_DATA(6*c_DATA_WIDTH+3 downto 6*c_DATA_WIDTH); diff --git a/trb_net16_hub_streaming_port.vhd b/trb_net16_hub_streaming_port.vhd index d33759c..e028641 100644 --- a/trb_net16_hub_streaming_port.vhd +++ b/trb_net16_hub_streaming_port.vhd @@ -180,7 +180,7 @@ begin SYNC_RESET_MUX_IO : process(CLK) begin if rising_edge(CLK) then - reset_i <= hub_got_network_reset or RESET; + reset_i <= RESET; reset_i_mux_io <= MED_STAT_OP(mii*16+14) or reset_i; end if; end process; @@ -198,7 +198,6 @@ begin hub_make_network_reset <= MED_STAT_OP(15) or MED_STAT_OP(15+mii*16); - hub_got_network_reset <= MED_STAT_OP(13) or MED_STAT_OP(13+mii*16); --------------------------------------------------------------------- -- Connecting I/O @@ -291,7 +290,7 @@ begin COMMON_STAT_REGS => common_stat, MPLEX_CTRL => (others => '0'), CTRL_DEBUG => (others => '0'), - STAT_DEBUG => open + STAT_DEBUG => STAT_DEBUG ); --------------------------------------------------------------------- @@ -603,14 +602,14 @@ begin ); -STAT_DEBUG(0) <= cts_reply_dataready_in; -STAT_DEBUG(1) <= cts_reply_read_out; -STAT_DEBUG(2) <= cts_init_dataready_out; -STAT_DEBUG(3) <= cts_reply_read_out; -STAT_DEBUG(4) <= io_dataready_out(2); -STAT_DEBUG(5) <= io_dataready_out(3); -STAT_DEBUG(6) <= '0'; -STAT_DEBUG(7) <= '0'; +-- STAT_DEBUG(0) <= cts_reply_dataready_in; +-- STAT_DEBUG(1) <= cts_reply_read_out; +-- STAT_DEBUG(2) <= cts_init_dataready_out; +-- STAT_DEBUG(3) <= cts_reply_read_out; +-- STAT_DEBUG(4) <= io_dataready_out(2); +-- STAT_DEBUG(5) <= io_dataready_out(3); +-- STAT_DEBUG(6) <= '0'; +-- STAT_DEBUG(7) <= '0'; end architecture; \ No newline at end of file