From: Andreas Neiser Date: Tue, 2 Dec 2014 12:48:32 +0000 (+0100) Subject: Should be rom_encoder_3... X-Git-Url: https://jspc29.x-matter.uni-frankfurt.de/git/?a=commitdiff_plain;h=2131b4be9c23120c3863d3852cdb5d425e4644bd;p=trb3.git Should be rom_encoder_3... --- diff --git a/tdc_releases/tdc_v1.6.3/Encoder_304_Bit.vhd b/tdc_releases/tdc_v1.6.3/Encoder_304_Bit.vhd index a3fd283..5fadfd5 100644 --- a/tdc_releases/tdc_v1.6.3/Encoder_304_Bit.vhd +++ b/tdc_releases/tdc_v1.6.3/Encoder_304_Bit.vhd @@ -168,7 +168,7 @@ begin end if; end process Interval_Selection; - ROM_Encoder_1 : ROM_Encoder + ROM_Encoder_1 : ROM_Encoder_3 port map ( Address => address_i, OutClock => CLK, diff --git a/tdc_releases/tdc_v1.6.3/tdc_components.vhd b/tdc_releases/tdc_v1.6.3/tdc_components.vhd index cf9871d..720eeed 100644 --- a/tdc_releases/tdc_v1.6.3/tdc_components.vhd +++ b/tdc_releases/tdc_v1.6.3/tdc_components.vhd @@ -560,7 +560,7 @@ package tdc_components is -- Full : out std_logic); --end component; - component ROM_Encoder + component ROM_Encoder_3 port ( Address : in std_logic_vector(9 downto 0); OutClock : in std_logic;